KR101579852B1 - Blankmask for extreme ultra-violet lithography and photomask using the same - Google Patents

Blankmask for extreme ultra-violet lithography and photomask using the same Download PDF

Info

Publication number
KR101579852B1
KR101579852B1 KR1020150041442A KR20150041442A KR101579852B1 KR 101579852 B1 KR101579852 B1 KR 101579852B1 KR 1020150041442 A KR1020150041442 A KR 1020150041442A KR 20150041442 A KR20150041442 A KR 20150041442A KR 101579852 B1 KR101579852 B1 KR 101579852B1
Authority
KR
South Korea
Prior art keywords
film
layer
extreme ultraviolet
absorbing
photomask
Prior art date
Application number
KR1020150041442A
Other languages
Korean (ko)
Inventor
남기수
신철
양철규
이종화
최민기
김창준
장규진
Original Assignee
주식회사 에스앤에스텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에스앤에스텍 filed Critical 주식회사 에스앤에스텍
Priority to KR1020150041442A priority Critical patent/KR101579852B1/en
Application granted granted Critical
Publication of KR101579852B1 publication Critical patent/KR101579852B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]

Abstract

The present invention relates to a blank mask for extreme ultraviolet (EUV), and to a photomask using the same. According to the present invention, obtaining required optical properties and an embodiment of an absorption membrane into a thin film can be possible by adjusting composition ratio and kinds of metal and light elements consisting of the absorption membrane, in a reflective blank mask using extreme ultraviolet light and a photomask using the blank mask. Accordingly, occurrence of shadow effects reduced, and deviation in critical difference on a vertical and horizontal pattern can be minimized in the embodiment of the pattern which is smaller than or equal to 30 nm by size, especially, a pattern which is smaller than or equal to 14 nm. Furthermore, in terms of a washing process carried out during a photomask production process by using a washing solution, provided is a high-quality photomask for extreme ultraviolet, having the absorption membrane with improved chemical resistance and durability against the washing solution.

Description

극자외선용 블랭크 마스크 및 이를 이용한 포토마스크{Blankmask for extreme ultra-violet lithography and photomask using the same}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a blank mask for extreme ultraviolet rays and a photomask using the same.

본 발명은 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크에 관한 것으로서, 보다 상세하게는, 13.5㎚의 극자외선(Extreme Ultra Violet; EUV)광을 노광광으로 사용하여 14㎚급 이하, 특히 10㎚급 이하의 미세 패턴 구현이 가능한 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a blank mask for extreme ultraviolet light and a photomask using the same. More particularly, the present invention relates to a blank mask for ultra-violet rays, which uses an extreme ultra violet (EUV) The present invention relates to a blank mask for an extreme ultraviolet ray and a photomask using the same.

고집적화에 따른 포토-리소그래피 (Photo-lithography) 기술은 고해상도 (High Resolution) 구현을 위하여 현재의 193㎚(ArF)의 노광광에서 근래에는 13.5㎚ 파장의 EUV 노광광을 이용한 리소그 래피 기술로의 발전이 이루어지고 있다.The photo-lithography technology due to the high integration has been developed in the 193 nm (ArF) exposure light for the realization of the high resolution, and the development to the lithography technology using the EUV exposure light of the 13.5 nm wavelength in recent years .

그러나, EUV 리소그래피에 사용되는 13.5㎚ 파장의 노광광은 대부분의 물질(기체 포함)에 쉽게 흡수되는 성질이 있어 EUV 리소그래피 기술은 기존의 투과형 리소그래피 기술(예를 들어, ArF 리소그래피 기술의 투광부와 차광부를 이용하는 원리)과는 달리 극자외선 광을 반사하는 반사막과 극자외선 광을 흡수하는 흡수막이 순차적으로 적층된 구조를 가진다. 즉, 극자외선용 블랭크 마스크는 크게 다층 반사막(Multi-reflective layer) 부분과 흡수막(Absorber layer) 부분의 2부분으로 구성된다. However, since the exposure light having a wavelength of 13.5 nm used in EUV lithography is easily absorbed by most substances (including gases), EUV lithography technology can be applied to a conventional transmission type lithography technique (for example, Unlike the principle of using ultraviolet light, a reflective film for reflecting extreme ultraviolet light and an absorbing film for absorbing extreme ultraviolet light are sequentially stacked. That is, the blank mask for extreme ultraviolet rays is mainly composed of two parts: a multi-reflective layer portion and an absorber layer portion.

일반적으로 상기 다층 반사막은 몰리브데늄(Mo)과 실리콘(Si)이 교대로 40층 내지 60층으로 적층된 구조를 가지며, 이는 13.5㎚의 파장에서 64% ∼ 66%의 반사율을 나타낸다. 그리고, 상기 흡수막은 13.5㎚의 극자외선 노광광을 흡수할 수 있는 물질로서 탄탈륨(Ta) 또는 크롬(Cr)이 사용되며, 일반적으로 흡수계수가 높은 탄탈륨(Ta) 물질을 기반으로 한 흡수막으로 사용되고 있다. 예를 들어, 현재 개발되고 있는 흡수막은 탄탈륨을 기반으로 질화탄탈륨(TaN), 질화산화탄탈륨(TaON)등으로 구성되며, 탄탈륨(Ta) 화합물의 경우 반도체 제조공정에서 널리 사용되고 있는 염소(Cl) 및 불소(F) 계열의 라디칼(Radical)을 이용한 플라즈마 식각이 용이하여, 마스크 제조 공정을 쉽게 할 수 있는 장점이 있다.Generally, the multilayer reflective film has a structure in which molybdenum (Mo) and silicon (Si) are alternately laminated from 40 to 60 layers, which exhibits a reflectance of 64% to 66% at a wavelength of 13.5 nm. The absorbing film is made of tantalum (Ta) or chromium (Cr) as a material capable of absorbing extreme ultraviolet ray exposure light of 13.5 nm and is generally made of a tantalum (Ta) material having a high absorption coefficient . For example, the currently developed absorption membrane is composed of tantalum nitride (TaN) and tantalum nitride (TaON) based on tantalum. In the case of tantalum (Ta) compound, chlorine (Cl) and Plasma etching using a radical of a fluorine (F) series is easy, and the mask manufacturing process can be easily performed.

그러나, 상술한 탄탈륨(Ta) 화합물로 구성하는 흡수막을 이용하여 30㎚급 이하, 특히, 14㎚급 이하의 패턴을 구현하는 경우 아래와 같은 문제점이 발생한다.However, the following problems arise when a pattern having a thickness of 30 nm or less, in particular, a thickness of 14 nm or less is implemented using an absorbing film composed of the tantalum (Ta) compound described above.

도 1은 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크에서 발생되는 그림자 효과를 설명하기 위하여 도시한 도면이다.BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a diagram illustrating a shadow effect generated in a photomask manufactured using a conventional extreme ultraviolet ray blank mask. FIG.

도 1을 참조하면, 종래의 극자외선용 블랭크 마스크는 상기 흡수막의 두께에 의한 그림자 효과(Shadowing Effect)가 문제된다. 그림자 효과란, 흡수막 패턴(106a)에 극자외선 노광광이 조사될 때 극자외선 노광광의 입사 각도가 수직입사 대비 기울어짐(약 4°∼ 6°)에 따라 흡수막 패턴(106a)이 가지는 두께에 의해 반사광이 흡수막 패턴(106a)에 흡수되어 일정 부분 전사되지 못하는 것을 말한다. 상기 흡수막(106)이 탄탈륨(Ta) 화합물로 구성되는 경우, 탄탈륨(Ta)은 극자외선 노광광에 대한 흡수도가 비교적 낮기 때문에 일정량의 소멸계수를 갖기 위하여 흡수막(106)은 70㎚ 이상의 두께를 필요로 한다. 흡수막(106)은 그 두께가 두꺼울수록 그림자 효과 또한 커지기 때문에 흡수막(106) 두께의 박막화가 요구된다.Referring to FIG. 1, a conventional shadow mask for a EUV ray has a problem of a shadowing effect due to the thickness of the absorption layer. The shadow effect refers to the thickness of the absorbing film pattern 106a when the incident angle of the extreme ultraviolet ray exposure light is inclined to the vertical incidence (about 4 to 6 degrees) when the absorbing film pattern 106a is irradiated with extreme ultraviolet ray exposure light. Reflected light is absorbed by the absorbing film pattern 106a and is not transferred to a certain area. In the case where the absorption layer 106 is made of a tantalum (Ta) compound, since the absorption of tantalum (Ta) to extreme ultraviolet ray exposure light is comparatively low, the absorption layer 106 preferably has an extinction coefficient of 70 nm or more Thickness. The thickness of the absorbing layer 106 is required to be thin because the thickness of the absorbing layer 106 is larger.

또한, 그림자 효과는 최종적으로 포토마스크 제조 후 웨이퍼 전사 시, 가로 패턴(Horizontal Pattern: HP)과 세로 패턴(Vertical Pattern: VP) 사이에 패턴 간(HP-VP) 임계치수(CD) 편차(Bias)를 발생시킨다. 특히, 이러한 특성은 패턴의 방향(가로 또는 세로) 및 스캐너(Scanner)의 방향에 따라 가로 패턴과 세로 패턴 간의 그림자 효과가 달리 발생하게 된다.In addition, the shadow effect can be obtained by changing the HP-VP threshold value (CD) bias (Bias) between the horizontal pattern (HP) and the vertical pattern (VP) . Particularly, such a characteristic is different from the shadow effect between the horizontal pattern and the vertical pattern depending on the pattern direction (horizontal or vertical) and the direction of the scanner (Scanner).

도 2는 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크의 패턴 방향에 따른 그림자 효과의 발생 유무를 설명하기 위하여 도시한 도면이다.FIG. 2 is a view for explaining whether or not a shadow effect according to a pattern direction of a photomask manufactured using a conventional extreme ultraviolet ray blank mask is generated.

도 2를 참조하면, 세로 패턴(a)의 경우 먼저 설명했던 바와 같이 그림자 효과가 발생하지만, 가로 패턴(b)의 경우에는 패턴의 방향과 입사광 및 반사광이 평행함에 따라 그림자 효과가 문제되지 않는다. 따라서, 세로 패턴(a)과 가로 패턴(b) 간에 임계치수 편차(CD Bias)가 발생한다.Referring to FIG. 2, a shadow effect occurs as described above in the case of the vertical pattern (a), but in the case of the horizontal pattern (b), the shadow effect does not matter as the direction of the pattern and the incident light and the reflected light are parallel. Therefore, a critical number deviation (CD Bias) occurs between the vertical pattern (a) and the horizontal pattern (b).

이에 따라, 탄탈륨(Ta) 화합물로 형성된 흡수막 패턴이 70㎚ 이상의 두께를 가지는 경우, 하프피치(Half-pitch) 30㎚급에 적용 시 가로-세로 패턴 간 임계치수 편차가 약 4㎚, 하프피치 14㎚급에 적용 시 가로-세로 패턴 간 임계치수 편차가 약 10㎚ 이상 발생하여, 구현하고자 하는 패턴 크기가 작을수록 임계치수 편차는 커지는 문제점이 발생하게 된다. 더욱이, 최근에는 극자외선용 블랭크 마스크를 이용한 포토마스크 공정 시 고 개구수(High NA) 렌즈를 사용함에 따라 10㎚ 이하 급의 패턴 형성시 노광광의 입사 각도가 수직입사 대비 9°이상 기울어짐에 따라 그림자 효과가 더욱 커지는 현상이 발생한다. Accordingly, when the absorption film pattern formed of the tantalum (Ta) compound has a thickness of 70 nm or more, the threshold value deviation between the transverse-longitudinal patterns when applied to the half-pitch 30 nm class is about 4 nm, When applied to the 14-nm class, the threshold number deviation between the horizontal and vertical patterns is about 10 nm or more, and the smaller the pattern size to be implemented, the greater the variation in the threshold number. In addition, recently, a high NA lens is used in a photomask process using a blank mask for extreme ultraviolet rays, so that the incidence angle of the exposure light is inclined by 9 degrees or more with respect to the perpendicular incidence in pattern formation of 10 nm or less The shadow effect becomes larger.

이에 따라, 흡수막의 두께를 낮추기 위해 높은 소멸 계수(k)를 갖는 니켈(Ni), 은(Ag), 인듐(In), 주석(Sn) 등의 단일 금속물질로 흡수막을 형성할 수 있으나, 상기 단일 금속 물질의 흡수막은 내화학성이 우수하지 못하다. Accordingly, the absorption layer can be formed of a single metal material such as nickel (Ni), silver (Ag), indium (In), tin (Sn) or the like having a high extinction coefficient (k) The absorbing film of a single metal material is not excellent in chemical resistance.

본 발명은 흡수막의 차광성을 확보함과 동시에 흡수막의 박막화 가능한 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공한다.The present invention provides a blank mask for extreme ultraviolet rays capable of securing the light shielding property of an absorbing film and making the absorbing film thin, and a photomask using the blank mask.

또한, 본 발명은 내화학성 및 내노광성을 향상시킬 수 있는 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공한다.The present invention also provides a blank mask for extreme ultraviolet rays capable of improving chemical resistance and antigravity, and a photomask using the same.

본 발명에 따른 극자외선용 블랭크 마스크는, 투명 기판 상에 적어도 다층 반사막, 흡수막 및 레지스트막이 적층되고, 상기 흡수막은 인듐(In)을 필수적으로 포함하고, 상기 인듐(In)에 팔라듐(Pd), 탄탈(Ta), 텔레늄(Te), 이리듐(Ir) 중 선택되는 1종 이상의 추가 금속 물질을 더 포함하여 구성된다. A blank mask for extreme ultraviolet rays according to the present invention is characterized in that at least a multilayer reflective film, an absorbing film and a resist film are laminated on a transparent substrate, the absorbing film essentially contains indium (In), palladium (Pd) , Tantalum (Ta), tellurium (Te), iridium (Ir), and the like.

상기 흡수막을 구성하는 인듐(In) 대비 추가 금속 물질(Pd, Ta, Te, Ir, TaPd)의 조성비는 95at% : 5at% ∼ 5at% : 95at%이다.The composition ratio of the additional metal material (Pd, Ta, Te, Ir, TaPd) to indium (In) constituting the absorption film is 95 at%: 5 at% to 5 at%: 95 at%.

상기 흡수막은 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하며, 상기 금속 대비 경원소의 조성비는 9 : 1 ∼ 2 : 8이다. Wherein the absorption layer further comprises at least one light source material selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B), and hydrogen (H) 2: 8.

상기 흡수막은 30㎚ ∼ 70㎚의 두께를 갖는다.The absorbing film has a thickness of 30 nm to 70 nm.

상기 흡수막은 상부층 및 하부층의 2층 구조로 이루어지며, 상기 상부층 및 하부층은 10% 이상의 금속 물질 및 경원소 함유량 차이를 갖는다.The absorbent layer has a two-layer structure of an upper layer and a lower layer, and the upper layer and the lower layer have a difference in content of metal material and light element of 10% or more.

상기 흡수막은 13.5㎚의 극자외선용 노광광에 대하여 10% 이하의 반사율을 갖고, 상기 흡수막은 193㎚의 검사 파장에 대하여 30% 이하의 반사율을 갖는다.The absorbing film has a reflectance of 10% or less with respect to exposure light for extreme ultraviolet rays of 13.5 nm, and the absorbing film has a reflectance of 30% or less with respect to the inspection wavelength of 193 nm.

상기 흡수막은 200MPa 이하의 박막 응력을 갖는다.The absorbent film has a thin film stress of 200 MPa or less.

상기 다층 반사막 및 흡수막 사이에 구비된 캡핑막, 상기 캡핑막과 흡수막 사이에 구비된 버퍼막, 상기 투명 기판의 하부에 구비된 도전막, 상기 다층반사막의 상부에 구비된 위상반전막, 상기 흡수막 상에 구비된 하드 필름 중 적어도 하나 이상의 막을 더 포함한다. A buffer layer disposed between the capping layer and the absorber layer, a conductive layer disposed under the transparent substrate, a phase reversing layer disposed on the multilayer reflective layer, a capping layer disposed between the capping layer and the absorber layer, And at least one of the hard films provided on the absorbing film.

본 발명은 앞의 극자외선용 블랭크 마스크를 이용하여 극자외선용 포토마스크를 제조할 수 있다. In the present invention, a photomask for extreme ultraviolet rays can be produced using the above-mentioned blank mask for extreme ultraviolet rays.

본 발명은 흡수막을 높은 소멸 계수(k)를 갖는 금속 화합물로 구성하여, 흡수막의 차광성을 확보함과 동시에 흡수막의 박막화 가능한 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공할 수 있다.The present invention can provide an extreme ultraviolet blank mask and a photomask using the same, wherein the absorbing film is made of a metal compound having a high extinction coefficient (k) to secure the light shielding property of the absorbing film and make the absorbing film thinner.

또한, 본 발명은 흡수막을 구성하는 금속 및 경원소의 조성비를 조절하여 내화학성 및 내노광성을 향상시킬 수 있는 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공할 수 있다.In addition, the present invention can provide a blank mask for EUV and a photomask using the same, which can improve the chemical resistance and antinodality by adjusting the composition ratio of the metal and the light source constituting the absorbing film.

도 1은 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크에서 발생되는 그림자 효과를 설명하기 위하여 도시한 도면.
도 2는 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크의 패턴 방향에 따른 그림자 효과의 발생 유무를 설명하기 위하여 도시한 도면.
도 3은 본 발명의 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a diagram for explaining a shadow effect generated in a conventional photomask manufactured using a blank mask for extreme ultraviolet rays. FIG.
BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a photomask, and more particularly,
3 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to an embodiment of the present invention.

이하에서는, 도면을 참조하여 본 발명의 실시예를 통하여 본 발명을 구체적으로 설명하지만, 실시예는 단지 본 발명의 예시 및 설명을 하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로, 본 발명의 기술 분야에서 통상의 지식을 가진 자라면 실시예로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 수 있을 것이다. 따라서, 본 발명의 진정한 기술력 보호범위는 특허청구범위의 기술적 사항에 의해 정해져야 할 것이다.Hereinafter, the present invention will be described in detail with reference to the drawings, but it should be understood that the present invention is not limited to these embodiments. For example, And is not intended to limit the scope of the invention. Therefore, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention. Accordingly, the true scope of protection of the present invention should be determined by the technical matters of the claims.

도 3은 본 발명의 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.3 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to an embodiment of the present invention.

도 3을 참조하면, 본 발명에 따른 극자외선용 블랭크 마스크(300)는 투명 기판(302) 상에 적층된 다층 반사막(304), 흡수막(312) 및 레지스트막(318)을 포함하며, 다층 반사막(304)과 흡수막(312) 사이에 구비된 캡핑막(306)을 더 포함할 수 있다. 3, a blank mask 300 for extreme ultraviolet rays according to the present invention includes a multilayer reflective film 304, an absorbing film 312, and a resist film 318 stacked on a transparent substrate 302, And a capping layer 306 provided between the reflective layer 304 and the absorption layer 312.

투명 기판(302)은 EUV광을 이용하는 반사형 마스크 블랭크용 글래스 기판으로서 적합하도록 노광 시의 열에 의한 패턴의 변형을 방지하기 위해 0 ± 1.0 × 10-7/℃ 범위 내의 저 열팽창 계수를 가지며, 바람직하게는 0 ± 0.3 × 10-7/℃ 범위 내의 저 열팽창 계수를 갖는 LTEM(Low Thermal Expansion Material) 기판이다.The transparent substrate 302 preferably has a low thermal expansion coefficient within a range of 0 占 1.0 占10-7 / 占 폚 in order to prevent deformation of the pattern due to heat during exposure so as to be suitable as a glass substrate for a reflective mask blank using EUV light, Is an LTEM (Low Thermal Expansion Material) substrate having a low thermal expansion coefficient in the range of 0 ± 0.3 × 10 -7 / ° C.

상기 LTEM 기판은 노광 시 반사광의 정밀도를 높이기 위하여 높은 평탄도(Flatness)가 요구된다. 평탄도는 TIR값으로 표현되며, TIR(Total Indicated Reading)이란 표면의 휘어짐(변형량)을 나타내는 값으로 기판 표면을 기준으로 하여 최소 제곱법에 의해 정해지는 평면을 초평면으로 하고, 이 초평면보다 위에 있는 기판 표면의 가장 높은 위치와 초평면보다 아래에 있는 기판 표면의 가장 낮은 위치와의 고저차의 절대값을 말한다. 따라서, 평탄도가 양호할수록 TIR값은 낮은 값을 갖게 되고, LTEM 기판은 낮은 TIR값을 갖는 것이 바람직하다.The LTEM substrate is required to have a high flatness in order to increase the precision of reflected light upon exposure. TIR (Total Indicated Reading) is a value representing the warpage (deformation amount) of the surface. The plane defined by the least squares method with reference to the surface of the substrate is defined as a hyperplane, Refers to the absolute value of the difference in height between the highest position of the substrate surface and the lowest position of the substrate surface below the hyperplane. Therefore, the better the flatness, the lower the TIR value, and the LTEM substrate preferably has a lower TIR value.

LTEM 기판의 평탄도는 상부에 형성되는 다층 반사막 및 캡핑막, 나아가 흡수막의 평탄도에 영향을 미치게 되고, 특히, 반사막 및 캡핑막 상에서의 평탄도가 낮을 경우(높은 TIR값을 갖는 경우), EUV 노광광이 약 4°∼ 6° 경사입사하여 포토 마스크로부터 전사되면서 패턴의 위치 왜곡(Position Distribution)현상이 발생하게 된다. 따라서, 기판의 평탄도(TIR값)는 이상적으로 '0'인 것이 바람직하나, 실질적인 가공(예를 들어 폴리싱, 부분 연마 등의 기계적 가공)에 의해서는 '0'의 TIR값을 구현하기가 어렵다. 따라서, LTEM 기판의 평탄도는 60㎚ 이하의 TIR값을 가지며, 바람직하게, 40㎚ 이하의 평탄도를 갖는다.The flatness of the LTEM substrate affects the flatness of the multilayer reflective film and the capping film formed on the upper portion and further the absorption film. Particularly, when the flatness on the reflective film and the capping film is low (in the case of having a high TIR value) The exposure light is incident on the photomask at an incidence angle of about 4 ° to 6 °, and the position distribution of the pattern is generated. Therefore, it is preferable that the flatness (TIR value) of the substrate is ideally '0', but it is difficult to realize the TIR value of '0' by actual processing (for example, mechanical processing such as polishing or partial polishing) . Therefore, the flatness of the LTEM substrate has a TIR value of 60 nm or less, and preferably has a flatness of 40 nm or less.

다층 반사막(304)은 몰리브데늄(Mo) 및 실리콘(Si)을 교대로 40층 내지 60층 적층하여 형성한다. 다층 반사막(304)은 이미지 감도(Image Contrast)를 좋게 하기 위하여 13.5㎚ 파장에 대한 높은 반사율이 요구된다. 이러한 다층 반사막의 반사 강도(Reflection Intensity)는 노광광의 입사 각도 및 각 층의 두께에 따라 달라지게 되는데, 예를 들어, 노광광의 입사 각도가 5˚일 경우 몰리브데늄(Mo) 및 실리콘(Si)이 각각 2.8㎚, 4.2㎚의 두께로 형성되는 것이 바람직하나, EUV 액침 노광 리소그래피(Immersion Lithography) 적용 시 입사 각도가 8˚∼ 14˚로 넓어짐에 따라, 반사 강도가 달라지게 된다. 따라서, 반사막(304)은 노광광의 최종 입사 각도에 최적화된 반사 강도를 가져야 하며, 이때 몰리브데늄(Mo)은 2㎚ ∼ 4㎚, 실리콘(Si)은 3㎚ ∼ 5㎚의 두께를 갖는다.The multilayer reflective film 304 is formed by alternately laminating 40 layers to 60 layers of molybdenum (Mo) and silicon (Si). The multilayer reflective film 304 is required to have a high reflectance for a wavelength of 13.5 nm in order to improve image contrast. The reflection intensity of the multilayer reflective film depends on the angle of incidence of the exposure light and the thickness of each layer. For example, when the angle of incidence of the exposure light is 5 °, molybdenum (Mo) and silicon (Si) Are preferably formed to have a thickness of 2.8 nm and 4.2 nm, respectively. However, when EUV liquid immersion lithography is applied, the incident angle is widened to 8 to 14 degrees, and the reflection intensity is changed. Therefore, the reflective film 304 should have a reflection intensity optimized for the final incident angle of the exposure light, wherein the molybdenum (Mo) has a thickness of 2 nm to 4 nm and the silicon (Si) has a thickness of 3 nm to 5 nm.

다층 반사막(304)은 몰리브데늄(Mo)이 대기에 접촉하면 쉽게 산화되어 반사율이 저하되기 때문에 산화 방지를 위한 보호막으로서 실리콘(Si)을 최상부층에 형성 하는 것이 바람직하다. 반사막(304)은 13.5㎚의 극자외선용 노광 파장에 대하여 65% 이상의 반사율을 가지며, 193㎚ 또는 257㎚의 검사 파장에 대하여 40% ∼ 65%의 반사율을 갖는다. 다층 반사막(304)은 표면 TIR의 절대값으로 60㎚ 이하의 값을 가지며, 바람직하게, 40㎚ 이하의 값을 갖는다. 반사막(304)의 표면 거칠기(Surface Roughness)는 0.2㎚RMS 이하의 값을 가지며, 바람직하게, 0.1㎚RMS 이하의 값을 갖는다.Since the multilayer reflective film 304 is easily oxidized when molybdenum (Mo) is brought into contact with the atmosphere and reflectance is lowered, it is preferable to form silicon (Si) on the uppermost layer as a protective film for preventing oxidation. The reflective film 304 has a reflectance of 65% or more with respect to an exposure wavelength for extreme ultraviolet light of 13.5 nm and a reflectance of 40% to 65% with respect to an inspection wavelength of 193 nm or 257 nm. The multilayer reflective film 304 has a value of 60 nm or less in absolute value of the surface TIR, and preferably has a value of 40 nm or less. The surface roughness of the reflective film 304 has a value of 0.2 nm RMS or less and preferably 0.1 nm RMS or less.

캡핑막(306)은, 바람직하게, 루테늄(Ru), 니오븀(Nb)으로 형성하거나 또는 루테늄(Ru) 화합물, 니오븀(Nb) 화합물로 형성하며, 루테늄(Ru)과 니오븀(Nb)을 모두 포함하는 화합물로 형성할 수 있다. 캡핑막(306)을 구성하는 금속 및 경원소(산소(O), 질소(N), 탄소(C), 붕소(B) 중 함유된 물질의 합)는 10 : 0 ∼ 5 : 5의 함유량 비율을 갖는다.The capping layer 306 is preferably formed of ruthenium (Ru) or niobium (Nb) or formed of a ruthenium (Ru) compound or a niobium (Nb) compound and contains both ruthenium (Ru) and niobium . ≪ / RTI > The sum of the contents of the metals and the light elements (oxygen (O), nitrogen (N), carbon (C), and boron (B)) constituting the capping film 306 is 10: 0 to 5: 5 Respectively.

캡핑막(306)은 1㎚ ∼ 10㎚의 두께를 가지며, 바람직하게, 1㎚ ∼ 5㎚의 두께를 갖는다. 캠핑막(306)은 그 두께가 1㎚ 이하인 경우, 상부 흡수막 패턴 형성 시 식각 조건(예를 들어, Over Etching 등)을 고려하였을 때 하부에 형성된 다층 반사막(304)을 보호하기 어렵다. 또한, 그 두께가 10㎚ 이상인 경우, 13.5㎚의 노광 파장에 대하여 60% 미만의 반사율을 가져 흡수막(312) 반사율에 대한 이미지 감도(Image Contrast)가 감소한다.The capping film 306 has a thickness of 1 nm to 10 nm, and preferably has a thickness of 1 nm to 5 nm. When the thickness of the camping film 306 is 1 nm or less, it is difficult to protect the multilayer reflective film 304 formed on the bottom when etching conditions (for example, overetching, etc.) are taken into account when forming the upper absorber film pattern. Further, when the thickness is 10 nm or more, the reflectance is less than 60% with respect to the exposure wavelength of 13.5 nm, and the image sensitivity to the reflectance of the absorbing film 312 is reduced.

캡핑막(306)은 13.5㎚의 극자외선 노광 파장에 대하여 60% 이상의 반사율을 가지며, 표면 TIR의 절대값으로 60㎚ 이하의 값을 갖고, 바람직하게, 40㎚ 이하의 값을 갖는다. 캡핑막(306)의 표면 거칠기(Surface Roughness)는 0.2㎚RMS 이하의 값을 가지며, 바람직하게, 0.1㎚RMS 이하의 값을 갖는다.The capping film 306 has a reflectance of 60% or more with respect to an extreme ultraviolet ray exposure wavelength of 13.5 nm, has an absolute value of surface TIR of 60 nm or less, and preferably has a value of 40 nm or less. The surface roughness of the capping film 306 has a value of 0.2 nm RMS or less and preferably 0.1 nm RMS or less.

흡수막(312)은 극자외선용 포토마스크의 노광 시 발생하는 그림자 효과를 저감하기 위하여 박막화가 필요하다. 이를 위해, 흡수막(312)은 노광광에 대한 높은 소멸계수(k)를 갖고, 하부 캡핑막(306)에 대하여 식각 선택비가 우수하며, 세정에 사용되는 화학 약품에 대하여도 우수한 내성을 갖는 구성물질로 형성된다. The absorption layer 312 needs to be thinned in order to reduce the shadow effect generated during exposure of the extreme ultraviolet ray photomask. For this purpose, the absorber layer 312 has a high extinction coefficient k for the exposure light, has an excellent etching selectivity to the lower capping layer 306, and has excellent resistance to chemicals used for cleaning Lt; / RTI >

흡수막(312)은 인듐(In), 팔라듐(Pd), 탄탈(Ta), 텔레늄(Te), 이리듐(Ir) 중 선택되는 1종 이상의 금속 물질을 포함하여 이루어지거나 또는 상기 1종 이상의 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 물질을 더 포함하여 이루어진다.The absorbing layer 312 may comprise at least one metal selected from indium (In), palladium (Pd), tantalum (Ta), tellurium (Te), and iridium (Ir) The material further comprises at least one of oxygen (O), nitrogen (N), carbon (C), boron (B), and hydrogen (H).

본 발명에 따른 극자외선용 블랭크 마스크는 흡수막(312)의 박막화를 위하여 높은 소멸 계수(k)를 갖는 금속 화합물을 구성 물질로 하여, 차광성을 확보함과 동시에 흡수막의 박막화를 가능하도록 한다.The extreme ultraviolet blank mask according to the present invention is made of a metal compound having a high extinction coefficient k for thinning the absorption film 312 to secure the light shielding property and make the absorption film thinner.

종래 흡수막의 주요 구성 물질인 크롬(Cr) 및 탄탈(Ta)이 13.5㎚의 노광 파장에서 각각 0.0389 및 0.0408의 소멸 계수(k) 값을 갖는 것에 대비하여, 인듐(In)은 0.0700의 소멸 계수(k) 값을 가지므로 흡수막의 차광성을 확보함과 동시에 흡수막의 박막화가 가능하다. 자세하게, 탄탈(Ta)의 경우 13.5㎚의 노광 파장에서 1.0% 이하의 반사율을 만족하기 위하여 70㎚ 이상의 두께가 필요하였으나, 인듐(In)은 70㎚ 이하, 바람직하게는 65㎚ 이하의 두께가 요구되어 흡수막의 박막화 및 그림자 효과를 줄이는 것이 가능하게 되었다.Indium (In) has an extinguishing coefficient of 0.0700 (k), while chromium (Cr) and tantalum (Ta), which are the main constituent materials of conventional absorption films, have extinction coefficient (k) values of 0.0389 and 0.0408 at an exposure wavelength of 13.5 nm k), it is possible to secure the light-shielding property of the absorbing film and to make the absorbing film thinner. In detail, in the case of tantalum (Ta), a thickness of 70 nm or more was required in order to satisfy a reflectance of 1.0% or less at an exposure wavelength of 13.5 nm, but indium (In) was required to have a thickness of 70 nm or less, preferably 65 nm or less Thereby making it possible to reduce the thickness of the absorbent film and reduce the shadow effect.

따라서, 흡수막(312)은 인듐(In)을 주성분으로 하고, 상기 인듐(In)에 팔라듐(Pd), 탄탈(Ta), 텔레늄(Te), 이리듐(Ir) 중 선택되는 1종 이상의 추가 금속 물질을 포함하여 이루어지거나, 또는, 상기 금속 물질들에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 이루어지는 것이 바람직하다. 자세하게, 흡수막(312)을 인듐(In) 단일 물질로 구성하는 경우, 세정 및 기타 화학 약품에 대하여 내화학성이 취약한 문제점이 발생하여 팔라듐(Pd), 탄탈(Ta), 텔레늄(Te), 이리듐(Ir) 중 선택되는 1종 이상의 추가 금속 물질을 포함하여 막의 내화학성을 강화시킬 수 있도록 한다. 이때, 인듐(In) 대비 추가 금속 물질(Pd, Ta, Te, Ir)은 95at% : 5at% ∼ 5at% : 95at%의 조성비를 갖는다.Therefore, the absorption layer 312 is formed by using indium (In) as a main component and adding at least one selected from the group consisting of palladium (Pd), tantalum (Ta), tellurium (Te) and iridium (Ir) Or one or more light element materials selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B) and hydrogen (H) . When the absorbing layer 312 is made of indium (In) single material, there is a problem that the chemical resistance is poor with respect to cleaning and other chemicals, and therefore, the surface of the absorbing layer 312 is made of palladium (Pd), tantalum (Ta) And iridium (Ir), so that the chemical resistance of the film can be enhanced. At this time, the additional metal material (Pd, Ta, Te, Ir) as compared to indium (In) has a composition ratio of 95 at%: 5 at% to 5 at%: 95 at%.

흡수막(312)은 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함할 수 있으며, 상기 금속 대비 경원소는 9 : 1 ∼ 2 : 8의 함유량 비율을 갖는다.The absorption layer 312 may further include one or more light source materials selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B), and hydrogen (H) And a content ratio of 9: 1 to 2: 8.

흡수막(312)은 하부층(308) 및 상부층(310)이 적층된 2층 이상의 다층 구조를 갖는 것이 바람직하며, 단층 구조로 형성할 수 있다. 흡수막(312)이 단층 구조를 갖는 경우, 흡수막(312)은 조성비가 일정한 단일막으로 구성되거나, 두께 방향으로 조성비가 변화되는 연속막의 형태로 구성할 수 있다.The absorption layer 312 preferably has a multi-layered structure of two or more layers in which a lower layer 308 and an upper layer 310 are laminated, and may be formed as a single layer structure. When the absorption layer 312 has a single-layer structure, the absorption layer 312 may be composed of a single layer having a constant composition ratio or may be formed in the form of a continuous layer in which the composition ratio varies in the thickness direction.

흡수막(312)은 다층 반사막(304)과의 반사율 차이(Contrast)를 갖기 위해서 검사 파장(193㎚ 또는 257㎚)에서 30% 이하의 낮은 반사율을 필요로 한다.The absorbing film 312 requires a reflectance of 30% or less at the inspection wavelength (193 nm or 257 nm) in order to have a reflectance difference with the multilayer reflective film 304.

예를 들어, 흡수막(312)이 하부층(308) 및 상부층(310)으로 구성된 2층의 다층막 구조를 갖는 경우, 인듐(In)을 주성분으로 하는 상부층(310)은 산소(O) 및 질소(N) 중 적어도 하나 이상을 다량 포함함으로써 검사 파장에서의 반사율을 낮추는 것이 가능하다. 또한, 하부층(308)은 광 흡수율을 높이고, 막의 박막화를 위하여 상부층(310)에 비하여 낮은 산소(O) 함유량을 갖는다.For example, when the absorption layer 312 has a two-layered multi-layer structure composed of a lower layer 308 and an upper layer 310, the upper layer 310 mainly composed of indium (In) N), it is possible to lower the reflectance at the inspection wavelength. In addition, the lower layer 308 has a lower oxygen (O) content than the upper layer 310 in order to increase the light absorptivity and reduce the film thickness.

흡수막(312)을 구성하는 금속 물질 및 경원소는 하부층(308) 및 상부층(310) 사이에 각각 적어도 10% 이상의 함유량 차이를 갖는다.The metal material and the light element constituting the absorbing film 312 have a difference in content of at least 10% or more between the lower layer 308 and the upper layer 310, respectively.

흡수막(312)은 30㎚ ∼ 70㎚의 두께를 가진다. 흡수막(312)의 두께가 30㎚ 이하이면, 노광광에 대한 반사율이 10% 이상으로 반사율이 높고, 70㎚ 이상이면 가로-세로 패턴의 임계치수 편차가 높아 목표로 하는 임계치수 대비 편차가 커져 임계치수 균일도, MEEF(Mask-Enhanced Error Factor) 증가의 원인이 된다.The absorbing film 312 has a thickness of 30 nm to 70 nm. When the thickness of the absorbing film 312 is 30 nm or less, the reflectance with respect to the exposure light is 10% or more, and the reflectance is high. When the thickness is 70 nm or more, the threshold value deviation of the transverse- The uniformity of the threshold number, and the increase of the MEEF (Mask-Enhanced Error Factor).

흡수막(312)은 13.5㎚의 극자외선용 노광광에 대하여 10% 미만의 반사율을 가지며, 바람직하게, 5% 이하의 반사율, 더욱 바람직하게, 1% 이하의 반사율을 갖는다. 또한, 흡수막(312)은 193㎚의 검사용 파장에 대하여 30% 미만의 반사율을 가지며, 바람직하게, 30% 이하의 반사율을 갖는다.The absorbing film 312 has a reflectance of less than 10%, preferably 5% or less, and more preferably 1% or less, with respect to the exposure light for extreme ultraviolet light of 13.5 nm. Further, the absorption film 312 has a reflectance of less than 30% with respect to the inspection wavelength of 193 nm, and preferably has a reflectance of 30% or less.

흡수막(312)의 박막 응력(Stress)은 200MPa 이하이며, 바람직하게, 150MPa 이하의 박막 응력을 갖는다.The thin film stress of the absorbing film 312 is 200 MPa or less and preferably has a thin film stress of 150 MPa or less.

레지스트막(318)은 화학증폭형 레지스트(CAR: Chemically Amplified Resist)가 사용되며, 레지스트막(318)은 200㎚ 이하의 두께를 갖고, 바람직하게, 100㎚ 이하의 두께를 갖는다.As the resist film 318, a chemically amplified resist (CAR: Chemically Amplified Resist) is used. The resist film 318 has a thickness of 200 nm or less, preferably 100 nm or less.

아울러, 도시하지는 않았지만, 본 발명에 따른 극자외선용 블랭크 마스크는 캡핑막 및 흡수막 사이에 구비된 버퍼막을 더 포함할 수 있다. 상기 버퍼막은 흡수막 패턴을 형성하는 드라이 에칭 공정에서 다층 반사막이 손상되는 것을 방지하는 역할을 한다. 또한, 극자외선용 포토마스크의 제작 과정에서 흡수막 패턴에 흑 결함 또는 백 결함이 발생한 경우에 수행되는 리페어 공정에서 다층 반사막을 보호하는 역할을 한다.In addition, although not shown, the blank mask for extreme ultraviolet rays according to the present invention may further include a buffer film provided between the capping film and the absorption film. The buffer film serves to prevent the multilayer reflective film from being damaged in a dry etching process for forming an absorption film pattern. In addition, it protects the multilayer reflective film in a repair process performed when a black defect or a white defect occurs in an absorbent film pattern in the process of manufacturing a photomask for extreme ultraviolet rays.

상기 버퍼막은 흡수막과 식각 선택비를 갖는 물질로 이루어지며, 예를 들어, 크롬(Cr)계 화합물로 구성되고, 버퍼막은 집속 이온 빔(FIB)을 이용한 흡수막 패턴의 수정을 행하는 경우에는 30㎚ ∼ 60㎚의 두께를 가지고, 집속 이온 빔을 이용하지 않는 경우(전자선과 비여기 상태의 불소계 가스(Xe2F 등)를 이용하는 결함 수정(EB 수정)을 이용하는 경우)에는, 5㎚ ∼ 15㎚의 두께를 갖는 것이 바람직하다.The buffer film is made of, for example, a chromium (Cr) -based compound. In the case of modifying the absorption film pattern using the focused ion beam (FIB), the buffer film is formed of a material having a selectivity ratio of 30 (EB correction) using a focused ion beam (in the case of using a defect correction (EB correction) using an electron beam and a fluorine-based gas (Xe 2 F or the like) in a non-excited state) having a thickness of 5 nm to 15 nm Nm thickness.

본 발명의 극자외선용 블랭크 마스크에 상기 버퍼막이 형성되지 않는 경우, 캡핑막에 버퍼막의 기능을 부가할 수 있다.In the case where the buffer film is not formed in the extreme ultraviolet ray mask of the present invention, the function of the buffer film can be added to the capping film.

또한, 본 발명에 따른 극자외선 블랭크 마스크는 투명 기판의 후면에 구비된 도전막을 더 포함할 수 있다. 상기 도전막은 LTEM 기판 상에 다층 반사막, 캡핑막, 흡수막을 형성한 후 기판의 후면에 형성하거나, 또는, 상기 박막들의 형성 전에 LTEM 기판의 후면에 우선적으로 형성할 수 있다. Further, the extreme ultraviolet blank mask according to the present invention may further include a conductive film provided on the rear surface of the transparent substrate. The conductive film may be formed on the rear surface of the substrate after forming the multilayer reflective film, the capping film, and the absorption film on the LTEM substrate, or may be preferentially formed on the rear surface of the LTEM substrate before the formation of the thin films.

상기 도전막은 낮은 면저항 값을 가져 정전척(Electronic-Chuck)과 극자외선용 블랭크 마스크의 밀착성을 향상시키며, 정전척과 도전막의 마찰에 의해 도전막에 의하여 파티클이 발생하는 것을 방지하도록 역할을 한다. 따라서, 도전막은 100Ω/□ 이하의 면 저항값을 가지며, 바람직하게, 50Ω/□ 이하, 더욱 바람직하게는 20Ω/□ 이하의 저항값을 갖는다.The conductive film has a low sheet resistance value to improve the adhesion between the electro-chuck and the extreme ultraviolet ray mask, and serves to prevent particles from being generated by the conductive film due to friction between the electrostatic chuck and the conductive film. Therefore, the conductive film has a surface resistance value of 100? /? Or less, and preferably has a resistance value of 50? /? Or less, more preferably 20? /? Or less.

상기 도전막은 70㎚ 이하의 두께를 가지며, 단층의 단일막, 단층의 연속막 또는 다층막의 형태로 구성할 수 있으며, 193㎚ 내지 257㎚ 파장에서 30% 이하의 반사율을 갖는다. 상기 도전막은, 예를 들어, 크롬(Cr)을 주성분으로 하여 형성할 수 있고, 2층의 다층막으로 구성되는 경우, 하부층은 크롬(Cr) 및 질소(N)를 포함하고, 상부층은 크롬(Cr), 질소(N) 및 산소(O)를 포함하여 이루어질 수 있다. 이때, 도전막은 크롬(Cr) 및 경원소(산소(O), 질소(N), 탄소(C), 붕소(B)의 합)가 8 : 2 ∼ 2 : 8의 조성비를 갖는다.The conductive film has a thickness of 70 nm or less and can be formed in the form of a single film, a continuous film or a multilayer film of a single layer, and has a reflectance of 30% or less at a wavelength of 193 nm to 257 nm. The conductive film may be formed of, for example, chromium (Cr) as a main component. When the multilayer film is composed of two layers, the lower layer includes chromium (Cr) and nitrogen (N) ), Nitrogen (N), and oxygen (O). At this time, the conductive film has a composition ratio of chromium (Cr) and light elements (sum of oxygen (O), nitrogen (N), carbon (C), and boron (B)) of 8: 2 to 2: 8.

아울러, 본 발명에 따른 극자외선용 블랭크 마스크는 버퍼막과 도전막을 모두 포함할 수 있으며, 다층 반사막의 상부와 흡수막의 상부에 각각 위상반전막 및 상기 흡수막과 식각 선택비를 가지며 흡수막의 패터닝 시 마스크로 역할하는 하드 필름을 삽입하여 패턴 정확도를 높일 수 있다.In addition, the extreme ultraviolet blank mask according to the present invention may include both a buffer film and a conductive film, and has a phase reversal film and an etching selectivity ratio with respect to the upper part of the multilayer reflective film and the upper part of the absorption film, respectively, You can increase the pattern accuracy by inserting a hard film that acts as a mask.

그리고, 다층 반사막, 캡핑막, 흡수막, 버퍼막 및 도전막들은 선택적으로 열처리할 수 있으며, 열처리 공정은 급속 열처리 장치(Rapid Thermal Process; RTP), 진공 핫-플레이트(Vacuum Hot-Plate Bake), 플라즈마(Plasma) 및 퍼니스(Furnace) 중 1 종 이상의 방법으로 수행 가능하다.In addition, the multilayer reflective film, the capping film, the absorbing film, the buffer film, and the conductive films can be selectively heat-treated. The heat-treating process includes a Rapid Thermal Process (RTP), a Vacuum Hot- A plasma, a furnace, and the like.

상술한 본 발명의 실시예에서, 캡핑막, 흡수막, 위상반전막, 하드 필름 및 도전막은 몰리브덴(Mo), 탄탈(Ta), 티타늄(Ti), 바나듐(V), 코발트(Co), 니켈(Ni), 지르코늄(Zr), 니오븀(Nb), 팔라듐(Pd), 아연(Zn), 크롬(Cr), 알루미늄(Al), 망간(Mn), 카드뮴(Cd), 마그네슘(Mg), 리튬(Li), 셀레늄(Se), 텔루륨(Te), 오스뮴(Os), 구리(Cu), 하프늄(Hf), 텅스텐(W), 주석(Sn), 이리듐(Ir), 안티몬(Sb), 갈륨(Ga), 게르마늄(Ge), 로듐(Rh), 은(Ag), 인듐(In), 백금(Pt), 금(Au), 납(Pb), 실리콘(Si) 중 선택되는 1종 이상의 물질을 포함하여 이루어지거나 또는 상기 물질에 산소(O), 질소(N), 탄소(C), 붕소(B) 중 1종 이상의 경원소 물질을 더 포함하여 이루어질 수 있다.
(Mo), tantalum (Ta), titanium (Ti), vanadium (V), cobalt (Co), nickel (Ni), and the like may be used as the capping film, the absorption film, the phase reversal film, (Ni), Zr, Nb, Pd, Zn, Cr, Al, Mn, Cd, Mg, (Li), Se, Tellu, Os, Cu, Hf, W, At least one selected from the group consisting of Ga, Ge, Rh, Ag, In, Pt, Au, Pb and Si, (N), carbon (C), and boron (B) may be further included in the material, or the material may further include at least one light element material selected from oxygen (O), nitrogen (N), carbon (C), and boron (B).

이하에서는 본 발명의 실시예에 따른 극자외선용 블랭크 마스크에 대하여 상세히 설명하도록 한다.
Hereinafter, a blank mask for extreme ultraviolet rays according to an embodiment of the present invention will be described in detail.

(실시예)(Example)

흡수막의 구성 물질에 따른 두께 평가Evaluation of thickness according to constituent material of absorbing film

극자외선용 블랭크 마스크는 상기 흡수막의 두께에 의한 그림자 효과가 문제된다. 이에 따라, 흡수막의 구성 물질 및 조성비에 따라 상기 광학 특성을 만족하는 두께를 비교 평가하였다.In the case of a blank mask for extreme ultraviolet rays, a shadow effect due to the thickness of the absorbing film is problematic. Thus, the thickness satisfying the above optical characteristics was compared and evaluated according to the constituent material and the composition ratio of the absorbing film.

상기 흡수막은 DC 마그네트론 스퍼터링 설비를 이용하여, 2층 구조로 형성하였으며, 하부층은 공정 가스로 Ar : N2 = 9sccm : 1sccm 주입하고, 공정 파워는 1.0㎾를 사용하여, 상기 각 금속의 질화층으로 형성하였다. 또한, 상부층은 공정 가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여, 각 금속의 산화질화층으로 형성하였다. 그리고, 비교예로 종래의 탄탈(Ta) 화합물로 흡수막을 형성하였다. The absorption layer was formed into a two-layer structure using a DC magnetron sputtering facility, and the lower layer was implanted with Ar: N 2 = 9 sccm: 1 sccm as a process gas and the process power was 1.0 kW. . Further, the upper layer was formed as an oxynitride layer of each metal by injecting Ar: N 2 : NO = 5 sccm: 5 sccm: 3 sccm as a process gas and using a process power of 1.0 kW. As a comparative example, an absorption film was formed with a conventional tantalum (Ta) compound.

상기 흡수막들의 반사율은 EUV Reflecto-meter를 이용하여 측정하였다.The reflectance of the absorbing films was measured using an EUV reflectometer.

표 1은 13.5㎚의 노광 파장에 대하여 1%의 반사율과 검사 파장인 193㎚에 대하여 30% 미만의 반사율을 나타내는 흡수막의 두께를 나타낸 것이다.
Table 1 shows the reflectance of 1% with respect to the exposure wavelength of 13.5 nm and the thickness of the absorptive film showing reflectance of less than 30% with respect to the inspection wavelength of 193 nm.

타겟target 타겟
조성비
target
Composition ratio
흡수막
두께
Absorption membrane
thickness
반사율reflectivity
@13.5㎚@ 13.5 nm @193㎚@ 193 nm 실시예 1Example 1 InIn -- 48.7㎚48.7 nm 0.98%0.98% 22.5%22.5% 실시예 2Example 2 InTaInTa In : Ta
= 5 : 5
In: Ta
= 5: 5
50.3㎚50.3 nm 0.99%0.99% 23.2%23.2%
실시예 3Example 3 InTaInTa In : Ta
= 7 : 3
In: Ta
= 7: 3
55.6㎚55.6 nm 0.97%0.97% 22.8%22.8%
실시예 4Example 4 InTaInTa In : Ta
= 9 : 1
In: Ta
= 9: 1
61.9㎚61.9 nm 0.97%0.97% 22.7%22.7%
비교예Comparative Example TaTa -- 72.3㎚72.3 nm 0.98%0.98% 24.5%24.5%

표 1을 참조하면, 실시예 1 내지 실시예 4의 흡수막은 48.7㎚ ∼ 58.9㎚의 두께를 형성하여, 비교예의 흡수막보다 얇은 두께로 유사한 수준의 반사율을 구현 가능한 것으로 확인하였다.
Referring to Table 1, it was confirmed that the absorbing films of Examples 1 to 4 were formed to have a thickness of 48.7 nm to 58.9 nm, and a reflectance similar to that of the comparative absorbing film could be realized with a thickness smaller than that of the comparative absorbing film.

흡수막의Absorbent 구성 물질에 따른 광학 특성 및 내화학성 평가 Evaluation of optical properties and chemical resistance according to constituent materials

흡수막의 구성 물질 및 조성비에 따른 흡수막의 광학 특성 및 내화학성을 평가하였다.The optical properties and chemical resistance of the absorbing film were evaluated according to the constituent materials and composition ratio of the absorbing film.

상기 흡수막은 DC 마그네트론 스퍼터링 설비를 이용하여, 2층 구조로 형성하였으며, 하부층은 공정 가스로 Ar : N2 = 9sccm : 1sccm 주입하고, 공정 파워는 1.0㎾를 사용하여, 55㎚의 두께를 갖는 금속 질화층으로 형성하였다. 상부층은 공정 가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여, 15㎚의 두께를 갖는 금속 산화질화층으로 형성하였다.The absorption layer was formed into a two-layer structure using a DC magnetron sputtering equipment. The lower layer was injected with Ar: N 2 = 9 sccm: 1 sccm as a process gas, and the process power was 1.0 kW. Nitrided layer. The top layer was injected with a process gas of Ar: N 2 : NO = 5 sccm: 5 sccm: 3 sccm, and a process power of 1.0 kW was used to form the metal oxynitride layer having a thickness of 15 nm.

상기 흡수막들의 반사율은 EUV Reflecto-meter를 이용하여 측정하였고, 내화학성 평가를 위하여 각 흡수막에 대한 SPM 평가를 진행하였다.The reflectance of the absorbing films was measured using an EUV reflectometer and the evaluation of the chemical resistance of each absorbing film was performed.

SPM 평가는 H2SO4와 H2O2를 혼합한 용액을 사용하며, H2SO4 : H2O2 = 10 : 1의 비율로 하고, 약 90℃의 온도에서 10분간 3회 세정 공정을 진행하였으며, 세정 공정 전·후의 두께 변화를 측정하였다. 이때, 흡수막의 두께는 X-Ray Reflectometry (XRR) 또는 Bruker AXS사의 D8 Discover 장비를 사용하여 측정하였다.The SPM evaluation uses a solution of H 2 SO 4 and H 2 O 2 mixed with H 2 SO 4 : H 2 O 2 = 10: 1, washing at a temperature of about 90 ° C. for 10 minutes three times And the change in thickness before and after the cleaning process was measured. At this time, the thickness of the absorbing film was measured using X-Ray Reflectometry (XRR) or D8 Discover equipment from Bruker AXS.

표 2는 일정 두께로 형성된 흡수막의 구성 물질에 따른 광학 특성 및 SPM 평가에 따른 내화학성 평가를 나타낸 것이다.
Table 2 shows the optical characteristics and the chemical resistance evaluation according to the SPM evaluation according to the constituent materials of the absorbent film formed to a certain thickness.


타겟
target
타겟
조성비
target
Composition ratio
반사율reflectivity 흡수막
두께 변화
Absorption membrane
Thickness change
@13.5㎚@ 13.5 nm @193㎚@ 193 nm 실시예 5Example 5 InTaInTa In : Ta
= 1 : 9
In: Ta
= 1: 9
0.96%0.96% 23.4%23.4% 1.1㎚1.1 nm
실시예 6Example 6 InTaInTa In : Ta
= 3 : 7
In: Ta
= 3: 7
0.92%0.92% 22.9%22.9% 1.3㎚1.3 nm
실시예 7Example 7 InTaInTa In : Ta
= 5 : 5
In: Ta
= 5: 5
0.85%0.85% 22.8%22.8% 1.4㎚1.4 nm
실시예 8Example 8 InTaInTa In : Ta
= 7 : 3
In: Ta
= 7: 3
0.83%0.83% 20.8%20.8% 3.7㎚3.7 nm
실시예 9Example 9 InTaInTa In : Ta
= 9 : 1
In: Ta
= 9: 1
0.83%0.83% 21.2%21.2% 10.2㎚10.2 nm
실시예 10Example 10 InIn -- 0.79%0.79% 20.3%20.3% 25.7㎚25.7 nm

표 2를 참조하면, 실시예 5 내지 10은 각각 13.5㎚의 노광 파장에서 0.79% ∼ 0.92%의 반사율을 갖고, 193㎚의 검사파장에서 20.3% ∼ 23.4%의 반사율을 가져 모두 양호한 광학 특성을 갖는 것을 알 수 있다.Referring to Table 2, Examples 5 to 10 have reflectivities of 0.79% to 0.92% at an exposure wavelength of 13.5 nm, respectively, and reflectances of 20.3% to 23.4% at an inspection wavelength of 193 nm, .

그러나, 실시예 8 내지 10의 경우, SPM 세정 공정 시 흡수막이 3.7㎚ ∼ 25.7㎚의 두께 변화를 나타내어 실시예 5 내지 실시예 7과 비교했을 때 내화학성이 떨어지는 것으로 나타났다. SPM 평가에 따른 흡수막의 두께 변화는 발생하지 않는 것이 이상적이지만, 흡수막을 구성하는 물질들의 화학적 안정성에 따라 변화가 발생하므로 1회 SPM 공정시 0.5㎚ 이하의 두께 변화량을 가지는 것이 바람직하다.
However, in the case of Examples 8 to 10, the absorbent film showed a thickness variation of 3.7 nm to 25.7 nm in the SPM cleaning step, indicating that the chemical resistance was lower than that of Examples 5 to 7. [ It is ideal that the thickness change of the absorbent film does not occur according to the SPM evaluation, but it is preferable to have a thickness variation of 0.5 nm or less in a single SPM process because a change occurs depending on the chemical stability of the materials constituting the absorbent film.

본 발명에 따른 According to the invention 극자외선용For ultraviolet rays 브랭크Blank 마스크의 제조 Manufacture of masks

본 발명에 따른 극자외선용 블랭크 마스크의 제조를 위하여, 기판은 6 inch x 6 inch x 0.25 inch의 크기를 가지고, 평탄도(TIR값)가 60㎚ 이하로 제어되며, SiO2-TiO 성분으로 이루어진 LTEM(Low Thermal Expansion Material) 기판을 준비하였다.In order to manufacture a blank mask for extreme ultraviolet rays according to the present invention, the substrate has a size of 6 inch x 6 inch x 0.25 inch, a flatness (TIR value) controlled to 60 nm or less, and a SiO 2 -TiO component LTEM (Low Thermal Expansion Material) substrate was prepared.

상기 LTEM 기판의 후면에는 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 크롬(Cr)을 주성분으로 하는 도전막(Conductive layer)을 형성하였다. 상기 도전막은 질화크롬(CrN; 하부층)과 산화질화크롬(CrON; 상부층)의 2층 구조로 형성하였다. 상기 상·하부층의 도전막은 모두 크롬(Cr) 타겟을 이용하여 형성하고, 하부층의 도전막은 공정 가스로 Ar : N2 = 5sccm : 5sccm 주입하고, 공정 파워 1.4㎾를 사용하여 42㎚의 두께를 갖는 질화크롬(CrN) 막으로 형성하였다. 상부층의 도전막은 공정 가스로 Ar : N2 : NO = 7sccm : 7sccm : 7sccm 주입하고, 공정 파워는 1.4㎾를 사용하여 24㎚의 두께를 갖는 산화질화크롬(CrON) 막으로 형성하였다. 최종적으로 도전막은 66㎚의 두께로 형성되었고, 형성된 도전막의 면저항을 4-Point Probe를 이용하여 측정한 결과 16.5Ω/□의 면저항값을 나타내어 정전척과의 결합(E-Chucking)에 문제가 없음을 확인하였다.On the rear surface of the LTEM substrate, a conductive layer made of chromium (Cr) as a main component was formed using a DC magnetron reactive sputtering facility. The conductive film was formed into a two-layer structure of chromium nitride (CrN; lower layer) and chromium oxynitride (CrON (upper layer)). The conductive films of the upper and lower layers were all formed using a chromium (Cr) target, and the conductive film of the lower layer was implanted with Ar: N 2 = 5 sccm: 5 sccm as a process gas. Using the process power of 1.4 kW, And a chromium nitride (CrN) film. The upper conductive film was formed by implanting Ar: N 2 : NO = 7 sccm: 7 sccm: 7 sccm as a process gas and a process power of 1.4 kW to form a chromium oxynitride (CrON) film having a thickness of 24 nm. Finally, the conductive film was formed to a thickness of 66 nm, and the sheet resistance of the formed conductive film was measured using a 4-point probe. As a result, a sheet resistance value of 16.5? /? Was shown and there was no problem in E-chucking Respectively.

상기 LTEM 기판의 전면부에 이온 빔 증착-저밀도결함(Ion Beam Deposition-Low Defect Density: 이하, 'IBD-LDD'라고 함) 장비를 이용하여 몰리브데늄(Mo) 4.8㎚, 실리콘(Si) 2.2㎚의 두께로 40층을 교대로 성막하여 다층 반사막을 형성하였다. 상기 다층 반사막의 반사율은 EUV Reflecto-meter를 이용하여 측정하였고, 측정 결과 13.5㎚의 파장에서 67.8%의 반사율을 나타내었으며, 193㎚의 파장에서 64.6%의 반사율을 나타내었다. 그리고, AFM(Atomic Force Microscopy) 장비를 이용하여 상기 다층 반사막의 표면 거칠기(Surface Roughness)를 측정하였고, 측정 결과 0.12㎚RMS의 표면 거칠기를 나타내어 EUV 노광광이 다층 반사막에서 반사 시 표면 거칠기에 의한 난반사가 적게 일어나는 것을 알 수 있었다. 또한, Ultra-Flat 장비를 이용하여 다층 반사막 142㎟ 영역의 평탄도를 측정한 결과 54㎚의 TIR(Total Indicated Reading) 값을 나타내어 반사막에 의한 패턴 위치 왜곡이 적은 것을 알 수 있었다.Molybdenum (Mo) of 4.8 nm, silicon (Si) of 2.2 .mu.m was deposited on the front surface of the LTEM substrate using an ion beam deposition-low defect density (hereinafter referred to as IBD-LDD) 40 layers were alternately formed to form a multilayer reflective film. The reflectance of the multilayer reflective film was measured using an EUV Reflecto-meter. As a result, the reflectance was 67.8% at a wavelength of 13.5 nm and 64.6% at a wavelength of 193 nm. The surface roughness of the multilayer reflective film was measured using an AFM (Atomic Force Microscopy) apparatus. The surface roughness of the multilayer reflective film was measured to be 0.12 nmRMS. As a result, the EUV exposure light was reflected by the surface roughness Of the total number of patients. In addition, the flatness of the 142 mm 2 area of the multilayer reflective film was measured using the Ultra-Flat equipment, and it was found that the TIR (Total Indicated Reading) value of 54 nm was obtained and the pattern position distortion caused by the reflective film was small.

상기 다층 반사막 상에 IBD-LDD 장비를 이용하여 루테늄(Ru)을 2.5㎚의 두께로 적층하여 캡핑막을 형성하였다. 상기 캡핑막의 형성 후, 다층 반사막과 동일하게 반사율을 측정한 결과 13.5㎚의 파장에서 65.8%의 반사율을 나타내어 다층 반사막의 반사율 수치였던 67.8%와 대비하여 반사율 변화가 거의 없음을 확인하였다. 그리고, 193㎚의 파장에서 반사율을 측정한 결과, 55.43%의 반사율을 나타내었다. 또한, 표면 거칠기 및 평탄도를 동일하게 측정한 결과, 표면 거칠기 값은 0.13㎚RMS를 나타내어 다층 반사막과 비교하여 거의 변화가 없었으며, TIR값 또한 54㎚로 변화가 없었음을 확인하였다.On the multilayer reflective film, ruthenium (Ru) was deposited to a thickness of 2.5 nm using IBD-LDD equipment to form a capping film. After the formation of the capping film, the reflectance was measured in the same manner as in the case of the multilayer reflective film. As a result, it was confirmed that the reflectance was 65.8% at a wavelength of 13.5 nm, and the reflectance was almost unchanged compared with 67.8% which was the reflectance value of the multilayer reflective film. As a result of measuring the reflectance at a wavelength of 193 nm, the reflectance was 55.43%. The surface roughness and flatness were measured in the same manner. As a result, the surface roughness value was 0.13 nmRMS, which showed almost no change compared to the multilayered reflective film, and the TIR value was also found to be 54 nm.

상기 캡핑막 상에 DC 마그네트론 스퍼터링 설비를 이용하여 상부층 및 하부층으로 이루어진 2층 구조의 흡수막을 성막하였다. 상기 상부층 및 하부층은 모두 인듐탄탈(InTa) 타겟(조성비 In : Ta = 50at% : 50at%)을 이용하였으며, 공정 가스 및 공정 파워를 조절하여 형성하였다.A two-layered absorption layer consisting of an upper layer and a lower layer was formed on the capping layer using a DC magnetron sputtering facility. Both the upper and lower layers were formed using an indium tantalum (InTa) target (composition ratio In: Ta = 50 at%: 50 at%) and controlling the process gas and process power.

하부층은 공정 가스로 Ar : N2 = 9sccm : 1sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 55㎚ 두께의 질화인듐탄탈(InTaN) 막을 형성하였고, 상부층은 공정가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 15㎚ 두께의 산화질화인듐탄탈(InTaON) 막을 형성하였다. 상기 상부층 및 하부층의 반사율을 측정한 결과, 상기 상부층은 13.5㎚의 노광 파장에 대하여 1.07%의 반사율을 나타내었으며, 상기 하부층은 13.5㎚의 노광 파장에 대하여 0.85%의 반사율을 나타내었고, 193㎚의 검사 파장에서는 22.8%의 반사율을 나타내었다.The lower layer is in the process gas Ar: N 2 = 9sccm: 1sccm placed, processing power was formed indium nitride, tantalum (InTaN) of 55㎚ thick film using 1.0㎾, the top layer is in the process gas Ar: N 2: NO = 5 sccm: 5 sccm: 3 sccm, and a process power of 1.0 kW was used to form a 15 nm thick indium tin oxide (InTaON) film. As a result of measuring the reflectance of the upper and lower layers, the upper layer exhibited a reflectance of 1.07% with respect to an exposure wavelength of 13.5 nm, the lower layer exhibited a reflectance of 0.85% with respect to an exposure wavelength of 13.5 nm, The reflectance was 22.8% at the inspection wavelength.

상기 흡수막에 대하여 Ultra-Flat 장비를 이용하여 평탄도를 측정한 결과 65㎚의 TIR값을 나타내었으며, 이는 캡핑막 형성 시의 TIR값과 대비하여 TIR값 변화량이 11㎚로 다소 높지만 박막 응력으로 환산하였을 경우 박막 응력은 110M㎩ 정도의 수치를 갖는 것으로서 평탄도에 문제가 없음을 확인하였다. As a result of measuring the flatness of the absorbing film using an ultra-flat equipment, the TIR value of 65 nm was shown. This is because the variation of the TIR value is slightly higher than the TIR value at the time of forming the capping film, It was confirmed that the thin film stress had a value of about 110 Mpa and that the flatness had no problem.

이상, 본 발명을 가장 바람직한 실시예를 이용하여 설명하였지만, 본 발명의 기술적 범위는, 상기 실시예에 기재된 범위에 한정되지 않는다. 상기 실시예에 다양한 변경 또는 개량을 가하는 것이 가능하다는 것은 해당 기술분야의 일반적인 기술자라면 용이하게 알 수 있을 것이다. 그와 같은 변경 또는 개량을 가한 형태도 본 발명의 기술적 범위에 포함될 수 있다는 것이 특허 청구 범위의 기재로부터 분명하다.
While the present invention has been described with reference to the preferred embodiments, the technical scope of the present invention is not limited to the range described in the above embodiments. It will be readily apparent to those skilled in the art that various changes and modifications can be made to the embodiments described above. It is apparent from the description of the claims that the form of such modification or improvement can be included in the technical scope of the present invention.

102 : 투명 기판 104: 다층 반사막
106 : 흡수막 106a: 흡수막 패턴
300 : 극자외선용 블랭크 마스크
302 : 투명 기판 304 : 다층 반사막
306 : 캡핑막 308 : 하부층
310 : 상부층 312 : 흡수막
318 : 레지스트막
102: transparent substrate 104: multilayer reflective film
106: absorbing film 106a: absorbing film pattern
300: Blank mask for extreme ultraviolet rays
302: transparent substrate 304: multilayer reflective film
306: capping film 308: lower layer
310: upper layer 312: absorbent film
318: resist film

Claims (9)

투명 기판 상에 다층 반사막, 흡수막 및 레지스트막이 적층된 극자외선용 블랭크 마스크에 있어서,
상기 흡수막은 인듐(In)을 필수적으로 포함하고, 팔라듐(Pd), 탄탈(Ta), 텔레늄(Te), 이리듐(Ir) 중 선택되는 1종 이상의 추가 금속 물질을 더 포함하여 구성되며,
상기 흡수막은 200MPa 이하의 박막 응력을 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
In a blank mask for extreme ultraviolet rays in which a multilayer reflective film, an absorbing film and a resist film are laminated on a transparent substrate,
The absorbing layer may include indium (In) and further include at least one additional metal selected from the group consisting of palladium (Pd), tantalum (Ta), tellurium (Te), and iridium (Ir)
Wherein the absorbent film has a thin film stress of 200 MPa or less.
제 1 항에 있어서,
상기 흡수막을 구성하는 인듐(In) 대비 상기 추가 금속 물질의 조성비는 95at% : 5at% ∼ 5at% : 95at% 인 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 1,
Wherein the composition ratio of the additional metal material to indium (In) constituting the absorbing film is 95 at%: 5 at% to 5 at%: 95 at%.
제 1 항에 있어서,
상기 흡수막은 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하며, 상기 인듐 및 추가 금속 물질의 합산 대비 상기 경원소의 조성비는 9 : 1 ∼ 2 : 8인 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 1,
Wherein the absorbent film further comprises at least one light element material selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B), and hydrogen (H) Wherein the composition ratio of cobalt is from 9: 1 to 2: 8.
제 3 항에 있어서,
상기 흡수막은 상부층 및 하부층의 2층 구조로 이루어지며, 상기 상부층 및 하부층은 10% 이상의 금속 물질 및 경원소 함유량 차이를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method of claim 3,
Wherein the absorbent layer has a two-layer structure of an upper layer and a lower layer, and the upper layer and the lower layer have a difference in content of a metal material and a light element of at least 10%.
제 1 항에 있어서,
상기 흡수막은 30㎚ ∼ 70㎚의 두께를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 1,
Wherein the absorbing film has a thickness of 30 nm to 70 nm.
제 1 항에 있어서,
상기 흡수막은 13.5㎚의 극자외선용 노광광에 대하여 10% 이하의 반사율을 갖고, 상기 흡수막은 193㎚의 검사 파장에 대하여 30% 이하의 반사율을 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 1,
Wherein the absorbing film has a reflectance of 10% or less with respect to the exposure light for extreme ultraviolet light of 13.5 nm, and the absorbing film has a reflectance of 30% or less with respect to the inspection wavelength of 193 nm.
삭제delete 제 1 항에 있어서,
상기 다층 반사막 및 흡수막 사이에 구비된 캡핑막, 상기 캡핑막과 흡수막 사이에 구비된 버퍼막, 상기 투명 기판의 하부에 구비된 도전막, 상기 다층반사막의 상부에 구비된 위상반전막, 상기 흡수막 상에 구비된 하드 필름 중 적어도 하나 이상의 막을 더 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 1,
A buffer layer disposed between the capping layer and the absorber layer, a conductive layer disposed under the transparent substrate, a phase reversing layer disposed on the multilayer reflective layer, a capping layer disposed between the capping layer and the absorber layer, Further comprising at least one film of a hard film provided on the absorptive film.
제 1 항 내지 제 6 항, 제 8 항 중 어느 한 항에 기재된 극자외선용 블랭크 마스크에 패턴을 형성하여 얻어진 포토 마스크.A photomask obtained by forming a pattern on the blank mask for extreme ultraviolet light according to any one of claims 1 to 6 and 8.
KR1020150041442A 2015-03-25 2015-03-25 Blankmask for extreme ultra-violet lithography and photomask using the same KR101579852B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150041442A KR101579852B1 (en) 2015-03-25 2015-03-25 Blankmask for extreme ultra-violet lithography and photomask using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150041442A KR101579852B1 (en) 2015-03-25 2015-03-25 Blankmask for extreme ultra-violet lithography and photomask using the same

Publications (1)

Publication Number Publication Date
KR101579852B1 true KR101579852B1 (en) 2015-12-23

Family

ID=55082631

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150041442A KR101579852B1 (en) 2015-03-25 2015-03-25 Blankmask for extreme ultra-violet lithography and photomask using the same

Country Status (1)

Country Link
KR (1) KR101579852B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017223905A (en) * 2016-06-17 2017-12-21 凸版印刷株式会社 Reflective mask blank and reflective mask
US20190079384A1 (en) * 2017-09-09 2019-03-14 Imec Vzw Reticles for Lithography
JP2019056898A (en) * 2017-09-21 2019-04-11 Agc株式会社 Reflection type mask blank, reflection type mask, and method for manufacturing reflection type mask blank
KR20190059326A (en) * 2016-10-21 2019-05-30 호야 가부시키가이샤 A reflective mask blank, a method of manufacturing a reflective mask, and a method of manufacturing a semiconductor device
EP3882698A4 (en) * 2018-11-15 2022-08-17 Toppan Printing Co., Ltd. Reflective photomask blank and reflective photomask

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207829A (en) * 2006-01-31 2007-08-16 Toppan Printing Co Ltd Reflective mask blank, reflective photomask and manufacturing method thereof
JP2007273678A (en) * 2006-03-31 2007-10-18 Hoya Corp Reflective mask blanks, reflective mask, and manufacturing method of semiconductor device
KR20100035559A (en) * 2008-09-26 2010-04-05 주식회사 에스앤에스텍 Blank mask for euv and photomask manufactured thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207829A (en) * 2006-01-31 2007-08-16 Toppan Printing Co Ltd Reflective mask blank, reflective photomask and manufacturing method thereof
JP2007273678A (en) * 2006-03-31 2007-10-18 Hoya Corp Reflective mask blanks, reflective mask, and manufacturing method of semiconductor device
KR20100035559A (en) * 2008-09-26 2010-04-05 주식회사 에스앤에스텍 Blank mask for euv and photomask manufactured thereof

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017223905A (en) * 2016-06-17 2017-12-21 凸版印刷株式会社 Reflective mask blank and reflective mask
KR20190059326A (en) * 2016-10-21 2019-05-30 호야 가부시키가이샤 A reflective mask blank, a method of manufacturing a reflective mask, and a method of manufacturing a semiconductor device
KR102631779B1 (en) * 2016-10-21 2024-02-01 호야 가부시키가이샤 Reflective mask blank, method of manufacturing a reflective mask, and method of manufacturing a semiconductor device
US20190079384A1 (en) * 2017-09-09 2019-03-14 Imec Vzw Reticles for Lithography
US10782607B2 (en) * 2017-09-09 2020-09-22 Imec Vzw Reticles for lithography
JP2019056898A (en) * 2017-09-21 2019-04-11 Agc株式会社 Reflection type mask blank, reflection type mask, and method for manufacturing reflection type mask blank
EP3882698A4 (en) * 2018-11-15 2022-08-17 Toppan Printing Co., Ltd. Reflective photomask blank and reflective photomask
US11906896B2 (en) 2018-11-15 2024-02-20 Toppan Photomask Co., Ltd. Reflective photomask blank and reflective photomask

Similar Documents

Publication Publication Date Title
KR101772943B1 (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR101567057B1 (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR101829604B1 (en) Photomask for Extreme Ultra-Violet Lithography and Method for manufacturing the same
KR20180127197A (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
TWI620977B (en) Blankmask for extreme ultra-violet lithography and photomask using the same
KR101625382B1 (en) Reflective Type EUV Blankmask, Photomask and Its Manufacturing Method
KR101579852B1 (en) Blankmask for extreme ultra-violet lithography and photomask using the same
KR101579848B1 (en) Phase Shift Blankmask and Photomask
JP6879437B2 (en) Reflective photomask blank and reflective photomask
JP2005268750A (en) Reflection mask blank, reflection mask, and method of manufacturing semiconductor device
JP7401356B2 (en) Substrate with multilayer reflective film, reflective mask blank, reflective mask, and semiconductor device manufacturing method
KR20160054458A (en) Substrate with multilayer reflective film, reflective mask blank for euv lithography, reflective mask for euv lithography, method for producing reflective mask for euv lithography, and method for manufacturing semiconductor device
US20230072220A1 (en) Multilayer-reflective-film-equipped substrate, reflective mask blank, reflective mask, and method for producing semiconductor device
WO2020235612A1 (en) Reflective mask blank for euv lithography
KR20160016098A (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR102511751B1 (en) Blankmask and Photomask for Extreme Ultra-Violet Lithography
KR101615890B1 (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
TWI811610B (en) Reflective type blankmask and photomask for euv
JP2023171382A (en) Substrate with electroconductive film, reflective mask blank, reflective mask, and method for producing semiconductor device
KR101567058B1 (en) Phase shift blank mask and Photomask
WO2020256064A1 (en) Reflective mask blank, reflective mask, and methods for manufacturing reflective mask and semiconductor device
KR20190129661A (en) Blankmask and Photomask for Extreme Ultra-Violet Lithography and method for fabricating of the same
KR20210089406A (en) Reflective type Blankmask for EUV, and Method for manufacturing the same
KR20210022479A (en) Blankmask for EUV, and Photomask manufactured with the same
JP7475154B2 (en) Reflective mask blank, reflective mask, substrate with conductive film, and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181203

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191204

Year of fee payment: 5