KR20140146622A - 스펙트럼 민감도에 기초한 모델 최적화 방법 - Google Patents

스펙트럼 민감도에 기초한 모델 최적화 방법 Download PDF

Info

Publication number
KR20140146622A
KR20140146622A KR1020147029649A KR20147029649A KR20140146622A KR 20140146622 A KR20140146622 A KR 20140146622A KR 1020147029649 A KR1020147029649 A KR 1020147029649A KR 20147029649 A KR20147029649 A KR 20147029649A KR 20140146622 A KR20140146622 A KR 20140146622A
Authority
KR
South Korea
Prior art keywords
model
parameters
parameter
spectral sensitivity
storage medium
Prior art date
Application number
KR1020147029649A
Other languages
English (en)
Other versions
KR102118224B1 (ko
Inventor
스틸리안 이바노프 판데프
타데우스 제라드 드지우라
멩푸 쉬
리에콴 리
Original Assignee
도쿄엘렉트론가부시키가이샤
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 케이엘에이-텐코 코포레이션 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140146622A publication Critical patent/KR20140146622A/ko
Application granted granted Critical
Publication of KR102118224B1 publication Critical patent/KR102118224B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

스펙트럼 민감도에 기초한 모델 최적화 방법이 기재된다. 예를 들어, 방법은 구조의 제1 모델을 결정하는 것을 포함한다. 제1 모델은 제1 파라미터 세트에 기초한다. 구조에 대한 스펙트럼 민감도 변화 데이터 세트가 결정된다. 스펙트럼 민감도는 제1 파라미터 세트에 대하여 스펙트럼의 유도물에 의해 결정된다. 스펙트럼 민감도 변화 데이터 세트에 기초하여 구조의 제1 모델은 변경되어 구조의 제2 모델을 제공한다. 구조의 제2 모델은 제1 파라미터 세트와 다른 제2 파라미터 세트에 기초한다. 그런 다음, 구조의 제2 모델로부터 유도된 시뮬레이션된 스펙트럼이 제공된다.

Description

스펙트럼 민감도에 기초한 모델 최적화 방법{MODEL OPTIMIZATION APPROACH BASED ON SPECTRAL SENSITIVITY}
관련 출원의 상호 참조
본 출원은 2012년 3월 28일에 제출된 미국 가출원 61/616,971의 이득을 청구하며 참고로 여기에 포함된다.
본 개시물의 실시예는 계측(metrology) 분야이고, 특히, 스펙트럼 민감도에 기초한 모델 최적화 방법에 관한 것이다.
지난 몇년 동안, RCWA(rigorous couple wave approach) 및 유사 알고리즘이 회절 구조의 연구 및 설계에 널리 사용되어 왔다. RCWA 방법에서, 주기적 구조의 프로파일은 주어진 수의 충분히 얇은 평면 그레이팅 슬래브(sufficiently thin planar grating slab)에 의해 근사화된다. 특히, RCWA는 3개의 메인 동작, 즉, 그레이팅 내의 필드의 푸리에 전개(Fourier expansion), 회절 신호를 특징화하는 일정한 계수 행렬의 고유값(eigenvalues) 및 고유 벡터(eigenvectors)의 산출 및 경계 매칭 조건으로부터 유도되는 선형 시스템의 솔루션을 수반한다. RCWA는 문제를 3개의 개별 공간 영역, 즉, (1) 모든 반사된 회절 차수에 걸친 합산 및 입사 평면 웨이브 필드를 지원하는 주변 영역, (2) 웨이브 필드가 각 회절 차수와 연관된 모드의 중첩으로서 취급되는 하위 비패턴 층(underlying non-patterned layer) 및 (3) 송신되는 웨이브 필드를 포함하는 기판으로 분리한다.
RCWA 솔루션의 정확도는, 일반적으로 에너지 절약을 만족하면서, 부분적으로 웨이브 필드의 공간 조화 확장(space-harmonic expansion) 내에 보유되는 항(term)의 수에 의존한다. 보유되는 항의 수는 산출 동안 고려되는 회절 차수의 수의 함수이다. 주어진 가설 프로파일에 대한 시뮬레이션된 회절 신호의 효율적인 생성은 회절 신호의 직교 자기(TM; transverse-magnetic) 및/또는 직교 전기(TE; transverse-electric) 컴포넌트에 대한 각 파장에서의 회절 차수의 최적의 세트의 선택을 수반한다. 수학적으로, 선택되는 회절 차수가 클수록, 시뮬레이션이 더 정확하다. 그러나, 회절 차수의 수가 높을수록, 시뮬레이션된 회절 신호의 산출에 더 많은 계산이 요구된다. 또한, 계산 시간은 사용되는 차수의 수의 비선형 함수이다.
RCWA 산출로의 입력은 주기적 구조의 프로파일 또는 모델이다. 임의의 경우, 단면 전자 현미경 사진이 (예를 들어 주사형 전자 현미경 또는 투과형 전자 현미경으로부터) 이용가능하다. 이용가능하면, 이러한 이미지는 모델의 구성을 안내하는데 사용될 수 있다. 그러나, 웨이퍼는, 후속의 처리 동작의 수에 따라, 수일 또는 수주일 걸릴 수 있는 모든 원하는 처리 동작이 완료될 때까지 단면도로 만들어질 수 없다. 모든 처리 동작이 완료된 후에도, 단면 이미지를 생성하는 프로세스는 샘플 준비 및 이미지에 대한 정확한 위치를 찾는데 수반되는 많은 동작 때문에 수시간에서 수일이 걸릴 수 있다. 또한, 단면도 프로세스는 요구되는 시간, 숙련된 노동 및 복잡한 장치 때문에 비싸고, 이는 웨이퍼를 파괴한다(destroy).
따라서, 주기적 구조에 대한 제한된 정보를 고려하여 주기적 구조의 정확한 모델을 효율적으로 생성하는 방법, 그 구조의 파라미터화를 최적화하는 방법 및 그 구조의 측정을 최적화하는 방법이 필요하다.
본 발명의 실시예는 스펙트럼 민감도에 기초한 모델 최적화 방법을 포함한다.
실시예에서, 반도체 기판 또는 웨이퍼 상의 반복 구조의 계측(metrology)을 이용하여 구조적 분석을 위한 파라메트릭 모델을 최적화하는 방법은 구조의 제1 모델을 결정하는 단계를 포함한다. 상기 제1 모델은 제1 파라미터 세트에 기초한다. 상기 구조에 대한 스펙트럼 민감도 변화 데이터 세트를 결정한다. 상기 스펙트럼 민감도는 상기 제1 파라미터 세트에 대하여 스펙트럼의 유도물(derivatives)에 의해 결정된다. 상기 스펙트럼 민감도 변화 데이터 세트에 기초하여 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공한다. 상기 구조의 제2 모델은 상기 제1 파라미터 세트와 다른 제2 파라미터 세트에 기초한다. 상기 구조의 제2 모델로부터 유도된(derived) 시뮬레이션된 스펙트럼을 제공한다.
다른 실시예에서, 머신 액세스가능 저장 매체는 반도체 기판 또는 웨이퍼 상의 반복 구조의 계측(metrology)을 이용하여 구조적 분석을 위한 파라메트릭 모델을 최적화하는 방법을 데이터 프로세싱 시스템이 수행하도록 하는 명령어를 저장한다. 방법은 구조의 제1 모델을 결정하는 단계를 포함한다. 상기 제1 모델은 제1 파라미터 세트에 기초한다. 상기 구조에 대한 스펙트럼 민감도 변화 데이터 세트를 결정한다. 상기 스펙트럼 민감도는 상기 제1 파라미터 세트에 대하여 스펙트럼의 유도물에 의해 결정된다. 상기 스펙트럼 민감도 변화 데이터 세트에 기초하여 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공한다. 상기 구조의 제2 모델은 상기 제1 파라미터 세트와 다른 제2 파라미터 세트에 기초한다. 상기 구조의 제2 모델로부터 유도된 시뮬레이션된 스펙트럼을 제공한다.
다른 실시예에서, 시뮬레이션된 회절 신호를 생성하여 웨이퍼 애플리케이션의 프로세스 파라미터를 결정하여 광 계측을 이용하여 웨이퍼 상에 구조를 제조하는 시스템은 웨이퍼 애플리케이션을 수행하여 웨이퍼 상에 구조를 제조하도록 구성되는 제조 클러스터를 포함한다. 하나 이상의 프로세스 파라미터는 상기 제조 클러스터를 이용하여 수행되는 웨이퍼 애플리케이션에서 상기 구조를 프로세싱할 때 구조 형상 또는 층 두께의 거동을 특성화한다. 또한, 상기 웨이퍼 애플리케이션의 하나 이상의 프로세스 파라미터를 결정하도록 구성되는 광 계측 시스템을 포함한다. 상기 광 계측 시스템은 상기 구조의 회절 신호를 측정하도록 구성되는 빔 소스 및 검출기를 포함한다. 광 계측 시스템은 또한 구조의 제1 모델을 결정하도록 구성되고 - 상기 제1 모델은 제1 파라미터 세트에 기초함 -, 상기 구조에 대한 스펙트럼 민감도 변화 데이터 세트를 결정하도록 구성되고 - 상기 스펙트럼 민감도는 상기 제1 파라미터 세트에 대하여 스펙트럼의 유도물에 의해 결정됨 -, 상기 스펙트럼 민감도 변화 데이터 세트에 기초하여 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하도록 구성되고 - 상기 구조의 제2 모델은 상기 제1 파라미터 세트와 다른 제2 파라미터 세트에 기초함 -, 상기 구조의 제2 모델로부터 유도된 시뮬레이션된 스펙트럼을 제공하도록 구성되는 프로세서를 포함한다.
도 1a는 본 발명의 실시예에 따라 새로운 파라미터화를 결정하는 방법에서의 대표적인 동작을 나타내는 순서도.
도 1b는 본 발명의 실시예에 따라 PCA(principal components analysis) 애플리케이션에서의 고려사항을 나타내는 흐름도.
도 2는 본 발명의 실시예에 따라 최적화된 모델을 결정하기 위하여 새로운 파라미터화를 결정하는 방법에서의 대표적인 단계를 나타내는 순서도.
도 3은 본 발명의 실시예에 따라 새로운 파라미터화를 결정하는 다른 방법에서의 대표적인 단계를 나타내는 순서도.
도 4는 본 발명의 실시예에 따라 PCAmin 및 PCAmax 범위 및 관련 min/max 범위를 나타내는 장치(1-7)의 함수로서의 상관 범위의 플롯을 나타내는 도면.
도 5는 본 발명의 실시예에 따라 도시된 특이치(singular value) 및 누적 비율(cumulative proportion)을 갖는 PCA에 대한 DOF(degree of freedom)의 함수로서의 주성분(principal component)의 플롯을 나타내는 도면.
도 6은 본 발명의 실시예에 따라 플로팅 파라미터(floated parameter)(HT1 및 HT2)를 갖는 모델을 나타내는 도면.
도 7은 본 발명의 실시예에 따라 프로세스 방법론에 의해 제조된 반도체 구조의 이중 단면도의 앵글드(angled) 도면.
도 8은 본 발명의 실시예에 따라 도 7의 구조를 모델링하는데 사용될 수 있는 반도체 구조 모델의 이중 단면도의 앵글드 도면.
도 9는 본 발명의 실시예에 따라 제1 축을 따르는 모델 DOF, 제2 직교축을 따르는 프로세스 DOF 및 제1 및 제2 축 사이에 위치하는 최적 피트 축(optimal fit axis)의 플롯을 나타내는 도면.
도 10은 본 발명의 실시예에 따라 자동화된 프로세스 및 장치 제어를 위한 구조적 파라미터를 결정하고 이용하는 예시적인 일련의 동작을 나타내는 순서도.
도 11은 본 발명의 실시예에 따라 자동화된 프로세스 및 장치 제어를 위한 구조적 파라미터를 결정하고 이용하는 시스템의 예시적인 블록도.
도 12a는 본 발명의 실시예에 따라 x-y 평면에서 변하는 프로파일을 갖는 주기적 그레이팅을 나타내는 도면.
도 12b는 본 발명의 실시예에 따라 x방향으로는 변하지만 y방향으로는 변하지 않는 프로파일을 갖는 주기적 그레이팅을 나타내는 도면.
도 13은 본 발명의 실시예에 따라 2차원 컴포넌트 및 3차원 컴포넌트를 갖는 구조의 단면도.
도 14는 본 발명의 실시예에 따라 반도체 웨이퍼 상의 구조의 파라미터를 결정하기 위하여 광 계측의 이용을 나타내는 제1 아키텍쳐 다이어그램.
도 15는 본 발명의 실시예에 따라 반도체 웨이퍼 상의 구조의 파라미터를 결정하기 위하여 광 계측의 이용을 나타내는 제2 아키텍쳐 다이어그램.
도 16은 본 발명의 실시예에 따라 예시적인 컴퓨터 시스템의 블록도.
도 17은 본 발명의 실시예에 따라 샘플 스펙트럼으로 시작하는 파라미터화 모델 및 스펙트럼 라이브러리를 형성하는 방법에서의 단계를 나타내는 순서도.
도 18은 본 발명의 실시예에 따라 구조의 생산 측정을 수행하는 라이브러리를 형성하는 방법에서의 단계를 나타내는 예시적인 순서도.
도 19는 본 발명의 실시예에 따라 구조의 생산 측정을 수행하기 위한 실시간 회귀 측정 레시피(real-time regression measurement recipe)를 형성하는 방법에서의 단계를 나타내는 예시적인 순서도.
스펙트럼 민감도에 기초한 모델 최적화 방법이 여기에 기재된다. 다음의 설명에서, 본 발명의 실시예의 완전한 이해를 제공하기 위하여 민감도 분석을 수행하는 특정 방법 등의 수많은 특정 세부사항이 기재된다. 본 발명의 실시예는 이들 특정한 세부사항 없이 실행될 수 있음은 당업자에게 자명하다. 다른 예에서, 패터닝된 물질층의 스택의 제조 등의 공지된 처리 동작은 본 발명의 실시예를 불필요하게 모호하게 하지 않도록 상세히 기재되지 않는다. 또한, 도면에 도시된 다양한 실시예는 예시적인 표시이며 반드시 일정한 비율로 그려지지 않음을 이해할 것이다.
여기에 기재된 하나 이상의 실시예는 스펙트럼 민감도에 기초한 모델 최적화 방법에 관한 것이다. 이러한 방법에 대한 사용은 예를 들어 광 계측 등의 계측을 위한 애플리케이션을 포함할 수 있다.
본 발명의 하나 이상의 실시예는 반도체 기판 또는 웨이퍼 상의 반복 구조의 광 계측을 이용하여 구조 분석을 위한 최적화된 파라메트릭 모델을 결정하는 새로운 방법을 제공한다. 예를 들어, 모델 파라미터 상관이 크게 감소될 수 있다. 결과적으로, 모델은 더 안정적이고, 플로팅 파라미터의 수가 모델 피트(model fit)를 크게 타협하지 않고 조직적으로 감소될 수 있다.
컨텍스트를 제공하기 위하여, 종래에, 파라메트릭 모델은 자신의 기하학적 및 물질 파라미터로 정의된다. 파라미터 민감도는 시뮬레이션을 통해 평가된다. 고정된 에러 분석은 플로팅될 적절한 파라미터 세트를 결정하기 위하여 수행된다. 많은 경우, 이러한 파라미터는 크게 상관되고, 이는 모델을 불안정하게 할 수 있고 토글링 등의 효과를 유도할 수 있다. 상관된 파라미터 중의 하나 이상을 고정하는 것은 모델을 더 안정하게 할 수 있고 이러한 고정은 최종 결과에서 상당한 에러를 유도할 수 있다.
그해 반해서, 도 1a는 본 발명의 실시예에 따라 새로운 파라미터화를 결정하는 방법에서의 대표적인 동작을 나타내는 순서도(100)이다. 순서도(100)를 참조하면, 단계(102)에서, 모델 파라미터에 대하여 스펙트럼 민감도 분석이 수행된다. 단계(104, 106)에서, 스펙트럼 정보를 이용하여 변환에 의해 파라미터 상관을 최소화하는 새로운 파라미터화를 결정한다. 일반적으로, 이들 단계는 파장, 편광, 각 및 코히어런스 상태(state of coherence) 등의 함수로서 측정된 신호에 의한 작업을 수반한다. 특정한 실시예에서, 이하에서 더 상세히 설명하는 바와 같이 PCA(principal component analysis)가 사용된다. 단계(108)에서, 새로운 모델 파라미터 공칭 값 및 범위가 정의되고, 기존의 파라미터는 새로운 파라미터의 함수로서 제한된다.
상술한 바와 같이, 순서도(100)의 방법은 PCA 파라미터화를 이용하는 것을 포함할 수 있다. 민감도 분석을 위한 PCA의 사용은 파라미터 공간의 각 포인트마다 다를 수 있다. 제1 예시적인 경우는 공칭에 대하여 PCA를 수행하고 파라미터 공간 내의 상이한 포인트에 대하여 에러/상관을 무시하는 것을 수반한다. 제2 예시적인 경우는 (예를 들어, AcuShape로부터의 표준 또는 세부 분석을 이용하여) 파라미터 공간 내의 모든 다수의 포인트로부터의 데이터를 이용하여 PCA를 수행하는 것을 수반한다. 값들의 평균을 내어 민감도는 모든 포인트로부터 수집되고 PCA는 전체 데이터세트에 적용된다. 제3 예시적인 경우는 회귀(regression) 및 라이브러리 탐색 동안 실시간으로 산출되는 PCA를 수행하는 것을 포함한다. 이러한 방법에서, 로컬 공간은 회귀의 각 단계시에 샘플링된다. 파라미터화의 글로벌 모델은 기하학적 파라미터의 함수로서 생성된다.
실시예에서, 파라미터화 모델은 파라미터 공간 내의 각 샘플 포인트에 대하여 PCA 파라미터화를 산출함으로써 얻어진다. 모델은 파라미터화 식에서 각 계수에 대하여 생성되고, 각각의 계수는 기하학적 파라미터의 함수이다. 각각의 회귀 동작 동안, 파라미터화는 파라미터화 모델을 이용하여 구성된다. 이러한 일 실시예에서, 중립 네트워크 모델 구현예(예를 들어, (GP1, GP2, GP3, ...)->(cm1), 또는 (GP1, GP2, GP3, ...)->(cm1, cm2, cm3, ...))가 이용된다.
도 1b는 본 발명의 실시예에 따라 PCA(principal components analysis) 애플리케이션에서의 고려사항을 나타내는 흐름도(150)이다. 흐름도(150)를 참조하면, PCA(152)는 상관되지 않은 PC(principal component) 파라미터(154)의 사용을 수반한다. 상관되지 않은 PC 파라미터(154)의 사용은 더 좋고 더 빠른 라이브러리 트레이닝(156) 및/또는 라이브러리 내에 토글링이 없는 안정한 모델(158)을 유발할 수 있다. PCA(152)는 또한 제1의 약간의 파라미터에서 스펙트럼 변화의 대부분이 집중되는 것(160)을 수반할 수 있다. 이것은 (예를 들어, 더 작은 라이브러리(164) 및/또는 더 빠른 회귀 및 라이브러리 생성(166)을 제공하는) 감소된 DOF(162)를 유발할 수 있다. 또한, 동일한 DOF에 대한 더 많은 GP를 효율적 이용은 예를 들어 더 좋은 피트, 로우 chi2(170)를 제공할 수 있다. 또한, 최적의 DOF는 추정될 수 있고(172), 상이한 서브시스템이 평가될 수 있고(174), 다수의 서브시스템 및 타겟의 사용이 평가될 수 있고(176) 및/또는 자동 파장 선택이 수행될 수 있다(178). PCA 방법은 또한 형상 탐색(182) 및/또는 프로세스 변화 파라미터화 방법(184) 등의 새로운 애플리케이션(180)을 수반하거나 가능하게 할 수 있다.
다른 실시예에서, 여기에 기재된 방법은 특정 구조를 모델링하는데 필요한 DoF의 예측을 수반한다. 이러한 하나의 실시예에서, 비기하학적 파라미터화를 위해 2개의 방법, 즉, PCA 및 함수+델타(Function+Delta)가 정의된다. 파라미터화의 함수+델타 타입은 선형 및 비선형 파라미터 상관에 적용될 수 있다. 모델링된 파라미터 공간 감소(예를 들어, 라이브러리 사이즈 감소)는 이 방식으로 선형 및 비선형 파라미터 공간에 대하여 달성될 수 있다. 결과적으로, 여기에 기재된 방법 중의 하나 이상이 사용되어 해당 민감도 및 상관 분석 결과를 개선할 수 있다.
상술한 방법은 더 복잡한 절차로 구현될 수 있다. 예를 들어, 도 2는 본 발명의 실시예에 따라, 예를 들어, 최적화된 모델을 결정하기 위하여 새로운 파라미터화를 결정하는 방법에서의 대표적인 단계를 나타내는 순서도(200)이다. 순서도(200)를 참조하면, 단계(202)에서, 스펙트럼 및 대응 민감도가 주어진 모델을 이용하여 산출된다. 단계(204)에서, PCA 등의 분석이 수행되어 적절한 변환을 결정한다. 단계(206)에서, 모델은 변환된 파라미터로 재파라미터화되고, 단계(208)에서, 새로운 파라미터의 공칭 값 및 범위가 결정된다. 단계(210)에서, 어떤 파라미터 세트가 플로팅되고 어떤 파라미터 세트가 고정될지를 결정한다. 단계(212)에서, 새로운 모델에 대한 회귀가 수행된다. 단계(214)에서, 좋은 피트가 달성되면, 216에서 최적화된 모델이 얻어진다. 그렇지 않으면, 좋은 피트를 찾을 때까지 단계(210 및 212)가 반복된다.
상술한 방법의 이점은, 제한되지 않지만, 도 4와 관련하여 이하에서 상세히 설명하는 바와 같이, 파라미터 상관의 제거 또는 상당한 감소를 포함할 수 있다. 예를 들어, (1) 리던던트 파라미터가 제거되어 문제의 차원수(dimensionality)를 감소시키고 더 작은 라이브러리 사이즈 및 더 빠른 라이브러리 및 회귀 산출을 허용하고 (2) 거의 동일한 피트 품질을 갖는 몇 개의 경쟁 형상 솔루션을 찾는 모델 피팅 알고리즘을 방해하는((예를 들어, 정확한 형상 뿐만 아니라 부정확한 형상을 포함할 가능성을 완화하는) 것을 도울 수 있는 수치 불안정이 감소된다. 다른 이점은 기존의 BKM(best known method)의 간단한 애플리케이션을 허용하면서 민감도를 감소시킴으로써 새로운 파라미터가 자연스럽게 정리(order)된다. 다른 이점은 도 5와 관련하에 이하에서 더 상세히 설명하는 바와 같이 DOF(degree of freedom)의 최소수를 추정하는 개선된 방법을 제공하는 능력을 포함한다.
추가적인 이점은 동일한 수의 모델 파라미터에 대한 모델 피트를 개선하는 더 효율적인 방법을 제공하는 능력을 포함할 수 있다. 또한, 예를 들어, 이하에서 더 상세히 기재되는 바와 같이, 모델을 최적화하는 더 강건한 방법이 제공될 수 있고, 도 6은 플로팅 파라미터(HT1 및 HT2)가 크게 상관되고 높은 민감도를 갖는 모델을 나타낸다. 다른 이점은 더 좋은 모델 피트를 위한 기회를 생성하기 위하여 본래의 모델의 더 많은 파라미터를 효율적으로 플로팅하는 능력을 포함할 수 있다. 예를 들어, 10 DOF 기하학 파라메트릭 모델로부터 구성된 5 DOF PCA 파라메트릭 모델은 5 DOF 기하학 파라메트릭 모델보다 좋은 피트를 가질 수 있다. 이것은 모델 안정성을 개선할 수 있다. 다른 이점은 라이브러리 사이즈 및 회귀 토글링 효과의 감소를 포함할 수 있다. 본질적으로, 라이브러리 대 회귀 매칭이 개선된다. 상술한 방법에 대한 다른 이점은 (예를 들어, 상관되지 않은 파라미터의 사용 때문에) 라이브러리 구성을 개선하면서 (예를 들어, DOF를 감소시킴으로써) 라이브러리 사이즈를 감소시키는 능력을 포함할 수 있다. 또한, (예를 들어, DOF를 감소시킴으로써), 분석, 회귀 및 라이브러리 생성 횟수가 감소될 수 있고, 프로세스 변화의 특성화가 개선될 수 있다. 일 실시예에서, 라이브러리는 본래의 함수 또는 수학적 모델의 감소된 모델로서 정의되어 라이브러리를 갖는 함수/수학적 모델에 대한 평가는 빠르지만 그 결과적인 값은 본래의 값에 거의 근접한다.
실시예에서, 모델링에 대한 상술한 방법을 이용하여, 형상 탐색을 위한 높은 DOF 분리(discretized) 모델의 사용이 가능하다. 이것은 크게 분리되지만 간단한 초기 모델을 이용하여 복잡한 형상을 결정하도록 한다. 일 예로서, 도 3은 본 발명의 실시예에 따라 새로운 파라미터화를 결정하는 다른 방법에서의 대표적인 단계를 나타내는 순서도(300)이다. 순서도(300)를 참조하면, 단계(302)에서, 크게 분리된 모델이 구성된다. 단계(304)에서, 스펙트럼 및 광선 민감도가 산출되고, 단계(306)에서 PCA가 수행된다. 단계(308 및 310)에서 새로운 PCA 파라미터화가 수행된다. 단계(312)에서 DOF가 감소된다. 단계(314)에서 회귀가 실행되어 형상 및 프로세스 공간에 걸친 형상 변화를 찾는다. 양호한 피트가 사용되면(316), 단계(318 및 320)에서 결과적인 모델이 그대로 사용되거나 적절한 기능(예를 들어, 보우(bow), 탑(top) 또는 라운딩(rounding) 기능)을 이용하여 간략화된다. 양호한 피트가 아니면, 양호한 피트를 찾을 때까지 단계(312 및 314)가 반복될 수 있다.
도 4는 본 발명의 실시예에 따라 PCAmin 및 PCAmax 범위 및 관련 min/max 범위를 나타내는 장치(1-7)의 함수로서의 상관 범위의 플롯(400)을 나타낸다. 플롯(400)을 참조하면, PCA 산출 동안 스펙트럼 민감도 분석을 이용하여 파라미터 상관의 제거 또는 상당한 제거가 달성될 수 있다.
도 5는 본 발명의 실시예에 따라 도시된 특이치(singular value) 및 누적 비율(cumulative proportion)을 갖는 PCA에 대한 DOF(degree of freedom)의 함수로서의 주성분(principal component)의 플롯(500)을 나타낸다. 플롯(500)을 참조하면, 이 예에서는 대략 8 DOF가 필요하다. 더 많이 사용하면 모델 불안정성을 유도할 가능성이 있지만, 덜 사용하면 모든 스펙트럼 민감도를 캡쳐하지 못할 것이다. 따라서, 양호한 모델 피트에 대한 DOF의 최소수가 추정될 수 있다.
도 6은 본 발명의 실시예에 따라 플로팅 파라미터(floated parameter)(HT1 및 HT2)를 갖는 모델(600)을 나타내는 도면이다. 모델(600)을 참조하면, 플로팅 파라미터(HT1 및 HT2)는 크게 상관되고 높은 민감도를 갖는다. 모델은 수치 불안정성 때문에 초기에 실패할 것이다. 그러나, 파라미터화의 변경 후에, 새로운 모델은 양 높이가 새로운 파라미터(P1)를 통해 제어된 방식으로 변하도록 한다. 따라서, 모델을 최적화하는 더 강건한 방법이 제공된다.
전반적으로 및 더 일반적으로, 실시예에서, 새로운 스펙트럼 민감도 기반 파라미터화 및/또는 기존/새로운 모델 파라미터 관계의 결정은 다음의 방법 중 하나 이상으로 수행될 수 있다: (1) PCA, ICA(independent component analysis) 또는 DCA(detrended correspondene analysis)를 수행, (2) AANN(auto-associative neutral network), PCA-ANN 또는 커널(kernel) PAC 등의 비선형 방법을 수행, (3) 유전(genetic) 알고리즘 및 유전 프로그래밍을 이용하여 파라미터 공간에서 탐색하여 제한 식(constraint equation)을 찾음, (4) 상기 방법을 수행하고 커스텀 사용자 정의 식을 추가/변경, (5) 파라미터 공간 내의 다수의 포인트로부터 얻어지는 조합된 스펙트럼 민감도 데이터를 이용하여 상기 방법을 수행, (6) 다수의 광선으로부터 얻은 조합된 스펙트럼 민감도 데이터(예를 들어, 방위각(Za), AOI(angle of incidence) 및 편광 상태)를 이용하여 상기 방법을 수행, (7) 프로세스 변경 범위의 파라미터 공간 내의 현재 포인트에 기초하여 파라미터화를 적응적으로 변경, (8) 상관이 최소화되거나 최소화에 근접하도록 변환 계수를 피팅(fitting)/최적화, 및/또는 (9) 민감도 행렬, 그 근사치 또는 민감도 행렬의 대각선 스케일링 등의 민감도 매트릭스의 함수에 적용되는 상술한 기술 중의 하나를 수행.
실시예에서, 상술한 방법 중의 하나 이상은 가장 유능한 계측 서브시스템 또는 서브시스템의 조합을 선택하기 위하여 구현될 수 있다. 일 예로서, SE(spectroscopic ellipsometry)가 대략 70도의 AOI에서 수행되거나 SE 및 각도 분해 반사측정(angle-resolved reflectormetry)의 조합 또는 SAXS 등의 X선 계측 또는 전자 빔 방법이 사용될 수 있다. 방법은 상이한 서브시스템 상에서의 PCA 실행 및 상이한 계측 서브시스템 또는 서브시스템의 조합에 의해 신뢰성있게 측정될 수 있는 PCA 파라미터의 수의 평가를 수반한다.
실시예에서, 상술한 방법 중의 하나 이상은 기하학적 모델을 이용하여(예를 들어, CD(critical dimension) 또는 필름 두께 값을 이용하여), 물질 파라미터를 이용하여(예를 들어, n&k, 구성요소(composition) 또는 밀도 값을 이용하여), 시스템 파라미터를 이용하여(예를 들어, AOI, 방위각, 파장, 회절 각, 편광기 각 값을 이용하여) 또는 그 조합으로 수행될 수 있다. 여기에 기재된 방법은 또한 여기에 참고로 포함된 KLA-Tencor의 미국 특허 7,478,019 "Multiple tool and structure analysis"에 개시된 것 등의 다수의 타겟(및/또는 다수의 툴)으로 계측을 최적화하는데 사용될 수 있다. 추가적으로, 여기에 기재된 방법은 피드포워드(feed-forward), 피드 사이드웨이(feed sideways) 및 동시 분석을 이용하여 데이터의 다수의 세트에 대하여 사용될 수 있다. 특정한 실시예에서, 상술한 방법 중의 하나 이상이 실행중인 파라미터의 서브세트에 적용된다.
상술한 스펙트럼 민감도 방법에 대한 일반적인 이점은 높은 자유도 모델로부터 다수의 파라미터 상관을 제거함으로써 애플리케이션 엔지니어의 결정 수행 프로세스의 상당한 간략화를 포함한다. 또한, 더 간편한 파라미터화가 제공된다. 또한, 더 안정한 회귀 및 라이브러리 수행이 제공되어 높은 품질 및 신속한 애플리케이션 솔루션을 유발한다.
상술한 바와 같이, 본 발명의 하나 이상의 실시예는 광학 모델 등의 모델을 개선하는 것이다. 본 발명의 실시예의 사상 및 범위 내에서 고려되는 많은 가능한 재파라미터화 중의 하나의 예로서, 3차원 구조의 파라미터가 모델링의 목적으로 선택될 수 있다. 도 7은 본 발명의 실시예에 따라 프로세스 방법론에 의해 제조된 반도체 구조(700)의 이중 단면도의 앵글드(angled) 도면이다. 예로서, 반도체 구조는 에치 부분(702) 및 에치 부분(702) 내의 내부 지형(topography)(704)을 갖는다. 에치 프로세스 등의 반도체 구조(700)를 제조하는데 사용되는 프로세스의 결과로서, 현실적으로, 구조의 세부적인 부분(detailed features) 및 전체 형상에 대한 옵션의 서브세트만이 존재한다.
따라서, 이러한 구조를 모델링하는데 모든 가능한 조합이 사용될 필요가 없다. 예를 들어, 도 8은 본 발명의 실시예에 따라 도 7의 구조를 모델링하는데 사용될 수 있는 반도체 구조 모델(100)의 이중 단면도의 앵글드 도면이다. 도 8을 참조하면, 구조(700)의 제조에 대하여 가능한 유한 결과가 존재하기 때문에, 모델(800)은 파라미터의 서브세트에 초점을 맞춘다. 특정하지만 비제한 적인 예로서, 구조 높이(HT)(802), 구조 폭(804), TCD(top critical dimension)(806) 및 BCD(bottom critical dimension)(808)가 모델링 프로세스에서 분석될 수 있는 가능한 파라미터로서 도시된다. 따라서, 프로세스 변경이 결과적인 기하학적 구조를 필연적으로 변경하더라도, 다수의 특징(feature)이 유사한 방식으로 영향을 받을 수 있다. 즉, 파라미터는 상관되는 것으로 간주될 수 있다. 프로세스 DOF는 독립 변형의 수이다. 사용자는 얼마나 많은 파라미터가 플로팅되는지를 결정한다. 모델 DOF는 사용자가 플로팅하는 것으로 선택한 기하학적 파라미터의 수이다.
프로세스 DOF 및 모델 DOF 간의 관계를 더 설명하기 위하여, 도 9는 본 발명의 실시예에 따라 제1 축(902)을 따르는 모델 DOF, 제2 직교축(904)을 따르는 프로세스 DOF 및 제1 및 제2 축 사이에 위치하는 최적 피트 축(906)의 플롯(900)을 나타낸다. 플롯(900)을 참조하면, 프로세스 DOF 축(904)에 가장 가까운 공간에서, 나쁜 모델링 피트가 달성된다. 예를 들어, 소정의 특징이 모델링되지 않거나 불충분하게 정의(underdefined)된다. 반대로, 모델 DOF 축(902)에 가장 근접한 공간에서, 토글링이 발생할 수 있다. 예를 들어, 다수의 최소가 존재하거나 특징 파라미터가 이 공간에서 과정의(overdefined)된다. 따라서, 최적의 피트(906)은 축(902 또는 904)의 어디에도 근사하지 않다.
상술한 방법은 구조의 최적화된 모델로부터 도출된 시뮬레이션된 스펙트럼을 제공하는 것을 수반할 수 있다. 실시예에서, 이에 더하여, 방법은 시뮬레이션된 스펙트럼을 구조로부터 유도된 샘플 스펙트럼에 비교하는 것을 더 포함할 수 있다. 이러한 동작을 수행하는 방법을 설명하는 실시예는 이하에서 더 상세히 설명한다.
일반적으로, 회절 신호의 차수는 주기적 구조로부터 도출되는 것으로 시뮬레이션될 수 있다. 0차는 주기적 구조의 법선(N)에 대하여 가설 입사빔의 입사각과 동일한 각에서의 회절 신호를 나타낸다. 더 높은 회절 차수는 +1, +2, +3, -1, -2, -3 등으로 지정된다. 소멸 차수로서 알려진 다른 차수가 또한 고려될 수 있다. 본 발명의 실시예에 따라, 시뮬레이션된 회절 신호가 광 계측에 사용되기 위하여 생성된다. 예를 들어, 구조적 형상 및 필름 두께 등의 프로파일 파라미터는 광 계측에 사용되기 위하여 모델링될 수 있다. 구조 내의 굴절률, 흡수 계수, (n&k) 등의 물질의 광 특성이 또한 광 계측에 사용되기 위하여 모델링될 수 있다.
산출 기반 시뮬레이션 회절 차수는 필름의 스택에 기초한 패터닝된 반도체 필름 또는 구조 등의 패터닝된 필름에 대한 프로파일 파라미터를 나타낼 수 있고, 자동화된 프로세스 또는 장치 제어를 칼리브레이션하는데 사용될 수 있다. 도 10은 본 발명의 실시예에 따라 자동화된 프로세스 및 장치 제어를 위한 구조적 파라미터를 결정하고 이용하는 예시적인 일련의 동작을 나타내는 순서도(1000)이다.
순서도(1000)의 단계(1002)를 참조하면, 측정된 회절 신호의 세트로부터 파라미터를 추출하기 위하여 라이브러리 또는 트레이닝된 머신 학습 시스템(MLS; machine learning system)이 개발된다. 단계(1004)에서, 라이브러리 또는 트레이닝된 MLS를 이용하여 구조의 적어도 하나의 파라미터가 결정된다. 단계(1006)에서, 적어도 하나의 파라미터가 처리 동작을 수행하도록 구성되는 제조 클러스터로 송신되고, 처리 동작은 측정 단계(1004)가 수행되기 전 또는 후에 반도체 제조 프로세스 플로우에서 실행될 수 있다. 단계(1008)에서, 적어도 하나의 송신된 파라미터를 이용하여 제조 클러스터에 의해 수행된 처리 동작을 위한 프로세스 변수 또는 장치 설정을 변경한다.
머신 학습 시스템의 더 상세한 설명은 2003년 6월 27일에 제출되고 발명의 명칭이 "OPTICAL METROLOGY OF STRUCTURES FORMED ON SEMICONDUCTOR WAFERS USING MACHINE LEARNING SYSTEMS"이고 여기에 참고로 포함되는 미국 특허 7,831,528을 참조한다. 2차원 반복 구조에 대한 회절 차수 최적화의 설명은 2006년 3월 24일에 제출되고 발명의 명칭이 "OPTIMIZATION OF DIFFRACTION ORDER SELECTION FOR TWO-DIMENSIONAL STRUCTURES"이고 여기에 참고로 포함되는 미국 특허 7,428,060을 참조한다.
도 11은 본 발명의 실시예에 따라 자동화된 프로세스 및 장치 제어를 위한 프로파일 또는 필름 두께 파라미터 등의 구조적 파라미터를 결정하고 이용하는 시스템(1100)의 예시적인 블록도이다. 시스템(1100)은 제1 제조 클러스터(1102) 및 광 계측 시스템(1104)을 포함한다. 시스템(1100)은 또한 제2 제조 클러스터(1106)를 포함한다. 도 11에서, 제2 제조 클러스터(1106)가 제1 제조 클러스터(1102) 다음에 도시되지만, 제2 제조 클러스터(1106)가 시스템(1100)에서(및, 예를 들어, 제조 프로세스 플로우에서) 제1 제조 클러스터(1102) 전에 위치할 수 있다.
예시적인 일 실시예에서, 광 계측 시스템(1104)은 광 계측 툴(1108) 및 프로세서(1110)를 포함한다. 광 계측 툴(1108)은 구조로부터 얻은 회절 신호를 측정하도록 구성된다. 측정된 회절 신호 및 시뮬레이션된 회절 신호가 매칭되면, 프로파일 또는 필름 두께 파라미터의 하나 이상의 값이 시뮬레이션된 회절 신호와 연관된 프로파일 또는 필름 두께 파라미터의 하나 이상의 값으로 결정된다.
예시적인 하나의 실시예에서, 광 계측 시스템(1104)은 또한 복수의 시뮬레이션된 회절 신호 및 복수의 시뮬레이션 회절 신호와 연관된 하나 이상의 프로파일 또는 필름 두께 파라미터의 복수의 값을 갖는 라이브러리(1112)를 포함할 수 있다. 상술한 바와 같이, 라이브러리는 미리 생성될 수 있다. 계측 프로세서(1110)가 구조로부터 얻어진 측정된 회절 신호를 라이브러리 내의 복수의 시뮬레이션된 회절 신호와 비교하는데 사용된다. 매칭되는 시뮬레이션되는 회절 신호를 찾으면, 라이브러리 내의 매칭되는 시뮬레이션된 회절 신호와 연관된 프로파일 또는 필름 두께 파라미터의 하나 이상의 값이 구조를 제조하는 웨이퍼 애플리케이션에서 사용되는 프로파일 또는 필름 두께 파라미터의 하나 이상의 값으로 상정된다.
시스템(1100)은 또한 계측 프로세서(1116)를 포함한다. 예시적인 하나의 실시예에서, 프로세서(1110)는 예를 들어 하나 이상의 프로파일 또는 필름 두께 파라미터의 하나 이상의 값을 계측 프로세서(1116)로 송신할 수 있다. 계측 프로세서(1116)는 광 계측 시스템(1104)을 이용하여 결정된 하나 이상의 프로파일 또는 필름 두께 파라미터의 하나 이상의 값에 기초하여 제1 제조 클러스터(1102)의 하나 이상의 프로세스 파라미터 또는 장치 설정을 조절할 수 있다. 계측 프로세서(1116)는 또한 광 계측 시스템(1104)을 이용하여 결정된 하나 이상의 프로파일 또는 필름 두께 파라미터의 하나 이상의 값에 기초하여 제2 제조 클러스터(1106)의 하나 이상의 프로세스 파라미터 또는 장치 설정을 조절할 수 있다. 상술한 바와 같이, 제조 클러스터(1160)는 제조 클러스터(1102) 전 또는 후에 웨이퍼를 처리할 수 있다. 다른 예시적인 실시예에서, 프로세서(1110)는 머신 학습 시스템(1114)으로의 입력으로서 측정된 회절 신호의 세트를 이용하고 머신 학습 시스템(1114)의 예상 출력으로서 프로파일 또는 필름 두께 파라미터를 이용하여 머신 학습 시스템(1114)을 트레이닝하도록 구성된다.
실시예에서, 구조의 모델을 최적화하는 것은 3차원 그레이팅 구조를 사용하는 것을 포함한다. "3차원 그레이팅 구조"라는 용어는 여기에서 z 방향의 깊이에 더하여 2개의 수평 차원에서 변화하는 x-y 프로파일을 갖는 구조를 지칭하는데 사용된다. 예를 들어, 도 12a는 본 발명의 실시예에 따라 x-y 평면에서 변화하는 프로파일을 갖는 주기적 그레이팅(1200)을 나타내는 도면이다. 주기적 그레이팅의 프로파일은 x-y 프로파일의 함수로서 z방향으로 변화한다.
실시예에서, 구조의 모델을 최적화하는 것은 2차원 그레이팅 구조를 사용하는 것을 포함한다. "2차원 그레이팅 구조"라는 용어는 여기에서 z 방향의 깊이에 더하여 1개의 수평 차원에서만 변화하는 x-y 프로파일을 갖는 구조를 지칭하는데 사용된다. 예를 들어, 도 12b는 본 발명의 실시예에 따라 x방향으로는 변하지만 y방향으로는 변하지 않는 프로파일을 갖는 주기적 그레이팅(1202)을 나타내는 도면이다. 주기적 그레이팅의 프로파일은 x 프로파일의 함수로서 z방향으로 변화한다. 2차원 구조에 대한 y방향의 변화의 부족은 무한할 필요는 없고, 패턴 내의 임의의 브레이크가 긴 범위인 것으로 고려하고, 예를 들어, y방향의 패턴의 임의의 브레이크가 x방향의 패턴의 브레이크보다 실질적으로 더 멀리 이격된다.
본 발명의 실시예는 다양한 필름 스택에 적합할 수 있다. 예를 들어, 실시예에서, CD(critical dimension) 프로파일 또는 구조의 파라미터를 최적화하는 방법은 기판 상에 형성된 절연막, 반도체막 및 금속막을 포함하는 필름 스택에 대하여 수행된다. 실시예에서, 필름 스택은 단일층 및 다수층을 포함한다. 또한, 실시예에서, 분석 또는 측정된 그레이팅 구조는 3차원 컴포넌트 및 2차원 컴포넌트를 포함한다. 예를 들어, 시뮬레이션된 회절 데이터에 기초한 계산의 효율은 전체 구조 및 그 회절 데이터로의 2차원 컴포넌트에 의한 더 간단한 기여를 이용함으로써 최적화될 수 있다.
도 13은 본 발명의 실시예에 따라 2차원 컴포넌트 및 3차원 컴포넌트를 갖는 구조의 단면도이다. 도 13을 참조하면, 구조(1300)는 기판(1306) 상에 2차원 컴포넌트(1302) 및 3차원 컴포넌트(1304)를 갖는다. 2차원 컴포넌트의 그레이팅은 방향(2)을 따라 진행하지만, 3차원 컴포넌트의 그레이팅은 방향(1 및 2)를 따라 진행한다. 일 실시예에서, 도 13에 도시된 바와 같이 방향(1)은 방향(2)에 직교한다. 다른 실시예에서, 방향(1)은 방향(2)에 직교하지 않는다.
상기 방법은 초기 및 예비 모델이 테스팅된 후에 애플리케이션 엔지니어가 사용할 유틸리티로서 "Acushape" 등의 OCD(optical critical dimension) 제품에서 구현될 수 있다. 또한, "COMSOL Multiphysics" 등의 상업적으로 이용가능한 소프트웨어가 변형될 OCD 모델의 영역을 확인하는데 사용될 수 있다. 소프트웨어 애플리케이션으로부터의 시뮬레이션 결과는 성공적인 모델 개선을 위한 영역을 예측하는데 사용될 수 있다.
실시예에서, 구조의 모델을 최적화하는 방법은 최적화된 파라미터에 기초하여 프로세스의 파라미터를 변경하는 것을 포함한다. 프로세스 툴의 구체적인 변경은, 제한되지 않지만, 피드백 기술, 피드포워드 기술 및 현장 제어 기술(in situ control technique) 등의 기술을 이용하여 수행될 수 있다.
본 발명의 실시예에 따라, 구조의 모델을 최적화하는 방법은 시뮬레이션된 스펙트럼을 샘플 스펙트럼과 비교하는 것을 포함한다. 일 실시예에서, 회절 차수의 세트는 도 14 및 15와 관련하여 후술하는 광 계측 시스템(1400 또는 1550) 등의 타원 광 계측 시스템에 의해 생성된 2차원 또는 3차원 그레이팅 구조로부터의 회절 신호를 나타내도록 시뮬레이션된다. 그러나, 동일한 개념 및 원리가 반사계 시스템 등의 다른 광 계측 시스템에 동일하게 적용될 수 있음을 이해할 것이다. 표현되는 회절 신호는, 제한되지 않지만, 프로파일, 치수, 물질 성분 또는 필름 두께 등의 2차원 및 3차원 그레이팅 구조의 특징을 나타낸다.
도 14는 본 발명의 실시예에 따라 반도체 웨이퍼 상의 구조의 파라미터를 결정하기 위하여 광 계측의 이용을 나타내는 아키텍쳐 다이어그램이다. 광 계측 시스템(1400)은 웨이퍼(1408)의 타겟 구조(1406)에서 계측 빔(1404)을 투영하는 계측 빔 소스(1402)를 포함한다. 계측 빔(1404)은 타겟 구조(1406)를 향해 입사각(θ)으로 투영된다(θ는 입사 빔(1404)와 타겟 구조(1406)에 대한 법선 사이의 각이다). 일 실시예에서, 엘립소미터는 대략 60° 또는 70°의 입사각을 이용하거나 더 낮은 각(가능하면 0°에 가깝거나 법선 근처 입삭) 또는 70 °보다 큰 각(그레이징 입사(grazing incidence))을 이용할 수 있다. 회절 빔(1410)은 계측 빔 수신기(1412)에 의해 측정된다. 회절 빔 데이터(1414)는 프로파일 애플리케이션 서버(1416)로 송신된다. 프로파일 애플리케이션 서버(1416)는 타겟 구조의 임계 치수 및 분해능의 조합의 변경을 나타내는 시뮬레이션된 회절 빔 데이터의 라이브러리(1418)에 대하여 측정된 회절 빔 데이터(1414)를 비교할 수 있다.
예시적인 일 실시예에서, 측정된 회절 빔 데이터(1414)를 가장 잘 매칭하는 라이브러리(1418) 인스턴스가 선택된다. 회절 스펙트럼 또는 신호 및 관련된 가설 프로파일 또는 다른 파라미터의 라이브러리가 개념 및 원리를 설명하는데 빈번히 사용되지만, 본 발명의 실시예는 시뮬레이션된 회절 신호 및 회귀, 중립 네트워크 및 프로파일 추출에 사용되는 유사한 방법 등의 프로파일 파라미터의 연관된 세트를 포함하는 데이터 공간에 동일하게 적용될 수 있다. 선택된 라이브러리(1416) 인스턴스의 가설 프로파일 및 연관된 임계 치수가 타겟 구조(1206)의 특징의 실제 단면 프로파일 및 임계 치수에 대응하는 것으로 가정한다. 광 계측 시스템(1400)은 반사계, 엘립소미터 또는 회절 빔 또는 신호를 측정하는 다른 광 계측 장치를 이용할 수 있다.
본 발명의 실시예의 설명을 가능하게 하기 위하여, 엘립소미터 광 계측 시스템은 상기 개념 및 원리를 설명하는데 사용된다. 동일한 개념 및 원리가 반사계 시스템 등의 다른 광 계측 시스템에 동일하게 적용될 수 있음을 이해할 것이다. 실시예에서, 광 스캐터미트리(optical scatterometry)는, 제한되지 않지만, 광 SE(spectroscopic ellipsometry), BPR(beam-profile reflectometry), BPE(beam-profile ellipsometry) 및 UVR(ultra-violet reflectometry) 등의 기술이다. 유사한 방식으로, 반도체 웨이퍼는 개념의 애플리케이션을 설명하는데 사용될 수 있다. 방법 및 프로세스는 반복 구조를 갖는 다른 제품에 동일하게 적용된다.
도 15는 본 발명의 실시예에 따라 반도체 웨이퍼 상의 구조의 파라미터를 결정하기 위하여 BPR 및/또는 BPE의 이용을 나타내는 아키텍쳐 다이어그램이다. 광 계측 시스템(1550)은 편광 계측 빔(1554)을 생성하는 계측 빔 소스(1552)를 포함한다. 바람직하게, 이 계측 빔은 10 나노미터 이하의 협대역폭을 갖는다. 임의의 실시예에서, 소스(1552)는 상이한 레이저 또는 수퍼브라이트 발광 다이오드 사이의 스위칭에 의해 또는 필터의 스위칭에 의해 상이한 파장의 빔을 출력할 수 있다. 이 빔의 일부는 빔 스플리터(1555)로부터 반사되어 높은 개구수(NA), 바람직하게, 대략 0.9 또는 0.95의 NA를 갖는 대물 렌즈(1558)에 의해 웨이퍼(1508)의 타겟 구조(1506)로 포커싱된다. 빔 스플리터로부터 반사되지 않은 빔(1554)의 일부는 빔 세기 모니터(1557)로 향한다. 계측 빔은 선택적으로 대물 렌즈(1558) 전에 1/4 파장판(1556)을 통과할 수 있다.
타겟으로부터의 반사 후에, 반사된 빔(1560)은 대물 렌즈를 통과하여 하나 이상의 검출기로 향한다. 선택적인 1/4 파장판(1556)이 존재하면, 빔은 빔 스플리터(1555)를 투과하기 전에 1/4 파장판을 통과할 것이다. 빔 스플리터 후에, 반사된 빔(1560)은 선택적으로 위치(1556)의 대안으로서 위치(1559)에서 1/4 파장판을 통과할 수 있다. 1/4 파장판이 위치(1556)에 존재하면, 입사 및 반사 빔을 변경할 것이다. 1/4 파장판이 위치(1559)에 있으면, 반사빔만을 변경할 것이다. 임의의 실시예에서, 파장판이 어느 위치에도 존재하지 않거나 파장판이 수행될 계측에 따라 스위치 인 및 아웃될 수 있다. 임의의 실시예에서, 파장판은 1/4 파와 실질적으로 다른 리타던스(retardance)를 갖는 것이 바람직할 수 있고, 즉, 리타던스 값은 90°보다 실질적으로 크거나 작을 수 있다.
편광기 또는 편광 빔 스플리터(1562)는 반사된 빔(1560)의 하나의 편광 상태를 검출기(1564)로 향하도록 하고 선택적으로 다른 편광 상태를 선택적 제2 검출기(1566)로 향하도록 한다. 검출기(1564 및 1566)는 일차원(선) 또는 2차원(어레이) 검출기일 수 있다. 검출기의 각각의 엘리먼트는 타겟으로부터 반사된 대응 광선에 대한 AOI 및 방위각의 상이한 조합에 대응한다. 검출기(들)로부터의 회절 빔 데이터(1514)는 빔 세기 데이터(1570)와 함께 프로파일 애플리케이션 서버(1516)로 송신된다. 프로파일 애플리케이션 서버(1516)는 타겟 구조의 임계 치수 및 분해능의 조합의 변경을 나타내는 시뮬레이션된 회절 빔 데이터의 라이브러리(1518)에 대하여 빔 세기 데이터(1570)에 의한 정규화(normalization) 또는 정정(correction) 후의 측정된 회절 빔 데이터(1514)를 비교할 수 있다.
본 발명과 함께 사용되는 회절 빔 데이터 또는 신호를 측정하는데 사용될 수 있는 시스템의 더 상세한 설명은 1999년 2월 11일에 제출되고 발명의 명칭이 "FOCUSED BEAM SPECTROSCOPIC ELLIPSOMETRY METHOD AND SYSTEM"인 미국 특허 6,734,967 및 1998년 1월 29일에 제출되고 발명의 명칭이 "APPARATUS FOR ANLAYZING MULTI-LAYER THIN FILM STACKS ON SEMICONDUCTORS"인 미국 특허 6,278,519를 참조하며, 이들은 참고로 여기에 포함된다. 이들 2개의 특허는 스테레오스코픽 엘립소미터, 단파장 엘립소미터, 광대역 반사계, DUV 반사계, 빔 프로파일 반사계 및 빔 프로파일 엘립소미터 중의 하나 이상을 포함하는 다수의 측정 서브시스템으로 구성될 수 있는 계측 시스템을 기술한다. 이들 측정 서브시스템은 개별적으로 또는 조합하여 사용되어 필름 및 패터닝된 구조로부터 반사 또는 회절 빔을 측정한다. 이들 측정에서 수집된 신호는 분석되어 본 발명의 실시예에 따라 반도체 웨이퍼에 대한 구조의 파라미터를 결정할 수 있다.
본 발명의 실시예는 본 발명에 따라 프로세스를 수행하기 위하여 컴퓨터 시스템(또는 다른 전자 장치)를 프로그래밍하는데 사용될 수 있는 명령어를 저장한 머신 판독가능 매체를 포함할 수 있는 컴퓨터 프로그램 제품 또는 소프트웨어로서 제공될 수 있다. 머신 판독가능 매체는 머신(예를 들어, 컴퓨터)에 의해 판독가능한 형태로 정보를 저장 또는 송신하는 임의의 메카니즘을 포함한다. 예를 들어, 머신 판독가능(예를 들어 컴퓨터 판독가능) 매체는 머신(예를 들어, 컴퓨터) 판독가능 저장 매체(예를 들어, 리드 온리 메모리(ROM), 랜덤 액세스 메모리(RAM), 자기 디스크 저장 매체, 광 저장 매체, 플래시 메모리 장치, 등), 머신(예를 들어, 컴퓨터) 판독가능 송신 매체(전기, 광, 음향 또는 다른 형태의 전파 신호(예를 들어, 적외선 신호, 디지털 신호 등) 등을 포함한다.
도 16은 여기에 기재된 방법론 중의 임의의 하나 이상을 머신이 수행하도록 하는 명령어 세트가 실행되는 컴퓨터 시스템(1600)의 예시적인 형태의 머신의 도해를 나타낸다. 다른 실시예에서, 머신은 로컬 에어리어 네트워크(LAN), 인트라넷, 엑스트라넷, 또는 인터넷으로 다른 머신에 접속(예를 들어, 네트워킹)될 수 있다. 머신은 클라이언트-서버 네트워크 환경 내의 서버 또는 클라이언트 머신의 능력으로 동작하거나 피어-투-피어(또는 분산) 네트워크 환경 내의 피어 장치로서 동작할 수 있다. 머신은 퍼스널 컴퓨터(PC), 태블릿 PC, 셋탑 박스(STB), 개인 휴대 단말기(PDA), 셀룰러 전화, 웹 어플라이언스, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 그 머신에 의해 취해진 액션을 특정하는 명령어 세트를 (순차적으로 또는 다르게) 실행할 수 있는 임의의 머신일 수 있다. 또한, 단일 머신만이 도시되지만, "머신"이라는 용어는 여기에 기재된 계측 중의 하나 이상을 수행하기 위하여 명령어 세트(또는 다수 세트)를 개별적으로 또는 결합하여 실행하는 머신(예를 들어, 컴퓨터)의 임의의 조합을 포함할 수 있다.
예시적인 컴퓨터 시스템(1600)은 프로세서(1602), 메인 메모리(1604)(예를 들어, 리드 온리 메모리(ROM), 플래시 메모리, SDRAM(synchronous DRAM 또는 RDRAM(rambus DRAM) 등의 동적 랜덤 액세스 메모리(DRAM) 등), 스태틱 메모리(1606)(예를 들어, 플래시 메모리, 스태틱 랜덤 액세스 메모리(SRAM) 등) 및 2차 메모리(1618)(예를 들어, 데이터 저장 장치)를 포함하고, 이들은 버스(1630)를 통해 서로 통신한다.
프로세서(1602)는 마이크로프로세서, 중앙 처리 장치 등의 하나 이상의 범용 프로세싱 장치를 나타낸다. 특히, 프로세서(1602)는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령어 세트를 구현하는 프로세서 또는 명령어 세트의 조합을 구현하는 프로세서일 수 있다. 프로세서(1602)는 또한 ASIC(application specific integrated circuit), FPGA(field programmable gate array), DSP(digital signal processor), 네트워크 프로세서 등의 하나 이상의 특수 목적 프로세싱 장치일 수 있다. 프로세서(1602)는 여기에 기재된 동작을 수행하기 위하여 프로세싱 로직(1626)을 실행하도록 구성된다.
컴퓨터 시스템(1600)은 네트워크 인터페이스 장치(1608)를 포함할 수 있다. 컴퓨터 시스템(1600)은 또한 비디오 디스플레이 유닛(1610)(예를 들어, 액정 디스플레이(LCD) 또는 CRT(cathod ray tube)), 문자 숫자 입력 장치(1612)(예를 들어, 키보드), 커서 제어 장치(1614)(예를 들어, 마우스) 및 신호 생성 장치(1616)(예를 들어, 스피커)를 포함할 수 있다.
2차 메모리(1618)는 여기에 기재된 방법론 또는 기능 중의 임의의 하나 이상을 구현하는 하나 이상의 명령어 세트(예를 들어, 소프트웨어(1622))가 저장된 머신 액세스가능 저장 매체(또는 특히 컴퓨터 판독가능 저장 매체)(1631)를 포함할 수 있다. 소프트웨어(1622)는 또한 컴퓨터 시스템(1600)에 의한 실행시 메인 메모리(1604) 및/또는 프로세서(1620) 내에 완전히 또는 적어도 부분적으로 상주할 수 있고, 메인 메모리(1604) 및 프로세서(1602)는 또한 머신 판독가능 저장 매체를 구성한다. 소프트웨어(1622)는 또한 네트워크 인터페이스 장치(1608)를 통해 네트워크(1620)를 통해 송수신될 수 있다.
머신 액세스가능 저장 매체(1631)는 예시적인 실시예에서 단일 매체인 것으로 도시되지만, "머신 판독가능 저장 매체"라는 용어는 하나 이상의 명령어 세트를 저장하는 단일 매체 또는 다수 매체(예를 들어, 중앙 집중 또는 분산 데이터 베이스 및/또는 관련 캐쉬 및 서버)를 포함해야 한다. "머신 판독가능 저장 매체"라는 용어는 머신에 의해 실행될 명령어 세트를 저장 또는 인코딩할 수 있고 머신이 본 발명의 방법론 중의 임의의 하나 이상을 수행하도록 하는 임의의 매체를 포함해야 한다. "머신 판독가능 저장 매체"라는 용어는, 제한되지 않지만, 솔리드 스테이트 메모리 및 광 및 자기 매체를 포함해야 한다.
본 발명의 실시예에 따르면, 머신 액세스가능 저장 매체는 반도체 기판 또는 웨이퍼 상의 반복 구조의 계측(metrology)을 이용하여 구조적 분석을 위한 파라메트릭 모델을 최적화하는 방법을 데이터 프로세싱 시스템이 수행하도록 하는 명령어를 저장한다. 방법은 구조의 제1 모델을 결정하는 것을 포함한다. 제1 모델은 제1 파라미터 세트에 기초한다. 구조에 대한 스펙트럼 민감도 변화 데이터 세트가 결정된다. 스펙트럼 민감도는 제1 파라미터 세트에 대하여 스펙트럼의 유도물에 의해 결정된다. 구조의 제1 모델이 변경되어 스펙트럼 민감도 변화 데이터 세트에 기초한 구조의 제2 모델을 제공한다. 구조의 제2 모델은 제1 파라미터 세트와 다른 제2 파라미터 세트에 기초한다. 구조에 대한 제2 모델로부터 유도된 시뮬레이션된 스펙트럼이 제공된다.
방법론은 본 발명의 실시예의 사상 및 범위 내의 다양한 상황하에서 적용될 수 있음을 이해할 것이다. 예를 들어, 실시예에서, 상술한 측정은 백그라운드 광이 존재하거나 존재하지 않고 수행될 수 있다. 실시예에서, 상술한 방법은 반도체, 태양광, 발광 다이오드 또는 관련 제조 프로세스에서 수행된다. 실시예에서, 상술한 방법은 단독 또는 통합된 계측 툴에서 사용된다.
측정된 스펙트럼의 분석은 일반적으로 측정된 샘플을 가장 잘 기술하는 모델의 파라미터 값을 유도하기 위하여 측정된 샘플 스펙트럼을 시뮬레이션된 스펙트럼과 비교하는 것을 수반한다. 도 17은 본 발명의 실시예에 따라 샘플 스펙트럼으로 시작하는 파라미터화 모델 및 스펙트럼 라이브러리를 형성하는 방법에서의 단계를 나타내는 순서도(1700)이다.
단계(1702)에서, 물질 파라미터의 세트가 사용자에 의해 정의되어 측정된 샘플 특징이 형성되는 물질(들)의 특성(예를 들어, 굴절율 또는 n, k 값)을 특정한다.
단계(1704)에서, 스캐터로미터 사용자는 물질 파일 중의 하나 이상을 선택하여 측정될 주기적 그레이팅 특징에 존재하는 것에 대응하는 물질의 스택을 어셈블링함으로써 예상되는 샘플 구조의 공칭 모델을 정의한다. 이러한 사용자 정의 모델은 또한 측정될 특징의 형상을 특성화하는 두께, 임계 치수(CD), 측벽 각(SWA), 높이(HT), 에지 러프니스(edge roughness), 코너 라운딩 반경 등의 모델 파라미터의 공칭 값의 정의를 통해 파라미터화될 수 있다. 2차원 모델(즉, 프로파일) 또는 3차원 모델이 정의되느냐에 따라, 30-50 또는 그 이상의 모델 파라미터를 갖는다.
파라미터화 모델로부터, 주어진 그레이팅 파라미터 값 세트에 대한 시뮬레이션된 스펙트럼은 RCWA(rigorous coupled wave analysis) 등의 엄격한 회절 모델링 모델을 이용하여 계산될 수 있다. 파라미터화된 모델이 미리 정의된 매칭 기준에 측정된 회절 스펙트럼을 매칭하는 시뮬레이션된 스펙트럼에 대응하는 (2차원) 최종 프로파일 모델을 특성화하는 파라미터 값 세트에 수렴할 때까지 단계(1706)에서 회귀 분석이 수행된다. 매칭하는 시뮬레이션된 회절 신호와 연관된 최종 프로파일 모델은 모델이 생성된 구조의 실제 프로파일을 나타내는 것으로 여겨진다.
단계(1708)에서 매칭하는 시뮬레이션된 스펙트럼 및/또는 연관된 최적화된 프로파일 모델이 이용되어 파라미터화된 최종 프로파일 모델의 값을 섭동(perturb)함으로써 시뮬레이션된 회절 스펙트럼의 라이브러리를 형성할 수 있다. 시뮬레이션된 회절 스펙트럼의 결과적인 라이브러리는 설명서에 따라 후속으로 측정되는 그레이팅 구조가 제조되었는지를 결정하기 위하여 생산 환경에서 동작하는 스캐터로메트리 측정 시스템에 의해 채용될 수 있다. 라이브러리 생성(1708)은 중립 네트워크 등의 머신 학습 시스템이 다수의 프로파일의 각각에 대한 시뮬레이션된 스펙트럼 정보를 생성하는 것을 포함하고, 각각의 프로파일은 하나 이상의 모델링된 프로파일 파라미터의 세트를 포함한다. 라이브러리를 생성하기 위하여, 머신 학습 시스템은 스펙트럼 정보의 트레이닝 데이터 세트에 기초하여 임의의 트레이닝을 받을 수 있다. 이러한 트레이닝은 계산적으로 집중될 수 있고 및/또는 상이한 모델 및/또는 프로파일 파라미터 도메인에 대하여 반복될 수 있다. 라이브러리를 생성하는 계산 로드에 있어서의 상당한 비효율은 트레이닝 데이터 세트의 사이즈에 관한 사용자의 결정에 의해 도입될 수 있다. 예를 들어, 매우 큰 트레이닝 데이터 세트의 선택은 트레이닝에 대한 불필요한 계산을 초래할 수 있지만 불충분한 사이즈의 트레이닝 데이터 세트에 의한 트레이닝은 라이브러리를 생성하기 위하여 리트레이닝(retraining)을 필요로 할 수 있다.
임의의 애플리케이션에 대하여, 라이브러리를 형성할 필요가 없을 수 있다. 구조의 파라메트릭 모델이 생성되고 최적화된 후, 상술한 것과 유사한 회귀 분석이 실시간으로 사용되어 회절 빔 데이터가 수집됨에 따라 각각의 타겟에 대한 최상의 피팅 파라미터 값을 결정할 수 있다. 구조가 비교적 간단하면(예를 들어, 2D 구조) 또는 작은 수의 파라미터만이 측정될 필요가 있으면, 회귀는 라이브러리를 이용하는 것보다 느릴 수 있지만 충분히 빠를 수 있다. 다른 경우, 회귀를 이용하는 추가의 유연성은 라이브러리를 이용하여 시간에 따른 측정의 약간의 증가를 정당화할 수 있다. 본 발명과 함께 사용되는 OCD 데이터의 실시간 회귀가 가능한 방법 및 시스템의 더 상세한 설명은 2005년 7월 8일에 제출되고 발명의 명칭이 "REAL TIME ANALYSIS OF PERIODIC STRUCTURES ON SEMICONDUCTORS"이고 참고로 여기에 포함되는 미국 특허 7,031,848를 참조한다.
도 18은 본 발명의 실시예에 따라 광 파라메트릭 모델을 이용하여 라이브러리를 구성하고 최적화하는 방법에서의 단계를 나타내는 예시적인 순서도(1800)이다. 도시된 모든 단계가 항상 요구되는 것은 아니다. 임의의 라이브러리가 도시된 단계의 서브세트를 이용하여 최적화될 수 있다. 본 발명의 범위를 벗어나지 않고 이들 단계의 일부가 상이한 순서로 수행되거나 추가의 단계가 시퀀스에 삽입될 수 있음을 이해해야 한다.
단계(1801)를 참조하면, 파라메트릭 모델을 이용하여 라이브러리가 생성된다. 이 파라메트릭 모델은 순서도(100, 200 또는 300)와 관련하여 기재된 프로세스 중의 하나 등의 프로세스를 이용하여 생성 및 최적화될 수 있다. 라이브러리는 바람직하게 라이브러리 사이즈를 작게 유지하고 라이브러리 매칭 또는 탐색을 가속화하기 위하여 이용가능한 파장 및 각도의 서브세트에 대하여 생성된다. 라이브러리는, 단계(1802)에 도시된 바와 같이 동적 정밀 신호를 매칭하고 그 라이브러리를 이용하여 측정의 정밀도 또는 반복성을 결정하는데 사용된다. 결과적인 정밀도가 요구사항을 충족하면(단계 1804), 사용되는 파장 및/또는 각도 및/또는 편광 상태의 수가 단계(1803)에 도시된 바와 같이 증가될 필요가 있고 프로세스는 반복된다. 동적 정밀도가 요구되는 것보다 상당히 양호하면, 더 작고 더 빠른 라이브러리를 생성하기 위하여 파장 및/또는 각도 및/또는 편광 상태의 수를 감소시키는 것이 바람직할 수 있음을 이해할 것이다. 본 발명의 실시예는 어떤 추가의 파장, 입사각, 방위각 및/또는 편광 상태가 라이브러리에 포함하는지를 결정하는데 사용될 수 있다.
라이브러리가 정밀도에 대하여 최적화되면, 단계(1805)에 도시된 바와 같이 이용가능한 임의의 추가의 데이터가 그 라이브러리를 이용하여 매칭될 수 있다. 단계(1806)에 도시된 바와 같이 더 큰 데이터 세트로부터의 결과는 단면 전자 현미경 사진 등의 기준 데이터와 비교될 수 있고 또한 웨이퍼 간의 일치에 대하여 체크될 수 있다(예를 들어, 동일한 장치 상에서 처리되는 2개의 웨이퍼는 통상 유사한 웨이퍼간 변화를 나타낼 것이다). 결과가 예상을 충족하면, 라이브러리는 생산 웨이퍼의 스캐터로메트리에 대하여 준비되어 있다(단계(1809)). 결과가 예상을 충족하지 않으면, 라이브러리 및/또는 파라메트릭 모델은 업데이트될 필요가 있고 결과적인 새로운 라이브러리가 다시 테스트된다(단계(1808)). 본 발명의 하나 이상의 실시예는 결과를 개선하기 위하여 라이브러리 또는 파라메트릭 모델에 대하여 어떻게 변화해야 하는지를 결정하는데 사용될 수 있다.
도 19는 본 발명의 실시예에 따라 광 파라메트릭 모델을 이용하여 실시간 회귀 측정 레시피를 구성하고 최적화하는 방법에서의 단계를 나타내는 순서도(1900)를 나타낸다. 도시된 모든 단계가 항상 요구되는 것은 아니다. 임의의 실시간 회귀 측정 레시피가 도시된 단계의 서브세트를 이용하여 최적화될 수 있다. 본 발명의 범위를 벗어나지 않고 이들 단계의 일부가 상이한 순서로 수행되거나 추가의 단계가 시퀀스에 삽입될 수 있음을 이해해야 한다.
단계(1901)를 참조하면, 실시간 회귀 측정 레시피가 파라메트릭 모델을 이용하여 생성된다. 그 파라메트릭 모델은 순서도(100, 200 또는 300) 중의 하나 이상과 관련하여 설명하는 방법 등의 프로세스를 이용하여 생성 및 최적화될 수 있다. 레시피는 바람직하게 계산 시간을 가능한 한 짧게 유지하기 위하여 이용가능한 파장 및 각도의 서브세트에 대하여 생성된다. 레시피는, 단계(1902)에 도시된 바와 같이 동적 정밀도 신호 데이터에 대하여 회귀하고 그 라이브러리를 이용하여 측정의 정밀도 또는 반복성을 결정하는데 사용된다. 결과적인 정밀도가 요구사항을 충족하지 않으면(단계 1904), 사용되는 파장 및/또는 각도 및/또는 편광 상태의 수가 단계(1903)에 도시된 바와 같이 증가할 필요가 있고 프로세스는 반복된다. 동적 정밀도가 요구되는 것보다 훨씬 좋으면, 더 빠른 레시피를 생성하기 위하여 파장 및/또는 각도 및/또는 편광 상태의 수를 감소시키는 것이 바람직할 수 있다. 본 발명의 실시예는 어떤 추가의 파장, 입사각, 방위각 및/또는 편광 상태가 레시피에 포함되는지를 결정하는데 사용될 수 있다.
정밀도를 위해 레시피가 최적화되면, 단계(1905)에 도시된 바와 같이 이용가능한 임의의 다른 데이터가 그 레시피를 이용하여 회귀될 수 있다. 더 큰 데이터 세트로부터의 결과가 단면 전자 현미경 사진 등의 기준 데이터와 비교될 수 있고, 또한 웨이퍼 간의 일치에 대하여 체크될 수 있다(예를 들어, 동일한 장치 상에서 처리되는 2개의 웨이퍼가 통상 유사한 웨이퍼간 변화를 나타낼 것이다). 결과가 예상을 충족하면, 레시피는 생산 웨이퍼의 스캐터로메트리 측정을 위해 준비된다(단계(1909)). 결과가 예상을 충족하지 않으면, 레시피 및/또는 파라메트릭 모델이 업데이트될 필요가 있고 결과적인 새로운 레시피가 다시 테스트된다(단계(1908)). 본 발명의 하나 이상의 실시예는 결과를 개선하기 위하여 레시피 또는 파라메트릭 모델에 대하여 어떻게 변화해야 하는지를 결정하는데 사용될 수 있다.
상기 예에 도시된 바와 같이, 파라메트릭 모델 및 라이브러리 및 이들 파라메트릭 모델을 이용하는 실시간 회귀 레시피를 개발하는 프로세스는 종종 반복 프로세스이다. 본 발명은 시행착오 방법과 비교하여 그 모델을 이용하여 파라메트릭 모델 및 라이브러리 또는 실시간 회귀 레시피에 도달하는데 요구되는 반복의 수를 크게 감소시킬 수 있다. 모델 파라미터, 파장, 입사각, 방위각 및 편광 상태가 모두 민감도 최적화 및 상관 감소에 기초하여 선택되기 때문에, 본 발명은 또한 결과적인 파라메트릭 모델, 라이브러리 및 실시간 회귀 레시피의 측정 성능을 크게 개선한다.
본 발명의 실시예는 또한 중립 네트워크 등의 머신 학습 시스템에 관련된 기술의 사용을 포함하고 시뮬레이션된 회절 신호를 생성하기 위하여 벡터 머신을 지원한다.
따라서, 스펙트럼 민감도에 기초한 모델 최적화 방법이 개시된다. 본 발명의 실시예에 따라, 방법은 구조의 제1 모델을 결정하는 것을 포함한다. 제1 모델은 제1 파라미터 세트에 기초한다. 구조에 대한 스펙트럼 민감도 변화 데이터 세트가 결정된다. 스펙트럼 민감도는 제1 파라미터 세트에 대하여 스펙트럼의 유도물에 의해 결정된다. 구조의 제1 모델은 변경되어 스펙트럼 민감도 변화 데이터 세트에 기초한 구조의 제2 모델을 제공한다. 구조의 제2 모델은 제1 파라미터 세트와 다른 제2 파라미터 세트에 기초한다. 구조의 제2 모델로부터 유도된 시뮬레이션된 스펙트럼이 제공된다. 일 실시예에서, 방법은 시뮬레이션된 스펙트럼을 구조로부터 유도된 샘플 스펙트럼에 비교하는 것을 수반한다.
1102: 제1 제조 클러스터 1104: 광 계측 시스템
1106: 제2 제조 클러스터 1108: 광 계측 툴
1110: 프로세서 1112: 라이브러리
1114: 제1 MLS 1116: 계측 프로세서
1602: 프로세서 1604: 메인 메모리
1606: 스태틱 메모리 1608: 네트워크 인터페이스 장치
1610: 비디오 디스플레이 1612: 영숫자 입력 장치
1614: 커서 제어 장치 1616: 신호 생성 장치
1618: 2차 메모리 1620: 네트워크
1622: 소프트웨어 1626: 프로세싱 로직
1631: 머신 액세스가능 저장 매체

Claims (32)

  1. 반도체 기판 또는 웨이퍼 상의 반복 구조의 계측(metrology)을 이용하여 구조적 분석을 위한 파라메트릭 모델을 최적화하는 방법에 있어서,
    구조의 제1 모델을 결정하는 단계 - 상기 제1 모델은 제1 파라미터 세트에 기초함 -;
    상기 구조에 대한 스펙트럼 민감도 변화 데이터 세트를 결정하는 단계 - 상기 스펙트럼 민감도는 상기 제1 파라미터 세트에 대하여 스펙트럼의 유도물에 의해 결정됨 -;
    상기 스펙트럼 민감도 변화 데이터 세트에 기초하여 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계 - 상기 구조의 제2 모델은 상기 제1 파라미터 세트와는 다른 제2 파라미터 세트에 기초함 -; 및
    상기 구조의 제2 모델로부터 유도된 시뮬레이션된 스펙트럼을 제공하는 단계를
    포함하는, 파라메트릭 모델을 최적화하는 방법.
  2. 제1항에 있어서, 상기 시뮬레이션된 스펙트럼을 상기 구조로부터 유도된 샘플 스펙트럼과 비교하는 단계를 더 포함하는, 파라메트릭 모델을 최적화하는 방법.
  3. 제1항에 있어서, 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계는 상기 제1 파라미터 세트의 DoF(degree of freedom)를 감소시켜 제2 파라미터 세트를 제공하는 단계를 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  4. 제3항에 있어서, 상기 제1 파라미터 세트의 DoF를 감소시키는 단계는,
    스펙트럼 민감도 데이터를 생성하는 단계;
    적절한 파라미터화를 선택하는 단계; 및
    최소 민감도를 갖는 파라미터를 고정하는 단계를
    포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  5. 제1항에 있어서, 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계는 기하학적 파라미터 또는 물질 파라미터 또는 기하학적 파라미터 또는 이 파라미터들 둘다를 재파라미터화하여 제2 파라미터 세트를 제공하는 단계를 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  6. 제1항에 있어서,
    상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계는 비기하학적 파라미터를 재파라미터화하여 제2 파라미터 세트를 제공하는 단계를 포함하고,
    상기 비기하학적 파라미터는 커스텀 함수 파라미터(custom function parameter) 및 PCA(principal component analysis) 파라미터로 구성되는 그룹으로부터 선택되는 것인, 파라메트릭 모델을 최적화하는 방법.
  7. 제6항에 있어서, 상기 재파라미터화하는 단계는 선형 또는 비선형 파라미터 상관 내의 커스텀 함수 파라미터를 사용하는 단계를 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  8. 제6항에 있어서, 상기 재파라미터화하는 단계는 상이한 수의 파라미터들을 갖는 커스텀 함수를 이용하는 단계를 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  9. 제6항에 있어서, 상기 재파라미터화하는 단계는 상기 제1 파라미터 세트에 비해 상기 제2 파라미터 세트의 라이브러리 사이즈를 감소시키는 단계를 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  10. 제6항에 있어서, 상기 재파라미터화하는 단계는 다수의 파라미터들을 갖는 분리된(discretized) 모델을 이용하는 단계를 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  11. 제4항에 있어서, 상기 스펙트럼 민감도 데이터는 파라미터 공간 내의 다수의 포인트에 대하여 산출되는 것인, 파라메트릭 모델을 최적화하는 방법.
  12. 제11항에 있어서, 상기 스펙트럼 민감도 데이터는 다수의 AOI 및 방위각에 대하여 산출되는 것인, 파라메트릭 모델을 최적화하는 방법.
  13. 제1항에 있어서,
    상기 반복 구조는 웨이퍼 스크라이브 선(wafer scribe line) 영역 또는 장치 영역에 위치하는 평면 필름 스택 구조를 포함하고,
    상기 계측은 두께 측정을 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  14. 제1항에 있어서, 상기 반복 구조는 상기 웨이퍼 스크라이브 선 영역 또는 장치 영역 내의 임계 치수(critical dimension; CD)의 측정을 위한 반복 구조를 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  15. 제1항에 있어서, 상기 반복 구조는 다수의 타겟으로서의 개별 측정 및 함께 모델링하기 위한 구조의 조합을 포함하는 것인, 파라메트릭 모델을 최적화하는 방법.
  16. 반도체 기판 또는 웨이퍼 상의 반복 구조의 계측을 이용하여 구조적 분석을 위한 파라메트릭 모델을 최적화하는 방법을 데이터 프로세싱 시스템으로 하여금 수행하게 하는 명령어를 저장한 비일시적(non-transitory) 머신 액세스가능 저장 매체에 있어서, 상기 방법은,
    구조의 제1 모델을 결정하는 단계 - 상기 제1 모델은 제1 파라미터 세트에 기초함 -;
    상기 구조에 대한 스펙트럼 민감도 변화 데이터 세트를 결정하는 단계 - 상기 스펙트럼 민감도는 상기 제1 파라미터 세트에 대하여 스펙트럼의 유도물(derivatives)에 의해 결정됨 -;
    상기 스펙트럼 민감도 변화 데이터 세트에 기초하여 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계 - 상기 구조의 제2 모델은 상기 제1 파라미터 세트와는 다른 제2 파라미터 세트에 기초함 -; 및
    상기 구조의 제2 모델로부터 유도된 시뮬레이션된 스펙트럼을 제공하는 단계를
    포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  17. 제16항에 있어서, 상기 방법은 상기 시뮬레이션된 스펙트럼을 상기 구조로부터 유도된 샘플 스펙트럼과 비교하는 단계를 더 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  18. 제16항에 있어서, 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계는 상기 제1 파라미터 세트의 DoF(degree of freedom)를 감소시켜 제2 파라미터 세트를 제공하는 단계를 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  19. 제18항에 있어서, 상기 제1 파라미터 세트의 DoF를 감소시키는 단계는,
    스펙트럼 민감도 데이터를 생성하는 단계;
    적절한 파라미터화를 선택하는 단계; 및
    최소 민감도를 갖는 파라미터를 고정하는 단계를
    포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  20. 제16항에 있어서, 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계는 기하학적 파라미터 또는 물질 파라미터 또는 이 파라미터들 둘다를 재파라미터화하여 제2 파라미터 세트를 제공하는 단계를 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  21. 제16항에 있어서,
    상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하는 단계는 비기하학적 파라미터를 재파라미터화하여 제2 파라미터 세트를 제공하는 단계를 포함하고, 상기 비기하학적 파라미터는 커스텀 함수 파라미터 및 PCA(principal component analysis) 파라미터로 구성되는 그룹으로부터 선택되는 것인, 비일시적 머신 액세스가능 저장 매체.
  22. 제21항에 있어서, 상기 재파라미터화하는 단계는 선형 또는 비선형 파라미터 상관 내의 커스텀 함수 파라미터를 사용하는 단계를 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  23. 제21항에 있어서, 상기 재파라미터화하는 단계는 상이한 수의 파라미터들을 갖는 커스텀 함수를 이용하는 단계를 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  24. 제21항에 있어서, 상기 재파라미터화하는 단계는 상기 제1 파라미터 세트에 비해 상기 제2 파라미터 세트의 라이브러리 사이즈를 감소시키는 단계를 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  25. 제21항에 있어서, 상기 재파라미터화하는 단계는 다수의 파라미터들을 갖는 분리된 모델을 이용하는 단계를 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  26. 제19항에 있어서, 상기 스펙트럼 민감도 데이터는 파라미터 공간 내의 다수의 포인트에 대하여 산출되는 것인, 비일시적 머신 액세스가능 저장 매체.
  27. 제26항에 있어서, 상기 스펙트럼 민감도 데이터는 다수의 AOI 및 방위각에 대하여 산출되는 것인, 비일시적 머신 액세스가능 저장 매체.
  28. 제16항에 있어서,
    상기 반복 구조는 웨이퍼 스크라이브 선 영역 또는 장치 영역에 위치하는 평면 필름 스택 구조를 포함하고,
    상기 계측은 두께 측정을 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  29. 제16항에 있어서, 상기 반복 구조는 상기 웨이퍼 스크라이브 선 영역 또는 장치 영역 내의 임계 치수(critical dimension; CD)의 측정을 위한 반복 구조를 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  30. 제16항에 있어서, 상기 반복 구조는 다수의 타겟으로서의 개별 측정 및 함께 모델링하기 위한 구조의 조합을 포함하는 것인, 비일시적 머신 액세스가능 저장 매체.
  31. 시뮬레이션된 회절 신호를 생성하여 웨이퍼 애플리케이션의 프로세스 파라미터를 결정하여 광 계측을 이용하여 웨이퍼 상에 구조를 제조하는 시스템에 있어서,
    웨이퍼 애플리케이션을 수행하여 웨이퍼 상에 구조를 제조하도록 구성되는 제조 클러스터 - 하나 이상의 프로세스 파라미터는 상기 제조 클러스터를 이용하여 수행되는 웨이퍼 애플리케이션에서 상기 구조를 프로세싱할 때 구조 형상 또는 층 두께의 거동(behavior)을 특성화함 -; 및
    상기 웨이퍼 애플리케이션의 하나 이상의 프로세스 파라미터를 결정하도록 구성되는 광 계측 시스템을
    포함하고,
    상기 광 계측 시스템은,
    상기 구조의 회절 신호를 측정하도록 구성되는 빔 소스 및 검출기; 및
    프로세서를
    포함하고,
    상기 프로세서는,
    구조의 제1 모델을 결정하도록 구성되고 - 상기 제1 모델은 제1 파라미터 세트에 기초함 -,
    상기 구조에 대한 스펙트럼 민감도 변화 데이터 세트를 결정하도록 구성되고 - 상기 스펙트럼 민감도는 상기 제1 파라미터 세트에 대하여 스펙트럼의 유도물에 의해 결정됨 -,
    상기 스펙트럼 민감도 변화 데이터 세트에 기초하여 상기 구조의 제1 모델을 변경하여 상기 구조의 제2 모델을 제공하도록 구성되고 - 상기 구조의 제2 모델은 상기 제1 파라미터 세트와는 다른 제2 파라미터 세트에 기초함 -,
    상기 구조의 제2 모델로부터 유도된 시뮬레이션된 스펙트럼을 제공하도록 구성되는 것인, 광 계측을 이용하여 웨이퍼 상에 구조를 제조하는 시스템.
  32. 제31항에 있어서,
    시뮬레이션된 회절 신호 및 상기 시뮬레이션된 회절 신호와 연관된 하나 이상의 프로세스 파라미터의 값의 라이브러리를 더 포함하고,
    상기 시뮬레이션된 회절 신호는 하나 이상의 형상 또는 필름 두께 파라미터의 값을 이용하여 생성되고, 상기 시뮬레이션된 회절 신호를 생성하는데 사용되는 하나 이상의 형상 또는 필름 두께 파라미터의 값은 상기 시뮬레이션된 회절 신호와 연관된 하나 이상의 프로세스 파라미터의 값으로부터 유도되는 것인, 광 계측을 이용하여 웨이퍼 상에 구조를 제조하는 시스템.
KR1020147029649A 2012-03-28 2013-03-13 스펙트럼 민감도에 기초한 모델 최적화 방법 KR102118224B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261616971P 2012-03-28 2012-03-28
US61/616,971 2012-03-28
US13/781,474 US10255385B2 (en) 2012-03-28 2013-02-28 Model optimization approach based on spectral sensitivity
US13/781,474 2013-02-28
PCT/US2013/030907 WO2013148203A1 (en) 2012-03-28 2013-03-13 Model optimization approach based on spectral sensitivity

Publications (2)

Publication Number Publication Date
KR20140146622A true KR20140146622A (ko) 2014-12-26
KR102118224B1 KR102118224B1 (ko) 2020-06-02

Family

ID=49236175

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147029649A KR102118224B1 (ko) 2012-03-28 2013-03-13 스펙트럼 민감도에 기초한 모델 최적화 방법

Country Status (6)

Country Link
US (1) US10255385B2 (ko)
EP (1) EP2831784A1 (ko)
JP (1) JP2015514984A (ko)
KR (1) KR102118224B1 (ko)
TW (1) TWI589836B (ko)
WO (1) WO2013148203A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190024597A (ko) * 2017-08-31 2019-03-08 가부시끼가이샤 히다치 세이사꾸쇼 계산기, 처리의 제어 파라미터의 결정 방법, 대용 시료, 계측 시스템, 및 계측 방법
KR20190043167A (ko) * 2016-09-01 2019-04-25 에이에스엠엘 네델란즈 비.브이. 계측 타겟 측정 레시피의 자동 선택
KR20200102906A (ko) * 2019-02-22 2020-09-01 가부시끼가이샤 히다치 세이사꾸쇼 대용 시료, 처리의 제어 파라미터의 결정 방법, 및 계측 시스템

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10354929B2 (en) 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
US9910953B2 (en) 2013-03-04 2018-03-06 Kla-Tencor Corporation Metrology target identification, design and verification
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9255877B2 (en) 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US10386729B2 (en) 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
SG10201804964TA (en) * 2013-12-11 2018-07-30 Kla Tencor Corp Target and process sensitivity analysis to requirements
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
JP2017122580A (ja) * 2014-04-08 2017-07-13 株式会社日立ハイテクノロジーズ 検査装置及び検査条件決定方法
SG11201703585RA (en) 2014-11-25 2017-06-29 Kla Tencor Corp Analyzing and utilizing landscapes
US20200025554A1 (en) * 2015-12-08 2020-01-23 Kla-Tencor Corporation System, method and computer program product for fast automatic determination of signals for efficient metrology
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
US10733744B2 (en) * 2017-05-11 2020-08-04 Kla-Tencor Corp. Learning based approach for aligning images acquired with different modalities
US10893796B2 (en) * 2018-01-26 2021-01-19 Topcon Corporation 2D multi-layer thickness measurement
JP7323541B2 (ja) * 2018-03-13 2023-08-08 アプライド マテリアルズ インコーポレイテッド 半導体処理のモニタリングのための機械学習システム
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
JP6830464B2 (ja) * 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
US11340060B2 (en) 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
US11788972B2 (en) 2021-04-29 2023-10-17 Industrial Technology Research Institute Method of automatically setting optical parameters and automated optical inspection system using the same
CN113343182B (zh) * 2021-06-30 2024-04-02 上海精测半导体技术有限公司 理论光谱数据的优化方法、系统、电子设备及测量方法
IL293633B1 (en) * 2022-06-06 2024-02-01 Nova Ltd A system and method for building a library and using it in measurements on designed buildings

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040017575A1 (en) * 2002-07-25 2004-01-29 Raghu Balasubramanian Optimized model and parameter selection for optical metrology
US20060290947A1 (en) * 2005-06-16 2006-12-28 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US20080068616A1 (en) * 2006-09-14 2008-03-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US6278519B1 (en) 1998-01-29 2001-08-21 Therma-Wave, Inc. Apparatus for analyzing multi-layer thin film stacks on semiconductors
AU2001279247A1 (en) * 2000-08-10 2002-02-25 Sensys Instruments Corporation Database interpolation method for optical measurement of diffractive microstructures
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6704661B1 (en) 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
JP2005509167A (ja) * 2001-11-06 2005-04-07 ロチェスター インスティテュート オブ テクノロジー 分光感度の選択を最適化する方法および装置
JP4938219B2 (ja) * 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6842261B2 (en) 2002-08-26 2005-01-11 Timbre Technologies, Inc. Integrated circuit profile value determination
US20040090629A1 (en) * 2002-11-08 2004-05-13 Emmanuel Drege Diffraction order selection for optical metrology simulation
US20040267397A1 (en) 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US8634072B2 (en) 2004-03-06 2014-01-21 Michael Trainer Methods and apparatus for determining characteristics of particles
US7388677B2 (en) 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US20060122724A1 (en) * 2004-12-07 2006-06-08 Photoronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Connecticut 06804 System and method for automatically generating a tooling specification using a logical operations utility that can be used to generate a photomask order
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7428060B2 (en) 2006-03-24 2008-09-23 Timbre Technologies, Inc. Optimization of diffraction order selection for two-dimensional structures
US7487053B2 (en) * 2006-03-31 2009-02-03 Tokyo Electron Limited Refining a virtual profile library
US7542859B2 (en) * 2006-03-31 2009-06-02 Tokyo Electron Ltd. Creating a virtual profile library
US7711261B2 (en) * 2006-04-11 2010-05-04 Nikon Corporation Imaging device, camera and image processing method
US20080077352A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited Methods and apparatus for using an optically tunable soft mask profile library
US7555395B2 (en) * 2006-09-26 2009-06-30 Tokyo Electron Limited Methods and apparatus for using an optically tunable soft mask to create a profile library
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7912679B2 (en) 2007-09-20 2011-03-22 Tokyo Electron Limited Determining profile parameters of a structure formed on a semiconductor wafer using a dispersion function relating process parameter to dispersion
US7761178B2 (en) 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US7961306B2 (en) 2009-03-30 2011-06-14 Tokyo Electron Limited Optimizing sensitivity of optical metrology measurements
US9523800B2 (en) 2010-05-21 2016-12-20 Kla-Tencor Corporation Computation efficiency by iterative spatial harmonics order truncation
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
US8688616B2 (en) 2010-06-14 2014-04-01 Blue Prism Technologies Pte. Ltd. High-dimensional data analysis
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US9239522B2 (en) 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
KR101492205B1 (ko) * 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
TWI603070B (zh) * 2011-01-03 2017-10-21 諾發測量儀器股份有限公司 使用於複雜之圖案化結構的量測之方法及系統
US9310296B2 (en) * 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US10386729B2 (en) * 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
WO2017055075A1 (en) * 2015-09-28 2017-04-06 Asml Netherlands B.V. Hierarchical representation of two-dimensional or three-dimensional shapes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040017575A1 (en) * 2002-07-25 2004-01-29 Raghu Balasubramanian Optimized model and parameter selection for optical metrology
US20060290947A1 (en) * 2005-06-16 2006-12-28 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US20080068616A1 (en) * 2006-09-14 2008-03-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
V. Ferreras Paza 외6인, "Depth sensitive Fourier-Scatterometry for the characterization of sub-100 nm periodic", The International Society for Optical Engineering, 2011.05. pp.1-9* *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190043167A (ko) * 2016-09-01 2019-04-25 에이에스엠엘 네델란즈 비.브이. 계측 타겟 측정 레시피의 자동 선택
KR20190024597A (ko) * 2017-08-31 2019-03-08 가부시끼가이샤 히다치 세이사꾸쇼 계산기, 처리의 제어 파라미터의 결정 방법, 대용 시료, 계측 시스템, 및 계측 방법
KR20200102906A (ko) * 2019-02-22 2020-09-01 가부시끼가이샤 히다치 세이사꾸쇼 대용 시료, 처리의 제어 파라미터의 결정 방법, 및 계측 시스템

Also Published As

Publication number Publication date
US10255385B2 (en) 2019-04-09
EP2831784A1 (en) 2015-02-04
US20130262044A1 (en) 2013-10-03
WO2013148203A1 (en) 2013-10-03
TW201346214A (zh) 2013-11-16
KR102118224B1 (ko) 2020-06-02
JP2015514984A (ja) 2015-05-21
TWI589836B (zh) 2017-07-01

Similar Documents

Publication Publication Date Title
KR102118224B1 (ko) 스펙트럼 민감도에 기초한 모델 최적화 방법
US10325004B1 (en) Method of optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
JP6097300B2 (ja) 計量学のためのプロセス変動ベースのモデル最適化の方法
US20130158957A1 (en) Library generation with derivatives in optical metrology
US10386729B2 (en) Dynamic removal of correlation of highly correlated parameters for optical metrology
CN106030282B (zh) 用于光学度量衡的自动波长或角度修剪的方法及光学系统
JP6140075B2 (ja) 構造の非対称特性の判定方法
US10481088B2 (en) Automatic determination of fourier harmonic order for computation of spectral information for diffraction structures

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant