KR20140118871A - 플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법 - Google Patents

플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법 Download PDF

Info

Publication number
KR20140118871A
KR20140118871A KR20140035564A KR20140035564A KR20140118871A KR 20140118871 A KR20140118871 A KR 20140118871A KR 20140035564 A KR20140035564 A KR 20140035564A KR 20140035564 A KR20140035564 A KR 20140035564A KR 20140118871 A KR20140118871 A KR 20140118871A
Authority
KR
South Korea
Prior art keywords
oxide coating
dense oxide
aluminum
plasma processing
plasma
Prior art date
Application number
KR20140035564A
Other languages
English (en)
Other versions
KR102245044B1 (ko
Inventor
홍 시
린 서
존 마이클 컨스
윌리암 찰스
존 도허티
시바카미 라마나탄
러셀 오르몬드
로버트 지. 오닐
탐 스티븐슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140118871A publication Critical patent/KR20140118871A/ko
Application granted granted Critical
Publication of KR102245044B1 publication Critical patent/KR102245044B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/026Anodisation with spark discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/12Anodising more than once, e.g. in different baths
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/16Pretreatment, e.g. desmutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12479Porous [e.g., foamed, spongy, cracked, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12542More than one such component
    • Y10T428/12549Adjacent to each other
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12583Component contains compound of adjacent metal
    • Y10T428/1259Oxide

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electrochemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

반도체 처리 장비의 알루미늄 구성 요소 상에 조밀한 산화물 코팅을 형성하는 방법은 상기 알루미늄 구성 요소의 표면 상에 순수한 알루미늄 층을 미리 결정된 두께까지 저온 분사 (cold spray) 하는 동작을 포함한다. 이어서, 플라즈마 전해 산화 공정 (plasma electrolytic oxidation process) 을 사용하여서 상기 순수한 알루미늄 층 상에 조밀한 산화물 코팅이 형성되며, 상기 플라즈마 전해 산화 공정은 상기 순수한 알루미늄 층이 마이크로플라즈마 방전들 (microplasmic discharges) 을 경험하게 하고, 이로써 상기 알루미늄 구성 요소 상의 상기 순수한 알루미늄 층 상에 상기 조밀한 산화물 코팅이 형성된다.

Description

플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법{DENSE OXIDE COATED COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF}
본 발명은 반도체 플라즈마 처리 챔버의 구성 요소들에 관한 것이며, 보다 구체적으로는 반도체 플라즈마 처리 챔버의 구성 요소들에 대한 베리어 코팅 (barrier coating) 에 관한 것이다.
반도체 재료 처리 분야에서, 진공 처리 챔버들을 포함하는 반도체 플라즈마 처리 챔버들은 예를 들어서 기판들 상에서 다양한 재료들을 플라즈마 에칭 또는 PECVD하는 것과 같은 에칭 및 증착을 위해서 사용된다. 이러한 공정들 중 몇몇은 이러한 처리 챔버들 내에서 부식성 및 침식성 공정 가스들 및 플라즈마를 사용한다. 챔버 구성 요소 마모 및/또는 챔버들 내에서 처리되는 기판들의 입자 및/또는 금속 오염을 최소화하는 것이 바람직하다. 따라서, 이러한 장치들의 플라즈마-노출된 및/또는 공정 가스 노출된 구성 요소들이 그러한 가스들 및 플라즈마에 노출된 때에 부식 및 침식에 대해서 내성을 갖는 것이 바람직하다.
반도체 처리 장비의 알루미늄 구성 요소 상에 조밀한 산화물 코팅을 형성하는 방법이 본 명세서에서 개시된다. 이 방법은 상기 알루미늄 구성 요소의 표면 상에 순수한 알루미늄 층을 미리 결정된 두께까지 저온 분사 (cold spray) 하는 동작을 포함한다. 이어서, 플라즈마 전해 산화 공정 (plasma electrolytic oxidation process) 을 사용하여서 상기 순수한 알루미늄 층 상에 조밀한 산화물 코팅이 형성되며, 상기 플라즈마 전해 산화 공정은 상기 순수한 알루미늄 층이 마이크로플라즈마 방전들 (microplasmic discharges) 을 경험하게 하고, 이에 따라서 상기 마이크로플라즈마 방전들은 알루미늄 구성 요소 표면 상의 상기 순수한 알루미늄 층 상에 상기 조밀한 산화물 코팅을 형성한다.
반도체 플라즈마 처리 장치가 본 명세서에서 더 개시된다. 이 반도체 플라즈마 처리 장치는 반도체 기판들이 처리되는 플라즈마 처리 챔버, 공정 가스를 상기 플라즈마 처리 챔버 내로 공급하기 위하여 상기 플라즈마 처리 챔버와 유체 연통하는 공정 가스 소스, 및 상기 공정 가스를 상기 플라즈마 처리 챔버 내에서 플라즈마 상태로 에너자이징하도록 구성된 RF 에너지 소스를 포함한다. 이 반도체 플라즈마 처리 장치는 조밀한 산화물 코팅을 갖는 적어도 하나의 알루미늄 구성 요소를 포함한다.
조밀한 산화물 코팅을 갖는 적어도 하나의 알루미늄 구성 요소를 포함하는 반도체 플라즈마 처리 장치 내에서 반도체 기판을 플라즈마 처리하는 방법이 본 명세서에서 또한 개시된다. 이 방법은 상기 공정 가스 소스로부터 공정 가스를 상기 플라즈마 처리 챔버 내로 공급하는 동작, 상기 플라즈마 처리 챔버 내에서 플라즈마를 생성하도록 상기 RF 에너지 소스를 사용하여서 상기 공정 가스에 RF 에너지를 인가하는 동작, 및 상기 플라즈마 처리 챔버 내에서 반도체 기판을 플라즈마 처리하는 동작을 포함한다.
도 1a 및 도 1b는 플라즈마 처리 챔버의 조밀한 산화물 코팅을 갖는 알루미늄 구성 요소의 단면도들이다.
도 2는 조밀한 산화물 코팅된 알루미늄 구성 요소들의 실시예들이 설치될 수 있는 용량 결합형 플라즈마 에칭 챔버의 예시적인 실시예를 나타낸다.
조밀한 산화물 코팅을 포함하는 반도체 플라즈마 처리 챔버의 알루미늄 구성 요소가 본 명세서에서 개시된다. 본 명세서에서 개시된 알루미늄 구성 요소들 상의 조밀한 산화물 코팅들은 하드 (hard) 하며 물리적 및/또는 화학적 공격들에 대해서 침식 및 부식 내성을 갖는다. 물리적 및/또는 화학적 공격들은 반도체 기판들을 처리하는 동안에 플라즈마 처리 챔버들 내에 존재하는 침식성 가스들 및 플라즈마에 의해서 발생할 수 있다. 바람직하게는, 조밀한 산화물 코팅은 그 상에 이트륨 산화물 베리어 층과 같은 외측 베리어 층을 갖는다.
조밀한 산화물 코팅은, 하드하고 조밀하고 부식에 대해 내성을 갖는 조밀한 산화물 코팅을 제공하는 PEO (plasma electrolytic oxidation) 공정을 사용하여서 형성된다. 본 명세서에서 사용되는 바와 같이, "알루미늄 구성 요소"는 알루미늄 또는 알루미늄 합금으로부터 형성된 구성 요소이다. 또한, 본 명세서에서 사용되는 바와 같이, "순수한 알루미늄"은 알루미늄 중량이 최소 99.9 %인 재료를 의미하며, 보다 바람직하게는 알루미늄 중량이 최소 99.93 %인 재료를 의미한다. 또한, 본 명세서에서 사용되는 바와 같이, 용어 "약"은 ± 10 %를 말한다. 조밀한 산화물 코팅은 알루미늄 구성 요소들에서 발견될 수 있는 철 불순물들과 같은 오염물들이 플라즈마 처리 챔버 내의 오염을 최소화하도록 알루미늄 구성 요소의 표면 상의 보호층을 형성한다. 철 오염과 같은 오염은 반도체 플라즈마 처리 동안에 알루미늄 구성 요소의 공정 노출로 인해서 발생할 수 있다. 반도체 플라즈마 처리 챔버는 바람직하게는 진공 챔버를 포함하며 반도체 플라즈마 처리 장치의 플라즈마 에칭 또는 증착 챔버 (본 명세서에서는 "플라즈마 챔버"로 지칭됨) 일 수 있다. 다음의 설명에서, 다수의 특정 세부 사항들이 본 실시예들의 철저한 이해를 제공하기 위해서 제시된다. 그러나, 본 실시예들은 이러한 특정 세부 사항들 전부 또는 일부 없이도 실시될 수 있음은 본 기술 분야의 당업자에게 자명하다. 다른 경우에서, 잘 알려진 공정 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않는다.
본 명세서에서 기술된 알루미늄 구성 요소들은 알루미늄 또는 알루미늄 합금 기판과 같은 적어도 하나의 알루미늄 표면을 갖는 기판 및 이 기판 상의 외측 층을 형성하는 조밀한 산화물 코팅을 포함할 수 있다. 조밀한 산화물 코팅을 형성하기 위해서, 순수한 알루미늄 층이 알루미늄 구성 요소 상에 저온 분사된다 (cold sprayed). 순수한 알루미늄 층은 그의 노출된 표면들 상의 자연적으로 발생하는 산화물 막을 가질 수 있다. 이어서, PEO를 사용하여서, 조밀한 산화물 코팅이 순수한 알루미늄 층 상에 형성되고 목표 두께로 성장한다. 바람직하게는, 조밀한 산화물 코팅으로 코팅될 구성 요소는 챔버 벽 또는 챔버 라이너와 같은, 플라즈마 챔버에서 유용한 알루미늄 구성 요소이다. 코팅된 알루미늄 표면은 알루미늄 기판의 외부 표면과 같은 플라즈마 노출된 또는 공정 가스 노출된 표면일 수 있다. 조밀한 산화물 코팅은 알루미늄 기판의 하나 이상의 외부 표면들 또는 모든 외부 표면들 상에 도포될 수 있다. 이와 달리, 조밀한 산화물 코팅은 가스 통로와 같은 알루미늄 기판의 내부 표면에 도포될 수 있다.
에칭 공정들과 같은 플라즈마 처리 동안에, 공정 가스들은 알루미늄 표면 및 알루미늄 합금 표면에 대해서 부식성을 갖는 예를 들어서 CxFy (x ≥ 1, y ≥ 1), CxHyFz (x ≥ 1, y ≥ 1, z ≥ 1), HBr, NF3, HBr, Cl2, 및 BCl3 와 같은 할로겐 함유 종들일 수 있으며, 이러한 부식성은 챔버 내에서 처리되고 있는 반도체 기판들을 오염시킬 수 있는 철과 같은 해로운 불순물들을 방출할 수 있다. 따라서, 조밀한 산화물 코팅은 바람직하게는 알루미늄 표면 또는 알루미늄 합금 표면에 도포되어서 알루미늄 표면 또는 알루미늄 합금 표면의 부식을 저감시킬 수 있는 하드하고 조밀한 코팅을 형성하며 이로써 처리 챔버 내에서 철 오염을 저감시킨다.
조밀한 산화물 코팅을 포함하는 구성 요소들은 예를 들어서 반도체 웨이퍼들, 플랫 패널 디스플레이 기판들 등을 포함하는 다양한 기판들을 제조하는데 사용되는 반도체 기판 플라즈마 에칭 및 재료 증착 (예를 들어서, ALD, PECVD, 등) 을 포함하는 다양한 공정들을 수행하기 위한 장치 내에서 사용될 수 있다. 장치의 타입 및 구성에 따라서, 적어도 하나의 알루미늄 표면 또는 알루미늄 합금 표면 및 선택적으로 적어도 하나의 플라즈마 또는 공정 노출된 표면을 갖는 구성 요소(들)이 조밀한 산화물 코팅으로 코팅될 수 있으며, 이러한 구성 요소는 예를 들어서 챔버 벽들, 챔버 라이너들, 배플들, 가스 분배 플레이트들, 가스 분배 링들, 척킹 메카니즘들 (예를 들어서, 정전척들 및 알루미늄 히트 싱크들), 에지 링들, 가스 노즐들, 패스너들 (fasteners), 쓰라우드들 (shrouds), 한정 링들 등일 수 있다. 이러한 구성 요소들은 부식에 내성을 갖는 조밀한 산화물 코팅으로 코팅된 하나 이상의 표면들을 포함할 수 있다. 몇몇 실시예들에서, 이 구성 요소의 전체 외부 표면이 PEO 코팅부를 포함할 수 있다.
조밀한 산화물 코팅을 갖는 알루미늄 구성 요소 (100) 의 예시적인 실시예가 도 1a에 도시된다. 도시된 바와 같이, 구성 요소 (100) 는 알루미늄 또는 알루미늄 합금 표면 (112) 및 이 표면 (112) 상의 순수한 알루미늄 층 (130) 을 갖는 기판 (110) 을 포함한다. PEO 공정을 사용하여서 형성된 조밀한 산화물 코팅 (120) 이 구성 요소 (100) 의 외측 표면 (124) 을 형성하도록 순수한 알루미늄 층 (130) 상에 형성된다. 기판 (110) 은 바람직하게는 전체가 알루미늄 또는 알루미늄 합금 (예를 들어서, Al6061-T6) 으로 형성되거나, 이와 달리 알루미늄과 다른 도전성 재료, 유전체 재료, 또는 절연체들의 복합물로부터 형성되되 적어도 기판 (110) 의 표면 (112) 은 알루미늄 또는 알루미늄 합금으로 형성될 수 있다. 기판 (110) 이 전체가 알루미늄 또는 알루미늄 합금으로 형성된 경우에, 기판 (110) 은 가공되거나 (wrought), 압출되거나 (extruded), 주조된 (cast) 알루미늄일 수 있다. 바람직하게는, 알루미늄 합금은 알루미늄 협회 (Aluminum Association) 의 알루미늄 합금 시리즈 1XXX, 2XXX, 3XXX, 4XXX, 5XXX, 6XXX, 7XXX, 및 8XXX로부터 선택될 수 있다. 바람직하게는, 순수한 알루미늄 층을 수용할 기판 (110) 의 표면 (112) 은 아노다이징되지 않는다 (non-anodized). 다른 실시예들에서, 알루미늄 또는 알루미늄 합금 표면 (112) 은 순수한 알루미늄 층 (130) 이 저온 분사에 의해서 증착되기 이전에 아노다이징되고/되거나 조면화 (roughened) 될 수 있다. 다른 바람직한 실시예들에서, 알루미늄 또는 알루미늄 합금 표면 (112) 은 폴리싱 (polishing) 또는 머시닝 (machining) 될 수 있다.
순수한 알루미늄 층 (130) 은 알루미늄 기판 (110) 의 알루미늄 표면 (112) 상에 순수한 알루미늄을 저온 분사함으로써 형성된다. 저온 분사는 거의 상온의 분말 입자들 (본 실례에서는, 바람직하게는 높은 순도의 알루미늄 분말 입자들) 을 고속으로 가속하도록 압축된 가스의 초음속 제트들 (supersonic jets) 을 사용하는 동역학적 (kinetic) 분사 공정이며, 이 공정에서 약 450 내지 1500 m/sec의 속도로 이동하는 입자들이 기판 (본 실례에서는 PEO 공정을 사용하여서 코팅되는 알루미늄 구성 요소) 과 충돌하여서 코팅을 생성한다. 일 실시예에서, 이 입자들은 충돌 시에 소성 변형 (plastic deformation) 하여서 기판 (110) 상에 결합된다. 또한, 저온 분사는 가스 동적 분사, 초음속 분사, 및/또는 동역학적 분사로 지칭될 수 있다. 저온 분사 공정의 기본은 (고순도 금속 분말로 된) 입자들을 초음속 (450 내지 1500 m/sec) 으로 가스 동적 가속시켜서 높은 동력학적 에너지에 이르게 하고 이로써 고체상 소성 변형 및 융착 (fusion) 이 충격 시에 발생하는 것이다. 예를 들어서, 가공된 (wrought) (작업이 마쳐진:fully worked) 순수한 알루미늄은 약 40 내지 45 의 브리넬 경도 스케일 값을 갖는 반면에, 저온 분사된 순수한 알루미늄은 약 55 내지 60 의 브리넬 경도 스케일 값을 갖는다. 일 실시예에서, 이는 수렴형-확산형 (convergent-divergent) de Laval 노즐들 및 높은 압력 (500 psi 또는 3.5 MPa에 달하는 압력) 및 플로우 레이트 (90 m3/hr) 를 갖는 헬륨, 아르곤, 또는 질소와 같은 압축된 가스를 사용하여서 달성될 수 있다. 다른 실시예에서, 코팅 재료의 입자들의 속도를 증가시키도록 가스들이 (알루미늄의 녹는점보다 낮은 온도로, 바람직하게는 120 ℃ 아래로) 사전 가열될 수 있다. 일 실시예에서, 금속성 본딩 재료 (본 명세서에서는, 고순도 알루미늄) 입자들이 약 1 내지 약 50 마이크론에 달하는 입자 직경 및 약 2.5 g/cm3 내지 약 20 g/cm3 에 달하는 입자 밀도를 가질 수 있다.
금속 분말과 함께 가스-분말 혼합물을 형성하는 가스로서, 일반적으로 불활성 가스가 사용된다. 본 명세서의 실시예들에 따른 불활성 가스는 다음으로 한정되지 않지만 아르곤, 헬륨, 상대적으로 비반응성의 질소 또는 이들 중 2 개 이상의 혼합물을 포함한다. 특정한 경우에, 공기가 또한 사용될 수 있다. 안전 규정이 만족된다면, 수소 가스 사용 또는 수소 가스와 다른 가스와 혼합 가스 사용이 고려될 수 있으며 수소의 매우 높은 음속으로 인해서 유리하게 사용될 수 있다. 실제로, 수소의 음속은 헬륨의 음속보다 30 퍼센트만큼 더 높으며 따라서 질소의 음속보다 대략 3 배이다. 공기의 음속이 20 ℃ 및 1 기압 (atm) 에서 344 m/s인 반면에, 보다 낮은 분자량을 갖는 수소 (28.96의 공기의 분자량에 비해서 약 2.016의 분자량을 가짐) 는 1308 m/s의 음속을 갖는다. 알루미늄 구성 요소 상에 저온 분사된 순수한 알루미늄 층은 낮은 기공율을 갖는다. 바람직하게는, 알루미늄 구성 요소 상에 저온 분사된 순수한 알루미늄 층은 약 1 % 보다 작은 기공율을 가지며, 보다 바람직하게는, 알루미늄 구성 요소 상에 저온 분사된 순수한 알루미늄 층은 약 0.5 % 보다 작은 기공율을 갖는다.
조밀한 산화물 코팅은 알루미늄 구성 요소의 저온 분사된 순수한 알루미늄 층에 대해 플라즈마 전해 산화 (PEO) 공정을 수행함으로써 형성된다. 이 PEO 공정은 알루미늄 구성 요소가 제 1 전극을 형성하는 고 전압 (즉, 200 V보다 큰 전압) 의 전기 화학적 셀의 습식 화학 전해 조 (a wet chemistry electrolytic bath) 내에 이 알루미늄 구성 요소를 침지하는 동작을 포함한다. 카운터 전극으로서 기능하며 상기 전기 화학적 셀을 완성하는 제 2 전극은 바람직하게는 상기 전해 조의 벽이다. 이 전해 조는 바람직하게는 KOH와 같은 희석된 알카리 용액을 포함한다. 이 전기 화학적 셀의 전극들 간에 인가된 전압은, 알루미늄 구성 요소 및 순수한 알루미늄 층에 인가된 전압이 순수한 알루미늄 층의 외측 표면 상에서 자연적으로 발생한 산화막의 유전체 항복 전위를 초과하면, 알루미늄 구성 요소의 순수한 알루미늄 층 상에 조밀한 산화물 코팅을 형성한다. 전해 조 내에서의 유전체 항복 (dielectric breakdown) 은 순수한 알루미늄 층의 표면에서 그리고 이 층 내의 기공들 내에서 마이크로플라즈마 방전 현상 (microplasmic discharge) 을 발생시키며, 이 방전 현상 시에 순수한 알루미늄 층의 기공들 내에서의 아크 발생이 이 기공들이 자기 소멸 (self-heal) 되게 하여서 순수한 알루미늄 층 내의 기공율이 저감된다. 또한, 상기 마이크로플라즈마 방전 현상은, 이 마이크로플라즈마 방전으로 인해서 순수한 알루미늄 층이 국소적으로 용융되기 때문에, 상기 자연적으로 발생한 산화물 층이 성장하여서 조밀한 산화물 코팅부가 생성되게 한다. 전해 조 내에서의 순수한 알루미늄 층의 용융은 용융된 Al2O3 을 형성하고, 이 용융된 Al2O3 은 순수한 알루미늄 층의 기공들을 충진하며 또한 순수한 알루미늄 층의 기공들로부터 흐른다. 이어서, 용융된 Al2O3 은 조밀한 산화물 코팅 (즉, Al2O3) 으로서 고체화되며 그 아래에 놓인 순수한 알루미늄 층의 기공들을 자기 소멸시키며 이로써 순수한 알루미늄 층의 기공율을 저감시킨다. 순수한 알루미늄 층 상에 형성된 조밀한 산화물 코팅은 저온 분사된 순수한 알루미늄 층의 기공율보다 낮은 기공율을 갖는다. 예를 들어서, 순수한 알루미늄 층이 약 1 %보다 낮은 기공율을 갖도록 저온 분사 증착된다면, PEO 공정을 사용하여서 형성된 조밀한 산화물 코팅의 기공율은 바람직하게는 0.5 %보다 낮거나; 순수한 알루미늄 층이 약 0.5 %보다 낮은 기공율을 갖도록 저온 분사 증착된다면, PEO 공정을 사용하여서 형성된 조밀한 산화물 코팅의 기공율은 바람직하게는 0.2 %보다 낮다. 바람직하게는, 조밀한 산화물 코팅은 코런덤 (corundum) 또는 사파이어와 같은 Al2O3 의 조밀한 알파 결정질 형태로 형성된다.
순수한 알루미늄 층 (130) 은 바람직하게는 알루미늄 구성 요소 상에서 사전 결정된 두께까지 저온 분사된다. 바람직하게는, 이 사전 결정된 두께는 약 0.05 내지 3 mm이고 보다 바람직하게는 약 0.1 내지 0.3 mm이다. 이어서, 조밀한 산화물 코팅 (120) 이 PEO 공정을 사용하여서 순수한 알루미늄 층 (130) 상에 형성된다. PEO 공정을 사용하여서 형성된 조밀한 산화물 코팅의 표면 조도 (roughness) Ra는 조밀한 산화물 코팅의 두께에 비례한다. 본 명세서에서 사용되는 용어 "표면 조도"는 표면 조도 측정치에 대한 산술 평균 값 Ra로서 표현된다. 조밀한 산화물 코팅의 표면 조도는 PEO 공정을 사용하여서 형성된 조밀한 산화물 코팅의 두께의 약 1/10이다. 따라서, 표면 조도는 PEO 공정을 사용하여서 조밀한 산화물 코팅을 사전 결정된 두께까지 형성함으로써 제어될 수 있다. 바람직하게는, 조밀한 산화물 코팅의 두께는 약 0.02 내지 0.2 mm이며 이 때에 형성된 조밀한 산화물 코팅의 표면 조도는 약 2 내지 20 마이크론이다.
도 1b는 조밀한 산화물 코팅을 갖는 알루미늄 구성 요소 (100) 의 다른 실시예를 나타내고 있다. 도시된 바와 같이, 알루미늄 구성 요소 (100) 는 알루미늄 표면 또는 알루미늄 합금 표면 (112) 을 갖는 기판 (110) 및 이 표면 (112) 상의 순수한 알루미늄 층 (130) 을 포함한다. PEO 공정을 사용하여서 형성된 조밀한 산화물 코팅 (120) 이 구성 요소 (100) 의 표면 (124) 을 형성하도록 조밀한 산화물 코팅 (120) 이 순수한 알루미늄 층 (130) 상에 형성된다. 추가 베리어 층 (140) 이 바람직하게는 조밀한 산화물 코팅 (120) 의 표면 (124) 상에 증착된다. 바람직하게는, 이 추가 베리어 층 (140) 은 이트륨 산화물과 같은 세라믹 재료로 구성된다. 바람직하게는, 이트륨 산화물은 순수한 이트륨 산화물이다. 순수한 이트륨 산화물은 바람직하게는 최소 약 99 %의 순도를 가지며, 보다 바람직하게는 약 99.95 % 내지 약 100 % 의 순도를 갖는다. 이러한 구성의 세부 사항들은 공동으로 양도되며 본원에서 그 전체가 참조로서 인용되는 미국 특허 8,293,335에서 찾을 수 있다. 다시 말하자면, 기판 (110) 은 바람직하게는 전체가 알루미늄 또는 알루미늄 합금 (예를 들어서, AL 6061) 으로 형성되거나 이와 달리 알루미늄과 다른 도전성 재료, 유전체 재료, 또는 절연체들의 복합물로부터 형성되되 적어도 기판 (110) 의 표면 (112) 은 알루미늄 또는 알루미늄 합금으로 형성될 수 있다. 기판 (110) 전체가 알루미늄 또는 알루미늄 합금으로 형성된 경우에, 기판 (110) 은 가공되거나, 압출되거나, 주조된 알루미늄일 수 있다. 바람직하게는, 알루미늄 합금은 알루미늄 협회의 알루미늄 합금 시리즈 1XXX, 2XXX, 3XXX, 4XXX, 5XXX, 6XXX, 7XXX, 및 8XXX로부터 선택될 수 있다.
베리어 층 (140) 은 선행하는 표면 (124) 조면화 (roughening) 없이 조밀한 산화물 코팅 (120) 상에 직접적으로 형성될 수 있다. 다른 실시예에서, 표면 (124) 은 베리어 층 (140) 이 형성되기 이전에 조면화될 수 있다. 바람직한 실시예에서, 조밀한 산화물 코팅 (120) 은 선행하는 표면 (124) 조면화 (roughening) 없이 적합한 부착력을 제공하며, 이로써 추가적 공정 단계들을 필요없게 할 수 있다. 바람직하게는, 인장 본딩 강도 테스트가 구성 요소 (100) 에 대해서 수행될 경우에, 조밀한 산화물 코팅 (120) 및 베리어 층 (140) 이 응집성 면에서는 (cohesively) (즉, 구성 요소의 기판 벌크 (bulk) 내에서는) 통과하지 못하지만 부착성 면에서는 (adhesively) (즉, 기판/코팅부 계면들에서는) 통과하도록, 조밀한 산화물 코팅 (120) 및 베리어 층 (140) 이 이 코팅부들이 형성된 기판 (110) 의 각각의 표면 (112,124) 에 대하여 충분하게 높은 부착 본딩 강도를 갖는다. 조밀한 산화물 코팅 (120) 으로의 베리어 층 (140) 의 양호한 부착을 보장하기 위해서, 표면 (124) 은 베리어 층 (140) 을 증착하기 이전에 산화물 표피 (scale) 가 철저하게 세정되어야 한다. 이러한 세정은 희석된 염산, 황산, 탈지 용매, 증류수 또는 탈이온수와 같은 세정 용액 내에서 구성 요소 (100) 을 요동시킴으로써 이루어질 수 있다.
조밀한 산화물 코팅된 구성 요소의 실시예들은 예를 들어서 유전체 에칭 챔버들, 용량 결합형 플라즈마 에칭 챔버들, 유도 결합형 플라즈마 에칭 챔버들, PECVD 챔버들, 및 ALD 챔버들과 같은 반도체 플라즈마 처리 장치들의 플라즈마 에칭 챔버들 또는 증착 챔버들에서 사용될 수 있다. 이러한 챔버들에서, 기판 표면들은 플라즈마 및/또는 공정 가스에 노출될 수 있다. 소정의 에칭 공정들에서, 이러한 공정 가스들은 알루미늄 표면 및 알루미늄 합금 표면과 같은 소정의 재료에 대해서 부식성을 갖는 예를 들어서 CxFy, CxHyFz, HBr, NF3, HBr, Cl2, 및 BCl3 와 같은 할로겐 함유 종들일 수 있으며, 이러한 부식성은 해로운 철 오염물들을 방출할 수 있다. 그러나, PEO 공정을 사용하여서 형성된 조밀한 산화물 코팅은 플라즈마 노출된 및/또는 공정 가스 노출된 알루미늄 표면 또는 알루미늄 합금 표면을 플라즈마 및 공정 가스의 부식 효과로부터 보호하여서 철 오염을 저감시킬 수 있다. 또한, PEO 공정은 순수한 알루미늄 층 내에 존재할 수 있는 해로운 오염물 및/또는 불순물을 저감시킬 수 있다. 예를 들어서, PEO 공정이 순수한 알루미늄 층을 조밀한 산화물 층으로 변화시킴에 따라서 어느 정도의 불순물들이 순수한 알루미늄 층에서 전해 조 내로 확산되면 PEO 공정은 순수한 알루미늄 층에서 발견되는 철 불순물과 같은 불순물을 감소시킬 수 있다. 조밀한 산화물 층은 예를 들어서 알루미나, 코런덤 또는 사파이어와 같은 조밀한 알루미늄 산화물을 제공하는데 사용될 수 있으며, 이 조밀한 알루미늄 산화물 코팅은 바람직하게는 알파-알루미나 결정질 상으로 존재한다. 플라즈마 처리 장치 내의 플라즈마 노출되고/되거나 공정 가스 노출된 알루미늄 표면 또는 알루미늄 합금 표면은 조밀한 산화물 코팅을 포함하고 보다 바람직하게는 이트륨 산화물과 같은 재료로 형성된 베리어 층을 포함한다.
조밀한 산화물 코팅이 설명의 용이성을 위해서 알루미늄 표면 또는 알루미늄 합금 표면을 갖는 임의의 타입의 구성 요소에 사용되었지만, 이 조밀한 산화물 코팅은 본 명세서에서 그 전체 내용이 참조로서 인용되고 본 출원인에게 공동으로 양도된 미국 공개 특허 출원 2009/0200269에 개시된 장치를 참조하여서 보다 상세하게 기술될 것이다.
도 2는 플라즈마 처리 장치의 조절 가능한 갭 용량 결합형 플라즈마 (CCP) 에칭 챔버 (200) ("챔버") 의 예시적인 실시예를 나타낸다. 이 챔버 (200) 는 챔버 하우징 (202); 챔버 하우징 (202) 의 천장부 (228) 에 탑재된 상부 전극 어셈블리 (225); 챔버 하우징 (202) 의 바닥부 (205) 에 탑재되고 상부 전극 어셈블리 (225) 의 하부 표면에 대해서 실질적으로 평행하도록 이격된 하부 전극 어셈블리 (215); 상기 상부 전극 어셈블리 (225) 와 하부 전극 어셈블리 (215) 간의 갭 (232) 을 둘러싸는 한정 링 어셈블리 (206); 챔버 라이너 (252); 및 상부 전극 어셈블리 (225) 의 상단부를 둘러싸는 챔버 상단 (230) 을 포함한다. 다른 실시예에서, 환형 쓰라우드 (annular shroud) 가 상기 상부 전극 어셈블리 (225) 와 하부 전극 어셈블리 (215) 간의 갭 (232) 을 둘러싸도록 한정 링 어셈블리 (206) 를 대신할 수 있다.
상부 전극 어셈블리 (225) 는 바람직하게는 상부 샤워헤드 전극 (224) 및 백킹 플레이트 (backing plate) (226) 를 포함한다. 상부 전극 어셈블리 (225) 는 상부 샤워헤드 전극 (224) 을 둘러싸는 단차부 (step) 를 형성하는 외부 전극 (224a) 및 백킹 플레이트 (226) 를 둘러싸는 단차부를 형성하는 선택적 백킹 링 (226a) 을 선택적으로 포함할 수 있다. 상부 샤워헤드 전극 (224) 및 백킹 플레이트 (226) 는 상부 샤워헤드 전극 (224) 과 하부 전극 어셈블리 (215) 간에 규정된 갭 (232) 으로 공정 가스를 분배하기 위한 가스 통로들을 포함한다. 상부 전극 어셈블리 (225) 는 상부 샤워헤드 전극 (224) 과 하부 전극 어셈블리 (215) 간에 규정된 갭 (232) 으로 공정 가스를 분배하기 위한 가스 통로들을 포함하는 하나 이상의 배플들 (미도시) 과 같은 가스 분배 시스템을 선택적으로 더 포함할 수 있다. 배플들 및 쓰라우드들의 세부 사항들은 본 명세서에서 그 전체 내용이 참조로서 인용되고 본 출원인에게 공동으로 양도된 미국 특허 8,313,635 및 6,974,523에서 찾을 수 있다. 상부 전극 어셈블리 (225) 는 RF 가스킷 (128), 가열 요소 (121), 가스 노즐 (122) 및 다른 부품들과 같은 추가 구성 요소들을 더 포함할 수 있다. 챔버 하우징 (202) 은 기판 (214) 이 챔버 (200) 내로/로부터 로딩/언로딩되도록 하는 게이트 (미도시) 를 갖는다. 예를 들어서, 기판 (214) 은 본 명세서에서 그 전체 내용이 참조로서 인용되고 본 출원인에게 공동으로 양도된 미국 특허 6,899,109에 개시된 바와 같은 부하 록 (load lock) 을 통해서 챔버에 진입할 수 있다.
상부 샤워헤드 전극 (224) 은 바람직하게는 단결정 실리콘 또는 폴리실리콘과 같은 반도체 호환 가능한 재료로부터 형성된다. 가스 분배 플레이트는 바람직하게는 알루미늄 또는 알루미늄 합금으로부터 형성된다. 바람직하게는, 백킹 플레이트 (226) 및 상부 샤워헤드 전극 (224) 은 그들을 통해서 열 및 RF 직류를 전달할 수 있도록 구성된다. 공정 가스에 노출되는 가스 분배 플레이트의 알루미늄 표면 또는 알루미늄 합금 표면은 부식에 내성을 갖는 코팅을 제공하는 조밀한 산화물 코팅으로 코팅되며 보다 바람직하게는 조밀한 산화물 코팅 및 이트륨 산화물과 같은 세라믹 베리어 층으로 코팅된다.
간략성을 위해서, 가스 소스 (234) 에 연결된 오직 하나의 가스 라인 (236) 만이 도 2에서 도시되었다. 추가적 가스 라인들이 상부 전극 어셈블리 (225) 에 연결될 수 있으며, 가스가 상부 챔버 벽 (204) 및/또는 챔버 상단 (230) 의 다른 부분들을 통해서 공급될 수 있다. 바람직하게는, 상부 전극 어셈블리 (225) 에 가스를 공급하는 알루미늄 구성 요소들은 조밀한 산화물 PEO 코팅부를 포함한다.
갭 (232) 내로 주입된 공정 가스는 기판 (214) 을 처리하는 플라즈마를 생성하도록 에너자이징되며 한정 링 어셈블리 (206) 를 통과하며 외부 챔버 공간 (268) 로 들어가며 진공 펌프 유닛 (244) 에 의해서 배기된다. 외부 챔버 공간 (268) 내의 플라즈마 챔버 부품들은 동작 시에 플라즈마 및 반응성 공정 가스 (라디칼, 활성 종들) 에 노출될 수 있기 때문에, 이 챔버 부품들의 표면들을 형성하는 알루미늄 또는 알루미늄 합금은 바람직하게는 순수한 알루미늄 층 상에 형성된 조밀한 산화물 코팅을 포함하며 보다 바람직하게는 순수한 알루미늄 층 상에 형성된 조밀한 산화물 층 및 이 조밀한 산화물 코팅 상에 증착된 이트륨 산화물의 베리어 층과 같은 베리어 층을 가지며, 이로써 챔부 부품들은 플라즈마 및 반응성 공정 가스들에 대해서 내성을 가지며 이로써 가능한 철 오염을 저감시킬 수 있다.
일 실시예에서, RF 전원 (240) 이 동작 시에 RF 전력을 하부 전극 어셈블리 (215) 에 공급하며, RF 전원 (240) 은 RF 에너지를 샤프트 (260) 를 통해서 하부 전극 (210) 에 전달한다. 갭 (232) 내의 공정 가스는 하부 전극에 전달된 RF 전력에 의해서 플라즈마를 생성하도록 전기적으로 여기된다.
백킹 플레이트 (226), 백킹 링 (226a) 및 하나 이상의 배플들의 알루미늄 또는 알루미늄 합금 표면과 같은 적어도 하나의 알루미늄 또는 알루미늄 합금 표면(들) 및 하부 도전성 부재, 외부 도전체 링, 환형 쓰라우드와 같은 하부 전극 어셈블리 (215), 챔버 라이너 (252), 챔버 벽 (204) 및 패스너들 내에 포함된 알루미늄 또는 알루미늄 합금 표면(들) 과 같은 적어도 하나의 알루미늄 또는 알루미늄 표면(들) 을 포함하는 플라즈마 챔버 구성 요소들은 조밀한 산화물 코팅으로 코팅될 수 있다. 알루미늄 또는 알루미늄 합금 표면을 갖는 반도체 플라즈마 처리 장치 내의 임의의 다른 기판 또한 조밀한 산화물 코팅을 가질 수 있다.
또한, 조밀한 산화물 코팅을 갖는 적어도 하나의 알루미늄 구성 요소를 포함하는 플라즈마 처리 장치 내에서 반도체 기판을 플라즈마 처리하는 방법이 본 명세서에서 개시된다. 이 방법은 상기 공정 가스 소스로부터 공정 가스를 상기 플라즈마 처리 챔버 내로 공급하는 동작, 상기 플라즈마 처리 챔버 내에서 플라즈마를 생성하도록 상기 RF 에너지 소스를 사용하여서 상기 공정 가스에 RF 에너지를 인가하는 동작, 및 상기 반도체 처리 챔버 내에서 반도체 기판을 플라즈마 처리하는 동작을 포함한다. 상기 반도체 기판을 플라즈마 처리하는 동작은 상기 기판을 플라즈마 에칭하거나 증착 공정을 수행하는 동작을 포함한다.
본 발명이 이의 특정 실시예들을 참조하여서 자세하게 기술되었지만, 첨부된 청구 범위 내에서 다양한 수정 및 변경이 가능하며 그의 균등 사항들이 채용될 수 있음은 본 기술 분야의 당업자에게 자명하다.

Claims (20)

  1. 반도체 처리 장비의 알루미늄 구성 요소 상에 조밀한 산화물 코팅을 형성하는 방법으로서,
    상기 알루미늄 구성 요소의 표면 상에 순수한 알루미늄 층을 미리 결정된 두께까지 저온 분사 (cold spray) 하는 동작; 및
    플라즈마 전해 산화 공정 (plasma electrolytic oxidation process) 을 사용하여서 상기 순수한 알루미늄 층 상에 조밀한 산화물 코팅을 형성하는 동작으로서, 상기 플라즈마 전해 산화 공정은 상기 순수한 알루미늄 층이 마이크로플라즈마 방전들 (microplasmic discharges) 을 경험하게 하여, 상기 순수한 알루미늄 층 상에 상기 조밀한 산화물 코팅을 형성하는, 상기 조밀한 산화물 코팅을 형성하는 동작을 포함하는,
    조밀한 산화물 코팅 형성 방법.
  2. 제 1 항에 있어서,
    (a) 상기 순수한 알루미늄 층은 중량 상 최소 약 99.9 % 순도 및 최대 약 0.1 % 자연적인 (incidental) 불순물을 포함하거나,
    (b) 상기 순수한 알루미늄 층은 중량 상 최소 약 99.93 % 순도 및 최대 약 0.07 % 자연적인 불순물을 포함하는,
    조밀한 산화물 코팅 형성 방법.
  3. 제 1 항에 있어서,
    (a) 상기 알루미늄 구성 요소의 표면 상에 상기 순수한 알루미늄 층을 저온 분사하기 이전에 상기 알루미늄 구성 요소를 아노다이징 (anodizing) 하는 동작;
    (b) 상기 조밀한 산화물 코팅을 세정하는 동작; 및
    (c) 상기 조밀한 산화물 코팅 상에 순수한 이트륨 산화물 베리어 층을 증착하는 동작을 더 포함하는,
    조밀한 산화물 코팅 형성 방법.
  4. 제 1 항에 있어서,
    상기 조밀한 산화물 코팅은 알파-알루미나 결정질상 (crystalline phase) 의 알루미늄 산화물을 포함하는,
    조밀한 산화물 코팅 형성 방법.
  5. 제 1 항에 있어서,
    상기 순수한 알루미늄 층은 약 0.05 내지 3 mm의 두께로 저온 분사되는,
    조밀한 산화물 코팅 형성 방법.
  6. 제 1 항에 있어서,
    (a) 상기 순수한 알루미늄 층은 약 0.1 내지 0.3 mm의 두께로 저온 분사되고/되거나;
    (b) 상기 조밀한 산화물 코팅은 약 0.05 내지 0.2 mm의 두께로 형성되는,
    조밀한 산화물 코팅 형성 방법.
  7. 제 1 항에 있어서,
    상기 알루미늄 구성 요소는 챔버 벽, 챔버 라이너, 배플, 가스 분배 플레이트, 가스 분배 링, 척킹 메카니즘, 에지 링, 가스 노즐, 패스너 (fastener), 쓰라우드 (shroud) 및 한정 링 중 적어도 하나인,
    조밀한 산화물 코팅 형성 방법.
  8. 제 1 항에 있어서,
    (a) 상기 순수한 알루미늄 층은 약 1 %보다 낮은 기공율을 가지며/거나;
    (b) 상기 조밀한 산화물 코팅은 약 0.5 %보다 낮은 기공율을 갖는,
    조밀한 산화물 코팅 형성 방법.
  9. 제 1 항에 있어서,
    (a) 상기 순수한 알루미늄 층은 약 0.5 %보다 낮은 기공율을 가지며/거나;
    (b) 상기 조밀한 산화물 코팅은 약 0.2 %보다 낮은 기공율을 갖는,
    조밀한 산화물 코팅 형성 방법.
  10. 반도체 처리 장비의 알루미늄 구성 요소로서,
    상기 알루미늄 구성 요소의 표면 상의 순수한 알루미늄 층; 및
    상기 순수한 알루미늄 층 상의 조밀한 산화물 코팅을 포함하며,
    상기 조밀한 산화물 코팅은 플라즈마 전해 산화 공정을 사용하여서 형성되며,
    상기 플라즈마 전해 산화 공정은 상기 순수한 알루미늄 층이 마이크로플라즈마 방전들을 경험하게 하여, 상기 알루미늄 구성 요소 상에 상기 조밀한 산화물 코팅을 형성하는,
    알루미늄 구성 요소.
  11. 제 10 항에 있어서,
    상기 조밀한 산화물 코팅 상에 순수한 이트륨 산화물 베리어 층을 더 포함하는,
    알루미늄 구성 요소.
  12. 제 10 항에 있어서,
    상기 알루미늄 구성 요소는 챔버 벽, 챔버 라이너, 배플, 가스 분배 플레이트, 가스 분배 링, 척킹 메카니즘, 에지 링, 가스 노즐, 패스너, 쓰라우드 및 한정 링 중 적어도 하나인,
    알루미늄 구성 요소.
  13. 제 10 항에 있어서,
    (a) 상기 순수한 알루미늄 층은 약 1 %보다 낮은 기공율을 가지며/거나;
    (b) 상기 조밀한 산화물 코팅은 약 0.5 %보다 낮은 기공율을 갖는,
    알루미늄 구성 요소.
  14. 제 10 항에 있어서,
    (a) 상기 순수한 알루미늄 층은 약 0.5 %보다 낮은 기공율을 가지며/거나;
    (b) 상기 조밀한 산화물 코팅은 약 0.2 %보다 낮은 기공율을 갖는,
    알루미늄 구성 요소.
  15. 제 10 항에 있어서,
    (a) 상기 순수한 알루미늄 층은 약 0.1 내지 0.3 mm의 두께를 가지며/가지거나;
    (b) 상기 조밀한 산화물 코팅은 약 0.02 내지 0.2 mm의 두께를 갖는,
    알루미늄 구성 요소.
  16. 반도체 플라즈마 처리 장치로서,
    반도체 기판들이 처리되는 플라즈마 처리 챔버;
    공정 가스를 상기 플라즈마 처리 챔버 내로 공급하기 위하여 상기 플라즈마 처리 챔버와 유체 연통하는 공정 가스 소스;
    상기 공정 가스를 상기 플라즈마 처리 챔버 내에서 플라즈마 상태로 에너자이징하도록 구성된 RF 에너지 소스; 및
    상기 플라즈마 처리 챔버 내의 제 10 항에 따른 알루미늄 구성 요소를 포함하는,
    반도체 플라즈마 처리 장치.
  17. 제 16 항에 있어서,
    상기 플라즈마 처리 챔버는 플라즈마 에칭 챔버인,
    반도체 플라즈마 처리 장치.
  18. 제 16 항에 있어서,
    상기 플라즈마 처리 챔버는 증착 챔버인,
    반도체 플라즈마 처리 장치.
  19. 제 16 항의 장치 내에서 반도체 기판을 플라즈마 처리하는 방법으로서,
    상기 공정 가스 소스로부터 공정 가스를 상기 플라즈마 처리 챔버 내로 공급하는 동작;
    상기 플라즈마 처리 챔버 내에서 플라즈마를 생성하도록 상기 RF 에너지 소스를 사용하여서 상기 공정 가스에 RF 에너지를 인가하는 동작; 및
    상기 플라즈마 처리 챔버 내에서 반도체 기판을 플라즈마 처리하는 동작을 포함하는,
    플라즈마 처리 방법.
  20. 제 19 항에 있어서,
    상기 플라즈마 처리하는 동작은 상기 기판을 플라즈마 에칭하거나 증착 공정을 수행하는 동작을 포함하는,
    플라즈마 처리 방법.
KR1020140035564A 2013-03-27 2014-03-26 플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법 KR102245044B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/851,605 US9123651B2 (en) 2013-03-27 2013-03-27 Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US13/851,605 2013-03-27

Publications (2)

Publication Number Publication Date
KR20140118871A true KR20140118871A (ko) 2014-10-08
KR102245044B1 KR102245044B1 (ko) 2021-04-27

Family

ID=51621265

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140035564A KR102245044B1 (ko) 2013-03-27 2014-03-26 플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법

Country Status (4)

Country Link
US (2) US9123651B2 (ko)
JP (1) JP2014194080A (ko)
KR (1) KR102245044B1 (ko)
TW (1) TWI654341B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023018578A1 (en) * 2021-08-09 2023-02-16 Lam Research Corporation Yttrium aluminum perovskite (yap) based coatings for semiconductor processing chamber components

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101171682B1 (ko) * 2010-04-19 2012-08-07 아주대학교산학협력단 저온 분사 방법을 이용한 알루미늄 또는 알루미늄 합금 표면의 질화처리방법
US9335296B2 (en) 2012-10-10 2016-05-10 Westinghouse Electric Company Llc Systems and methods for steam generator tube analysis for detection of tube degradation
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
JP6449224B2 (ja) 2013-03-14 2019-01-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板上の高純度アルミニウムトップコート
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
WO2015064434A1 (ja) * 2013-10-30 2015-05-07 アイシン精機株式会社 ピストンおよびピストンの製造方法
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
EP3071726B1 (en) * 2013-11-21 2022-12-28 Entegris, Inc. Surface coating for chamber components used in plasma systems
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10269544B2 (en) * 2014-11-24 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gas ring for plasma system and method of manufacturing the same
DK178658B1 (da) * 2015-02-04 2016-10-17 Tresu As Kammerrakel
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
CN105926015A (zh) * 2016-05-12 2016-09-07 安徽纯启动力机械有限公司 一种铝合金压铸件电化学表面强化处理工艺
TWI594356B (zh) * 2016-10-26 2017-08-01 台灣積體電路製造股份有限公司 裝載腔體、晶圓洗邊裝置及半導體製程設備
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US20180195196A1 (en) * 2017-01-06 2018-07-12 Mks Instruments, Inc. Protective oxide coating with reduced metal concentrations
KR101877017B1 (ko) * 2017-01-09 2018-07-12 한국과학기술연구원 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법
KR101815810B1 (ko) * 2017-06-27 2018-01-05 강동원 플라즈마 블록의 코팅 방법 및 그에 의하여 코팅이 된 플라즈마 블록
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN110468402A (zh) * 2018-05-11 2019-11-19 中国科学院金属研究所 一种冷喷涂制备y2o3陶瓷涂层的改进方法
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
KR20210025708A (ko) * 2018-07-26 2021-03-09 램 리써치 코포레이션 플라즈마 프로세싱 챔버 컴포넌트들을 위한 표면 코팅
US11142829B2 (en) * 2019-02-27 2021-10-12 Toto Ltd. Semiconductor manufacturing apparatus member, and display manufacturing apparatus and semiconductor manufacturing apparatus comprising semiconductor manufacturing apparatus member
JP2020141123A (ja) * 2019-02-27 2020-09-03 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
US11935662B2 (en) 2019-07-02 2024-03-19 Westinghouse Electric Company Llc Elongate SiC fuel elements
JP7440621B2 (ja) 2019-09-19 2024-02-28 ウェスティングハウス エレクトリック カンパニー エルエルシー コールドスプレー堆積物のその場付着試験を行うための装置及びその使用方法
WO2022055813A1 (en) * 2020-09-10 2022-03-17 Lam Research Corporation Spinel coating for plasma processing chamber components
US20220341018A1 (en) * 2021-04-21 2022-10-27 Toto Ltd. Semiconductor manufacturing apparatus member and semiconductor manufacturing apparatus
US20220403531A1 (en) * 2021-06-17 2022-12-22 Applied Materials, Inc. Conformal yttrium oxide coating

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002309364A (ja) * 2001-04-12 2002-10-23 Tocalo Co Ltd 低温溶射皮膜被覆部材およびその製造方法
KR101030935B1 (ko) * 2002-06-27 2011-04-28 램 리써치 코포레이션 플라즈마 반응기용 용사 이트리아 함유 코팅
KR20110115547A (ko) * 2010-04-15 2011-10-21 후지필름 가부시키가이샤 가스 공급 전극의 제조 방법
WO2012107754A2 (en) * 2011-02-08 2012-08-16 Cambridge Nanolitic Limited Non-metallic coating and method of its production

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684549B2 (ja) * 1988-06-08 1994-10-26 株式会社日本アルミ 溶射皮膜を備えたアルミニウム母材の製造方法
DE69722680T2 (de) * 1997-12-17 2004-06-03 Isle Coat Ltd., Douglas Verfahren zur herstellung von harten schutzbeschichtungen auf artikel, die aus aluminiumlegierungen hergestellt sind
US6197178B1 (en) 1999-04-02 2001-03-06 Microplasmic Corporation Method for forming ceramic coatings by micro-arc oxidation of reactive metals
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FR2808291B1 (fr) 2000-04-26 2003-05-23 Mofratech Procede electrolytique d'oxydation pour l'obtention d'un revetement ceramique a la surface d'un metal
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7291566B2 (en) 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4181069B2 (ja) * 2004-02-27 2008-11-12 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4765328B2 (ja) 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US7207373B2 (en) * 2004-10-26 2007-04-24 United Technologies Corporation Non-oxidizable coating
JP2006336096A (ja) * 2005-06-06 2006-12-14 Nippon Light Metal Co Ltd 表面処理アルミニウム材及びその製造方法並びに表面処理アルミニウム材における陽極酸化皮膜のクラック低減方法
KR101322549B1 (ko) * 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070207267A1 (en) * 2006-02-08 2007-09-06 Laube David P Disposable liners for etch chambers and etch chamber components
CA2540340C (en) * 2006-03-16 2009-04-21 Jeffrey Yi-Fu Yang Surface modification of aluminum alloy products for micro-arc oxidation processes
US8282807B2 (en) * 2006-12-28 2012-10-09 National University Corporation Tohoku University Metal member having a metal oxide film and method of manufacturing the same
TWI371505B (en) * 2007-01-12 2012-09-01 Kobe Steel Ltd Anodic oxidation coating remover composition and method of removing anodic oxidation coatings
WO2008157281A2 (en) 2007-06-13 2008-12-24 Alcoa Inc. Coated metal article and method of manufacturing same
SG188141A1 (en) 2008-02-08 2013-03-28 Lam Res Corp A protective coating for a plasma processing chamber part and a method of use
GB2458507A (en) * 2008-03-20 2009-09-23 Tecvac Ltd Oxidation of non ferrous metal components
GB2469115B (en) * 2009-04-03 2013-08-21 Keronite Internat Ltd Process for the enhanced corrosion protection of valve metals
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
US8888982B2 (en) * 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
CN103189963A (zh) 2010-10-28 2013-07-03 应用材料公司 高纯度铝涂层硬阳极化

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002309364A (ja) * 2001-04-12 2002-10-23 Tocalo Co Ltd 低温溶射皮膜被覆部材およびその製造方法
KR101030935B1 (ko) * 2002-06-27 2011-04-28 램 리써치 코포레이션 플라즈마 반응기용 용사 이트리아 함유 코팅
KR20110115547A (ko) * 2010-04-15 2011-10-21 후지필름 가부시키가이샤 가스 공급 전극의 제조 방법
WO2012107754A2 (en) * 2011-02-08 2012-08-16 Cambridge Nanolitic Limited Non-metallic coating and method of its production

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023018578A1 (en) * 2021-08-09 2023-02-16 Lam Research Corporation Yttrium aluminum perovskite (yap) based coatings for semiconductor processing chamber components

Also Published As

Publication number Publication date
US9123651B2 (en) 2015-09-01
JP2014194080A (ja) 2014-10-09
KR102245044B1 (ko) 2021-04-27
US9546432B2 (en) 2017-01-17
TW201504479A (zh) 2015-02-01
US20140295670A1 (en) 2014-10-02
US20150337450A1 (en) 2015-11-26
TWI654341B (zh) 2019-03-21

Similar Documents

Publication Publication Date Title
KR102245044B1 (ko) 플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법
US10418229B2 (en) Aerosol deposition coating for semiconductor chamber components
US9337002B2 (en) Corrosion resistant aluminum coating on plasma chamber components
US20200325073A1 (en) Slurry plasma spray of plasma resistant ceramic coating
CN101065510B (zh) 具有层化涂覆的制程室组件及方法
US20140315392A1 (en) Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US8128750B2 (en) Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8935990B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
WO2015073456A1 (en) High purity metallic top coat for semiconductor manufacturing components
JP2012191200A (ja) プラズマ処理装置
KR20110091759A (ko) 플라즈마 챔버 부품용 플라즈마 내성 코팅
KR101284474B1 (ko) 반도체 제조 장치용 부품 및 반도체 제조 장치
JP5168543B2 (ja) プラズマ処理容器内部材
US20230051800A1 (en) Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications
US20230187182A1 (en) Plasma resistant arc preventative coatings for manufacturing equpiment components
CN118215983A (zh) 电容耦合室的涂层部件
JP2005311120A (ja) 誘導結合型プラズマ発生装置およびそれを用いたドライエッチング装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant