KR20140031851A - Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조 - Google Patents

Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조 Download PDF

Info

Publication number
KR20140031851A
KR20140031851A KR1020137018159A KR20137018159A KR20140031851A KR 20140031851 A KR20140031851 A KR 20140031851A KR 1020137018159 A KR1020137018159 A KR 1020137018159A KR 20137018159 A KR20137018159 A KR 20137018159A KR 20140031851 A KR20140031851 A KR 20140031851A
Authority
KR
South Korea
Prior art keywords
chamber
gallium nitride
pvd
type gallium
undoped
Prior art date
Application number
KR1020137018159A
Other languages
English (en)
Inventor
밍웨이 즈후
비벡 아그라왈
나그 비. 패티반들라
옴카람 나라마수
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140031851A publication Critical patent/KR20140031851A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0095Post-treatment of devices, e.g. annealing, recrystallisation or short-circuit elimination

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Led Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

물리 기상 증착(PVD) 형성된 알루미늄 질화물 버퍼 층들 갖는 갈륨 질화물-기반 발광 다이오드(LED)들의 제조가 설명된다. 예를 들면, 멀티-챔버 시스템은 알루미늄으로 구성된 타겟을 갖는 물리 기상 증착(PVD) 챔버를 포함한다. 챔버가 또한 포함되고, 도핑되지 않은 또는 n-형 갈륨 질화물, 또는 이 둘을 증착하도록 적응된다. 다른 예에서, 발광 다이오드(LED) 구조를 제조하는 방법은 멀티-챔버 시스템의 물리 기상 증착(PVD) 챔버에서 기판 위에 알루미늄 질화물 층을 형성하는 단계를 포함한다. 도핑되지 않은 또는 n-형 갈륨 질화물 층은 멀티-챔버 시스템의 제 2 챔버에서 알루미늄 질화물 층 상에 형성된다.

Description

PVD-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 LED 제조{Gallium Nitride-Based LED Fabrication with PVD-Formed Aluminum Nitride Buffer Layer}
본 출원은 2010년 12월 16일자로 출원된 미국 가출원 번호 제61/424,006호의 이익을 주장하는 2011년 02월 28일자 출원된 미국 출원번호 제13/036,273호의 이익을 주장하며, 이들의 전체 내용들은 인용에 의해 본원에 포함된다.
본 발명의 실시예들은 Ⅲ족-질화물 물질들의 분야에 관한 것으로, 특히, 물리 기상 증착(PVD) 형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 발광 다이오드(LED)들의 제조에 관한 것이다.
Ⅲ-Ⅴ족 물질들은 반도체 및 관련된, 예를 들면, 발광 다이오드(LED) 산업에서 계속 증가하는 역할을 맡고 있다. 종종, Ⅲ-Ⅴ족 물질들은 결함들 또는 균열(crack)의 형성 없이 이종 기판들(foreign substrate) 상에 성장 또는 증착하는 것(헤테로에피택시(heteroepitaxy)로 알려짐)이 곤란하다. 예를 들면, 선택 막들, 예를 들면, 갈륨 질화물 막의 고품질 면 유지는 연속적으로 제조된 물질 층들의 스택들을 이용한 많은 애플리케이션들에서 간단하지 않다. 기판과 디바이스 층 사이의 하나 또는 둘 이상의 버퍼 층들의 포함이 하나의 접근법이었다. 그러나, Ⅲ-Ⅴ족 물질들은 종종 프로세스 조건들에 민감하고 제조 프로세스의 특정 기간들에서 이러한 조건들을 회피하도록 조심해야만 한다. 잠재적인 손상 조건들을 갖는 민감한 Ⅲ-Ⅴ족 막의 상호작용의 회피는, 그러나, 또한 많은 애플리케이션들에서 간단하지 않다.
본 발명의 실시예들은 물리 기상 증착(PVD) 형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 발광 다이오도(LED)들의 제조에 관한 것이다.
실시예에서, 멀티-챔버 시스템은 알루미늄으로 구성된 타겟을 갖는 물리 기상 증착(PVD)을 포함한다. 챔버가 또한 포함되고 도핑되지 않은(un-doped) 또는 n-형 갈륨 질화물, 또는 이 둘을 증착하도록 적응된다.
다른 실시예에서, 멀티-챔버 시스템은 알루미늄으로 구성된 타겟을 갖는 물리 기상 증착(PVD) 챔버를 포함한다. 제 1 금속-유기 화학 기상 증착(MOCVD) 챔버가 또한 포함되고 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하기 위한 것이다. 제 2 MOCVD 챔버가 또한 포함되고 다중 양자 우물(MQW) 구조를 증착하기 위한 것이다. 제 3 MOCVD 챔버가 또한 포함되고 p-형 알루미늄 갈륨 질화물 또는 p-형 갈륨 질화물, 또는 이 둘을 증착하기 위한 것이다.
다른 실시예에서, 발광 다이오도(LED) 구조를 제조하는 방법은 멀티-챔버 시스템의 물리 기상 증착(PVD) 챔버에서 기판 위에 알루미늄 질화물 층을 형성하는 단계를 포함한다. 도핑되지 않은 또는 n-형 갈륨 질화물 층이 멀티-챔버 시스템의 제 2 챔버에서 알루미늄 질화물 층 상에 형성된다.
도 1은 본 발명의 하나 또는 둘 이상의 실시예들에 따른 벤치마크(benchmark) 클러스터 개략도, 벤치마크 LED 구조, 및 벤치마크 시간-대-증착 플롯(plot)을 도시한다.
도 2a는 본 발명의 실시예에 따른 클러스터 툴 개략도 및 LED 구조 제조를 위한 대응하는 온도 대 시간 플롯을 도시한다.
도 2b는 본 발명의 실시예에 따른 발광 다이오도(LED) 구조 및 대응하는 시간-대-증착 플롯을 도시한다.
도 3은 본 발명의 실시예에 따른 PVD-형성된 알루미늄 질화물 버퍼 층을 갈륨 질화물-기반 광 LED를 제조하는 방법에서의 동작들을 나타내는 흐름도를 도시한다.
도 4는 본 발명의 실시예에 따른 Ⅲ족-질화물 물질들의 제조를 위해 적합한 MOCVD 챔버의 개략적 단면도이다.
도 5는 본 발명의 실시예에 따른 Ⅲ족-질화물 물질들의 제조를 위해 적합한 HVPE 챔버의 개략적 단면도이다.
도 6은 본 발명의 실시예에 따른 금속화된 기판 상에 형성된 갈륨 질화물(GaN)-기반 발광 다이오도(LED)의 단면도를 도시한다.
물리 기상 증착(PVD) 형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 발광 다이오도(LED)들의 제조가 설명된다. 다음 설명에서, 본 발명의 실시예들의 완전한 이해를 제공하기 위해, 프로세스 챔버 구성들 및 물질 체제(material regime)들과 같은 많은 특정 세부사항들이 개시된다. 본 발명의 실시예들이 특정한 세부사항들 없이 실시될 수 있음은 당업자들에게 명백할 것이다. 다른 예들에서, 특정 다이오드 구성들과 같은 잘-알려진 피쳐들은 본 발명의 실시예들을 불필요하게 모호하게 하지 않도록 상세하게 설명되지 않는다. 게다가, 도면들에 도시된 다양한 실시예들은 예시적인 설명들이고 반드시 축소되도록 묘사되지 않음이 이해될 것이다. 게다가, 다른 배열들 및 구성들이 본 명세서에서의 실시예들에 명백하게 개시되지 않을 수 있지만, 여전히 본 발명의 사상 및 범위 내에 있도록 고려된다.
LED 제조 방법은 기판과 도핑되지 않은 및/또는 도핑된 갈륨 질화물의 디바이스 층 사이의 갈륨 질화물의 버퍼 층의 형성을 포함할 수 있다. 본 명세서에서 설명된 실시예들에서, 알루미늄 질화물 버퍼 층이 기판과 도핑되지 않은 및 도핑된 갈륨 질화물의 디바이스 층 사이의 이러한 갈륨 질화물 버퍼 층 대신에 사용된다. 알루미늄 질화물 층은 PVD 프로세스에서의 스퍼터 증착에 의해 형성될 수 있다. 이는 일반적으로 금속-유기 화학 기상 증착(MOCVD) 챔버 또는 수소화물 기상 에피택시(HPVE) 챔버에서 수행되는 Ⅲ족-질화물 버퍼 층들의 제조와 대조를 이룬다. 알루미늄 질화물 층은 PVD 챔버에 하우징된 알루미늄 질화물 타겟으로부터 비-반응성 스퍼터링함으로써 형성될 수 있거나, 대안적으로, PVD 챔버에 하우징되고 질소-기반 가스 또는 플라즈마와 반응되는 알루미늄 타겟으로부터 반응성 스퍼터링함으로써 형성될 수 있다.
본 명세서에서 설명된 실시예들 중 하나 또는 둘 이상은 LED 제조를 위해 사용된 멀티-챔버 제조 툴에서 더 높은 수율을 가능하게 할 수 있다. 또한, 갈륨 질화물 층 대신 PVD-형성된 알루미늄 질화물 층을 포함함으로써, 도핑되지 않은 및 도핑된 갈륨 질화물의 디바이스 층이 전반적으로 얇아질 수 있다. 특정 예에서, 도핑되지 않은 부분은 얇게 되거나 완전히 제거될 수 있다. 게다가, 사파이어 기판과 같은 수용 기판(receiving substrate)의 예비 스퍼터 세정이 알루미늄 질화물 층을 증착하도록 사용된 것과 동일한 PVD 증착 챔버에서 수행될 수 있다. 게다가, PVD 알루미늄 질화물 층이 섭씨 300도 미만의 온도들에서 수행될 수 있기 때문에, LED 제조의 전반적인 열 비용이 감소될 수 있다. 그에 반하여, 전형적인 갈륨 질화물 버퍼 층은 500-600도 사이에서 형성된다. 본 명세서에서 설명된 실시예들 중 하나 또는 둘 이상은 도핑되지 않은 및/또는 n-형 도핑된 갈륨 질화물과 같은 물질들에 대하여 더 빠른 증착 속도, 예를 들면, 2배의 성장률을 가능하게 할 수 있다. 일부 실시예들에서, 도핑되지 않은 및/또는 n-형 도핑된 갈륨 질화물 층들이 도핑되지 않은 및/또는 n-형 도핑된 갈륨 질화물 층들을 그 위에 성장시키기 위한 정확한 결정 방위 및 형태적 관계를 제공할 수 있는 알루미늄 질화물(AlN) 버퍼 층 상에 형성되기 때문에, 더 빠른 속도들이 달성될 수 있다. 본 명세서에서 설명된 실시예들 중 하나 또는 둘 이상은 설명된 동작들 중 대부분이 클러스터 툴에서 인-시튜(in-situ) 수행되기 때문에, 산화물 제거 동작들의 제거를 가능하게 할 수 있다. 본 명세서에서 설명된 실시예들 중 하나 또는 둘 이상은 PVD-형성된 알루미늄 질화물 버퍼 층 상에 갈륨 질화물을 형성함으로써 갈륨 질화물 결정 품질의 개선을 가능하게 할 수 있다.
PVD-형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 LED들의 제조를 위한 시스템이 본 명세서에서의 하나 또는 둘 이상의 실시예들과 관련하여 설명된다. 일 실시예에서, 멀티-챔버 시스템은 금속 또는 알루미늄의 화합물로 구성된 타겟을 갖는 PVD 챔버를 포함한다. 멀티-챔버 시스템은 또한 도핑되지 않은 또는 n-형 갈륨 질화물, 또는 이 둘을 증착하도록 적응되고 다중 양자 우물 층들 및 p-형 도핑된 갈륨 질화물 층들과 같은 다른 디바이스 층들을 위한 챔버들을 포함한다.
또한 PVD-형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 LED들을 제조하는 방법들이 본 명세서에서의 하나 또는 둘 이상의 실시예들과 관련하여 설명된다. 일 실시예에서, LED 구조를 제조하는 방법은 멀티-챔버 시스템의 PVD 챔버에서 기판 위에 알루미늄 질화물 층을 형성하는 단계를 포함한다. 상기 방법은 또한 멀티-챔버 시스템의 제 2 챔버에서 알루미늄 질화물 층 상에 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계를 포함한다.
본 발명의 실시예들은 지금 설명된 시스템들 및 방법론들의 연구 동안 개발된 벤치마크 시스템 또는 방법론에 대한 개선들을 제공할 수 있다. 예를 들면, 도 1은 본 발명의 하나 또는 둘 이상의 실시예들에 따른 벤치마크 클러스터 툴 개략도, 벤치마크 LED 구조, 및 벤치마크 시간-대-증착 플롯을 도시한다.
도 1을 참조하면, 벤치마크 클러스터 툴(100)은 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)(MOCVD1:u-GaN/n-GaN), 다중 양자 우물(MQW) MOCVD 반응 챔버(104)(MOCVD2: MQW), 및 p-형 갈륨 질화물 MOCVD 반응 챔버(106)(MOCVD3: p-GaN)를 포함한다. 벤치마크 클러스터 툴(100)은 또한 로드락(108), 캐리어 카세트(110), 및 고용적 애플리케이션들을 위한 선택적인 추가 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(112)를 포함할 수 있고, 이들 모두는 도 1에 도시된다.
벤치마크 LED 구조(120)는 다양한 물질 층들의 스택을 포함하며, 이들 중 대부분은 Ⅲ-Ⅴ족 물질들을 포함한다. 예를 들면, 벤치마크 LED 구조(120)는 실리콘 또는 사파이어 기판(122)(기판 : 사파어이, Si), 20 나노미터 두께의 버퍼 층(124)(LT 버퍼), 및 대략 4 미크론 두께의 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)(u-GaN/n-GaN)을 포함한다. 버퍼 층(124)은 상대적으로 낮은 처리 온도들에서 형성된 갈륨 질화물 층일 수 있다. 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)은 벤치마크 클러스터 툴(100)의 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서 형성된다. 벤치마크 LED 구조(120)는 또한 30-500 나노미터 범위의 두께를 갖는 MQW 구조(128)를 포함한다. MQW 구조(128)는 벤치마크 클러스터 툴(100)의 MQW MOCVD 반응 챔버(104)에서 형성된다. 벤치마크 LED 구조(120)는 또한 대략 20 나노미터 두께의 p-형 갈륨 알루미늄 질화물 층(130)(p-AlGaN) 및 50-200 나노미터 범위의 두께를 갖는 p-형 갈륨 질화물 층(132)(p-GaN)을 포함한다. p-형 갈륨 알루미늄 질화물 층(130) 및 p-형 갈륨 질화물 층(132)은 벤치마크 클러스터 툴(100)의 p-형 갈륨 질화물 MOCVD 반응 챔버(106)에서 형성된다.
벤치마크 시간-대-증착 플롯(140)은 벤치마크 클러스터 툴(100)에서의 챔버 사용을 나타낸다. MQW MOCVD 반응 챔버(104)에서의 MQW 구조(128)의 형성은 대략 2 시간의 성장 시간을 갖는다. 그리고, p-형 갈륨 질화물 MOCVD 반응 챔버(106)에서의 p-형 갈륨 알루미늄 질화물 층(130)의 형성 및 p-형 갈륨 질화물 층(132)의 형성은 대략 1 시간의 성장 시간을 갖는다. 한편, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성은 대략 3.5 시간의 성장 시간을 갖는다. 추가의 대략 1 시간은 챔버(102)의 챔버 세정을 위해 필요할 수 있다. 따라서, 전반적으로, 벤치마크 클러스터 툴(100)에서 벤치마크 LED 구조(120)를 제조하기 위한 사이클 시간은 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)의 사이클 시간에 좌우되는데, 이는 대략 4.5 시간이다. 세정 시간이 정지를 위한 시간, 더하기 세정 시간, 더하기 회복 시간을 포함할 수 있지만, 포함하지 않아도 됨이 이해되어야 한다. 세정이 매 챔버 사용 사이에 수행되지 않을 수 있기 때문에 상기한 것은 평균을 나타낼 수 있음이 또한 이해되어야 한다.
도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성에 특정한 LED 물질 증착을 위한 벤치마크 타이밍 시퀀스가, 도 1과 관련하여 설명된 바와 같이, 아래에 제공된다. 예를 들면, 대략 3.5 시간의 성장 시간은 10분 사파이어 기판의 고온 처리, 5분 버퍼 층의 저온 형성, 10분 버퍼 어닐링 동작, 30분 성장 회복 동작, 2 시간 도핑되지 않은/n-형 갈륨 질화물 조합 층 형성 동작, 및 30분 온도 램핑(ramp) 및 안정화 동작(예를 들면, 온도 램핑 2-3℃/초)으로 나누어진다.
도 1과 관련하여 설명된 벤치마크 시스템들 및 방법론들에 관하여, 벤치마크 접근법은 LED의 각 기능 층들에 대한 불균형한 시간 흐름을 초래할 수 있다. 예를 들면, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성은 3.5 시간이고, MQW MOCVD 반응 챔버(104)에서의 MQW 구조(128)의 형성은 2 시간이며, p-형 갈륨 질화물 MOCVD 반응 챔버(106)에서의 p-형 갈륨 알루미늄 질화물 층(130) 및 p-형 갈륨 질화물 층(132)의 형성은 1 시간이다. 게다가, 위에서 언급된 바와 같이, 챔버 세정의 추가의 대략 1시간(가능한 펌프-다운(pump-down) 시간들을 포함함)이 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 실행들 사이에 필요로 할 수 있다. 이러한 추가 챔버 세정은 기판 오염을 방지하기 위해 필요로 할 수 있다. 이와 같이, 3개의 MOCVD 챔버들에 의한 구조(120)의 점진적인 성장은 MQW MOCVD 반응 챔버(104) 및 p-형 갈륨 질화물 MOCVD 반응 챔버(106)에 대한 상당한 유휴 시간을 초래하고, 시스템(100)의 전체 수율을 감소시킨다.
본 발명의 양상에서, LED 구조들을 제조하기 위한 클러스터 시스템의 수율은 위에서 설명된 MOCVD 물질 성장 능력들 또는 동작들 중 하나 또는 하나의 일부를 PVD 스퍼터링 증착 능력 또는 동작으로 대체함으로써 개선될 수 있다. 예를 들면, 도 2a는 본 발명의 실시예에 따른 클러스터 툴 개략도 및 LED 구조 제조를 위한 대응하는 온도 대 시간 플롯을 도시한다. 도 2b는 본 발명의 실시예에 따른 LED 구조 및 대응하는 시간-대-증착 플롯을 도시한다.
도 2a를 참조하면, 클러스터 툴(200)은 PVD 알루미늄 질화물 스퍼터 챔버(202)(PVD AlN), 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(204)(MOCVD1:u-GaN/n-GaN), 다중 양자 우물(MQW) MOCVD 반응 챔버(206)(MOCVD2: MQW), 및 p-형 갈륨 질화물 MOCVD 반응 챔버(208)(MOCVD3: p-GaN)를 포함한다. 클러스터 툴(200)은 또한 로드락(210), 캐리어 카세트(212), 및 이송 챔버(214)를 포함할 수 있고, 이들 모두는 도 2a에 도시된다.
따라서, 본 발명의 실시예에 따르면, 멀티-챔버 시스템은 금속 또는 알루미늄 화합물의 타겟을 갖는 PVD 챔버, 및 도핑되지 않은 및/또는 n-형 갈륨 질화물, 또는 이 둘을 증착하도록 적응된 챔버를 포함한다. 일 실시예에서, PVD 챔버의 타겟은 알루미늄 질화물로 구성된다. 이러한 실시예에서, 타겟이 증착을 위해 원하는 동일한 물질로 구성되기 때문에, 반응성 스퍼터링이 사용될 필요가 없다. 그러나, 대안적인 실시예에서, 알루미늄으로 구성된 타겟이 사용되고, 알루미늄 질화물은 질소 소스에 의해 또는 그 존재 하에서 알루미늄 타겟으로부터 반응적으로 스퍼터링된다. 일 실시예에서, 도 2a에 도시된 바와 같이, 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 MOCVD 챔버이다. 그러나, 대안적인 실시예에서, 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 수소화물 기상 에피택시(HVPE) 챔버이다. 일 실시예에서, PVD 챔버 및 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는, 도 2a에 도시된 바와 같이, 클러스터 툴 배열에 포함된다. 그러나, 대안적인 실시예에서, PVD 챔버 및 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 인-라인 툴 배열에 포함된다. 본 명세서에서 설명된 바와 같은 PVD에 기초한 증착 프로세스들은 대략 표준 실온에 가까운 온도들로 수행될 수 있거나, 더 높은 온도들로 수행될 수 있다.
도 2b를 참조하면, LED 구조(220)는 다양한 물질 층들의 스택을 포함하는데, 이들 중 대부분은 Ⅲ-Ⅴ족 물질들을 포함한다. 예를 들면, LED 구조(220)는 실리콘 또는 사파이어 기판(222)(기판: 사파이어, Si) 및 대략 10-200 나노미터 범위의 두께를 갖는 알루미늄 질화물 층(224)(AlN)을 포함한다. 알루미늄 질화물 층(224)은 클러스터 툴(200)의 PVD 알루미늄 질화물 스퍼터 챔버(202)에서 스퍼터 증착에 의해 형성된다. LED 구조(220)는 또한 대략 4 미크론 두께의 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(226)(n-GaN)을 포함한다. 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(226)은 클러스터 툴(200)의 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응(204)에서 형성된다. LED 구조(220)는 또한 30-500 나노미터 범위의 두께를 갖는 MQW 구조(228)를 포함한다. MQW 구조(228)는 클러스터 툴(200)의 MQW MOCVD 반응 챔버(206)에서 형성된다. 일 실시예에서, MQW 구조(228)는 하나 또는 복수의 필드(field) 쌍들의 InGaN 우물/GaN 배리어 물질 층들로 구성된다. LED 구조(220)는 또한 대략 20 나노미터 두께의 p-형 갈륨 알루미늄 질화물 층(230)(p-AlGaN) 및 50-200 나노미터 범위의 두께를 갖는 p-형 갈륨 질화물 층(232)(p-GaN)을 포함한다. p-형 갈륨 알루미늄 질화물 층(230) 및 p-형 갈륨 질화물 층(232)은 클러스터 툴(200)의 p-형 갈륨 질화물 MOCVD 반응 챔버(208)에서 형성된다. 위의 두께들 또는 두께 범위들은 예시적인 실시예들이고, 다른 적합한 두께들 또는 두께 범위들이 또한 본 발명의 실시예들의 사상 및 범위 내에서 고려되어야 함이 이해되어야 한다.
시간-대-증착 플롯(240)은 클러스터 툴(200)의 챔버 사용을 나타낸다. MQW MOCVD 반응 챔버(206)에서의 MQW 구조(228)의 형성은 대략 2 시간의 성장 시간을 갖는다. p-형 갈륨 질화물 MOCVD 반응 챔버(208)에서의 p-형 갈륨 알루미늄 질화물 층(230) 및 p-형 갈륨 질화물 층(232)의 형성은 대략 1 시간의 성장 시간을 갖는다. 그리고, 본 발명의 실시예에 따르면, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응(204)에서의 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(226)의 형성은 단지 대략 2 시간의 성장 시간을 갖는다. 추가의 대략 1 시간은 챔버(204)의 챔버 세정에 필요로 할 수 있다. 그러나, 세정 시간은 정지를 위한 시간, 더하기 세정 시간, 더하기 회복 시간을 포함할 수 있음이 이해되어야 한다. 또한, 세정이 매 챔버 사용 사이에 수행되지 않을 수 있기 때문에 상기한 것은 평균을 나타낼 수 있음이 이해되어야 한다.
따라서, 갈륨 질화물 층(126)을 형성하기 위해 사용된 MOCVD 챔버에서 도 1의 버퍼 층(124)과 같은 버퍼 층을 형성하는 대신, 알루미늄 질화물 버퍼 층(224)이 대신 포함되고 다른 챔버, 특히 PVD 알루미늄 질화물 스퍼터 챔버(202)에서 형성된다. AlN 성장은 펌프 시간(대략 400 torr에서 대략 10-8 torr까지)을 제외하고 대략 5 분의 기간 동안일 수 있지만, MOCVD 챔버 1과 분리된 챔버에서의 형성은 클러스터 툴(200)의 수율을 증가시킨다. 예를 들면, 전반적으로, 클러스터 툴(200)에서 LED 구조(220)를 제조하기 위한 사이클 시간은 한번 더 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응(204)의 사이클 시간에 의해 좌우되는데, 이는 4.5 시간의 벤치마크 시스템에 비해 대략 3 시간으로 감소한다. 이와 같이, 3개의 MOCVD 챔버들에 더하여 하나의 PVD 챔버에 의한 구조(220)의 점진적인 성장은 MQW MOCVD 반응 챔버(206) 및 p-형 갈륨 질화물 MOCVD 반응 챔버(208)에 대한 훨씬 적은 유휴 시간을 초래하고, 시스템(200)의 전체 수율을 개선시킨다. 예를 들면, 일 실시예에서, 툴 수율은 대략 하루당 5.3 런(run)에서 하루당 8 런으로 개선되고, 대략 50% 수율 개선을 보여준다.
도 2a를 다시 참조하면, 클러스터 툴(200)에서의 LED 구조 제조에 대한 대표적인 온도 대 시간 플롯(250)이 제공된다. 플롯(250)의 구역(252)은 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응(204)에서 형성된 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(226)의 형성에 특정한다. 이러한 구역에서, 단지 하나의 온도 램핑(대략 섭씨 1100에서 내지 대략 섭씨 400도까지의 램핑 다운)이 필요하다. 이러한 단일 램핑 이벤트 필요조건은, 위에서 설명된 바와 같이, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성을 위한 타이밍 시퀀스와 극명히 대조적이다. 그런 경우에, 챔버는 기판을 처리하기 위한 고온에서 개시되고, 버퍼 층 제조를 위한 온도에서 램핑 다운되며, 갈륨 질화물 증착을 위한 온도에서 다시 램핑 업하며, 안정화를 위해 다시 마지막으로 램핑 다운된다. 그러나, 이 두 경우들에서, MQW 및 p-GaN의 형성에 특정한 플롯(250)의 구역(254 및 256)은 대략 동일할 것임에 주목된다. 실시예에서, 플롯(250)의 구역(258)을 참조하면, PVD-형성된 알루미늄 질화물에 대한 온도 대 시간 플롯은 대략 섭씨 20-1200도 범위에서 고온(HT) 또는 저온(LT) 중 어느 하나의 프로세스를 포함할 수 있다.
클러스터 툴(200)에 대한 수율 개선에 더하여, PVD 챔버 더하기 3개의 MOCVD 챔버 툴 배열에 대한 추가적인 이익이 있을 수 있다. 예를 들면, 더 적은 반응 가스가 제 1 MOCVD 챔버로 전달될 필요가 있을 수 있기 때문에, 비용 절감이 달성될 수 있다. PVD 챔버 기술 및 설계는 벤치마크 클러스터 툴(100)의 챔버(102)와 같은 버퍼 층 및 디바이스 층 모두에 전용인 MOCVD 챔버를 위한 구성 시간 및 복잡성과 비교하여 더 간단할 수 있다. 위의 프로세스가 디바이스 층(226)의 도핑되지 않은 갈륨 질화물 부분에 대한 감소된 두께를 가능하게 하는 경우, 더 간단한 다운-더-라인 식각-백(down-the-line etch-back) 프로세스들이 수행될 수 있다. 이는 또한 사이클 시간을 줄이면서 물질 및 동작 비용의 절약을 가능하게 할 수 있다. 또한, 갈륨 질화물 버퍼 층 대신에 알루미늄 질화물 버퍼 층을 이용함으로써, LED 디바이스와 같은 디바이스의 활성 층들에서의 감소된 결함도(defectivity)가 달성될 수 있다.
따라서, 본 발명의 실시예에 따르면, 멀티-챔버 시스템은 알루미늄 질화물 타겟을 갖는 PVD 챔버, 및 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하기 위한 제 1 MOCVD 챔버를 포함한다. 멀티-챔버 시스템은 또한 다중 양자 우물(MQW) 구조를 증착하기 위한 제 2 MOCVD 챔버, 및 p-형 알루미늄 갈륨 질화물 또는 p-형 갈륨 질화물, 또는 이 둘을 증착하기 위한 제 3 MOCVD 챔버를 포함한다. 일 실시예에서, 알루미늄 질화물 타겟을 갖는 PVD 챔버는 알루미늄 질화물의 비-반응성 스퍼터링을 위한 것이다. 특정의 이러한 실시예에서, PVD 챔버는 대략 섭씨 20-200도 범위의 낮거나 조금 높은 온도에서의 알루미늄 질화물의 비-반응성 스퍼티링을 위한 것이다. 다른 특정의 이러한 실시예에서, PVD 챔버는 대략 섭씨 200-1200도 범위의 고온에서의 알루미늄 질화물의 비-반응성 스퍼터링을 위한 것이다.
증착 온도에도 불구하고, LED 구조(220)에 포함하기 위해 적합한 PVD 증착된 알루미늄 질화물 층은 필수의 물질 속성들(예를 들면, 적합한 결함 밀도, 결정립 크기, 결정 방위 등)을 달성하기 위해, 일부 지점에서, 대략 섭씨 400-1400도 범위의 고온, 예를 들면, 약 섭씨 900도에 노출될 필요가 있을 수 있음은 사실일 수 있다. 본 발명의 실시예에 따르면, 급속 열 처리(RTP) 프로세스가 알루미늄 질화물 층 상의 추가 층들의 제조 이전에 PVD 증착된 알루미늄 질화물 상에 수행될 수 있다. RTP 챔버가, 그 다음, 어떤 방식으로, LED 구조(220)에 대한 위에서 설명된 제조 프로세스에 결합될 수 있다. 일 실시예에서, PVD 및 2개의 MOCVD 챔버들을 포함하는 클러스터 툴 또는 인-라인 툴과 같은 툴은 또한 RTP 챔버를 포함한다. 대안적인 실시예에서, 그러나, RTP 프로세스는 PVD 챔버에서 수행된다. 다른 대안적인 실시예에서, 레이저 어닐링 능력이 LED 구조(220)를 위한 위에서 설명된 제조 프로세스에 결합된다.
본 발명의 다른 양상에서, PVD-형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기판 LED들을 제조하는 방법이 제공된다. 예를 들면, 도 3은 본 발명의 실시예에 따른 PVD-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 광 LED를 제조하는 방법에서의 동작들을 나타내는 흐름도(300)이다.
흐름도(300)의 동작(302)을 참조하면, 방법은 PVD 챔버에서 기판 위에 알루미늄 질화물 층을 형성하는 단계를 포함한다. 예를 들면, 알루미늄 질화물 층은 클러스터 툴(200)의 챔버(202)와 같은 챔버에서 형성될 수 있다. 일 실시예에서, 알루미늄 질화물 층을 형성하는 단계는 PVD 챔버에 하우징된 알루미늄 질화물 타겟으로부터 스퍼터링하는 단계를 포함한다. 일 실시예에서, 알루미늄 질화물을 형성하는 단계는 대략 섭씨 20-200도 범위의 낮거나 조금 높은 기판 온도에서의 형성을 수행하는 단계를 포함한다. 일 실시예에서, 알루미늄 질화물 층을 형성하는 단계는 대략 섭씨 200-1200도 범위의 높은 기판 온도에서의 형성을 수행하는 단계를 포함한다.
흐름도(300)의 동작(304)을 참조하면, 방법은 알루미늄 질화물 층 상에 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계를 포함한다. 예를 들면, 도핑되지 않은 또는 n-형 갈륨 질화물 층은 클러스터 툴(200)의 챔버(204)와 같은 챔버에서 형성될 수 있다. 일 실시예에서, 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계는 MOCVD 챔버에서의 형성을 수행하는 단계를 포함한다. 일 실시예에서, 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계는 HVPE 챔버에서의 형성을 수행하는 단계를 포함한다. 일 실시예에서, 상기 방법은 알루미늄 질화물 층 상에 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계 이전에, RTP 챔버에서 알루미늄 질화물 층을 어닐링하는 단계를 더 포함한다.
흐름도(300)의 동작(306)을 참조하면, 상기 방법은 또한 도핑되지 않은 또는 n-형 갈륨 질화물 층 위에 MQW 구조를 형성하는 단계를 포함한다. 예를 들면, MQW 구조는 클러스터 툴(200)의 챔버(206)와 같은 챔버에서 형성될 수 있다. 일 실시예에서, MQW 구조는 하나 또는 복수의 필드 쌍들의 InGaN 우물/GaN 배리어 물질 층들로 구성된다.
흐름도(300)의 동작(308)을 참조하면, 상기 방법은 MQW 구조 위에 p-형 알루미늄 갈륨 질화물 또는 p-형 갈륨 질화물 층을 형성하는 단계를 포함한다. 예를 들면, p-형 알루미늄 갈륨 질화물 또는 p-형 갈륨 질화물 층은 클러스터 툴(200)의 챔버(208)와 같은 챔버에서 형성될 수 있다.
3개의 MOCVD 챔버들과 함께 PVD 챔버를 하우징하기 위해 적합한 툴 플랫폼들의 예시적인 실시예들은 캘리포니아 산타클라라의 Applied Materials Inc.로부터 상업적으로 구입가능한 Opus™ AdvantEdge™ 시스템 또는 Centura™ 시스템 둘 모두를 포함한다. 본 발명의 실시예들은 멀티-챔버 처리 플랫폼의 컴포넌트로서 통합 계측(IM; integrated metrology) 챔버를 더 포함한다. IM 챔버는 다수의 분할된 스퍼터 또는 도 3과 관련하여 위에서 설명된 에피택셜 성장 프로세스들과 같은 통합 증착 프로세스들의 적응 제어를 허용하기 위한 제어 신호들을 제공할 수 있다. IM 챔버는 두께, 거칠기, 조성물과 같은 다양한 막 속성들을 측정하는데 적합한 계측 장치들을 포함할 수 있고, 자동화된 방식으로 진공 하에서의 임계 치수들(CD; critical dimension), 측벽 각(SWA; sidewall angle), 피쳐 높이(HT; feature height)와 격자 파라미터를 추가로 특징지울 수 있다. 예들은 반사 측정 및 산란계와 같은 광 기법들을 포함하지만, 이에 한정되지 않는다. 특히 유리한 실시예들에서, 스퍼터 및/또는 에피택셜 성장이 진행됨에 따라 출발 물질에서 형성된 격자의 속성들이 모니터링되는 진공 속에서 광 CD(OCD) 기법들이 채용된다. 다른 실시예들에서, 계측 동작들은 분리된 IM 챔버보다는 프로세스 챔버에서, 예를 들면, 프로세스 챔버에서 인-시튜 수행된다.
클러스터 툴(200)과 같은 멀티-챔버 처리 플랫폼은 로보틱 핸들러를 포함하는 이송 챔버에 결합되고 카세트들을 지지하는 로드락 챔버들뿐만 아니라 선택적인 기판 얼라이너(aligner) 챔버를 더 포함할 수 있다. 본 발명의 일 실시예에서, 멀티-챔버 처리 플랫폼(200)의 적응 제어는 제어기에 의해 제공된다. 제어기는 다양한 서브프로세서들 및 서브제어기들을 제어하기 위해 산업 현장에서 사용될 수 있는 범용 데이터 처리 시스템의 임의의 형태 중 하나일 수 있다. 일반적으로, 제어기는 다른 공통 컴포넌트들 중에서 메모리 및 입력/출력(I/O) 회로와 통신하는 중앙 처리 유닛(CPU)을 포함한다. 예로서, 제어기는 흐름도(300)와 관련하여 설명된 방법들을 포함하는 본 명세서에서 설명된 임의의 방법들/프로세스들의 동작들 중 하나 또는 둘 이상을 수행하거나 그렇지 않으면 개시한다. 이러한 동작들을 실행 및/또는 개시하는 임의의 컴퓨터 프로그램 코드는 컴퓨터 프로그램 제품으로서 구현될 수 있다. 본 명세서에서 설명된 각 컴퓨터 프로그램 제품은 컴퓨터에 의해 판독가능한 매체(예를 들면, 플로피 디스크, 콤팩트 디스크, DVD, 하드 드라이브, 램덤 액세스 메모리 등)에 의해 운반될 수 있다.
프로세스들을 위한 적합한 PVD 챔버들 및 본 명세서에서 고려된 툴 구성들은 캘리포니아 산타클라라의 Applied Materials Inc.로부터 상업적으로 구입가능한 Endura PVD 시스템을 포함할 수 있다. Endura PVD 시스템은 낮은 소유 비용 및 높은 시스템 신뢰성뿐만 아니라 우수한 전자이동 저항 및 표면 형태를 제공한다. 여기서 수행되는 PVD 프로세스들은 프로세스 공동(cavity)에서의 증착된 종들의 지향성 유동을 생성하는 필요한 압력들 및 적합한 타겟-대-웨이퍼 거리로 그렇게 실행될 수 있다. ARISTO 챔버와 같은 인-라인 시스템들과 호환가능한 챔버들은 또한 캘리포니아 산타클라라의 Applied Materials Inc.로부터 상업적으로 구입가능하고, 자기 캐리어 이송 시스템뿐만 아니라 자동화된 로딩 및 언로딩 능력들을 제공하며, 상당히 감소된 사이클 시간들을 허용한다. AKT-PiVot 55KV PVD 시스템은 또한 캘리포니아 산타클라라의 Applied Materials Inc.로부터 상업적으로 구입가능하고, 스퍼터링 증착을 위한 수직 플랫폼을 갖는다. AKT-PiVot 시스템의 모듈 아키텍쳐는 상당히 더 빠른 사이클 시간을 산출하고, 매우 다양한 구성들이 제품 효율을 최대화하게 한다. 전통적인 인-라인 시스템과 다르게, AKT-PiVot의 병렬 처리 능력은 각 막 층에 대한 상이한 프로세스 시간들에 의해 초래되는 병목들을 제거한다. 시스템의 클러스터와 같은 배열은 또한 개별 모듈 유지보수 동안 연속적인 동작을 허용한다. 포함된 로터리 캐소드 기술은 종래의 시스템들과 비교하여 거의 3× 더 높은 타겟 이용을 가능하게 한다. PiVot 시스템의 증착 모듈들은 동일한 결과를 달성하기 위해 다른 시스템들에 요구되는 50개 정도의 기판들보다는 단지 하나의 기판을 이용한 타겟 컨디셔닝을 가능하게 하는 예비-스퍼터 유닛을 특징으로 한다.
위에서 설명된 하나 또는 둘 이상의 MOCVD 챔버들(204, 206,208)로서 사용하기 위해 적합할 수 있는 MOCVD 증착 챔버의 예가 도 4에 대하여 도시되고 설명된다. 도 4는 본 발명의 실시예에 따른 MOCVD 챔버의 개략적 단면도이다. 본 발명을 실시하도록 적응될 수 있는 예시적인 시스템들 및 챔버들은 2006년 4월 14일자로 출원된 미국 특허 출원번호 제11/404,516호 및 2006년 5월 5일자로 출원된 출원번호 제11/429,022호에 설명되며, 이 둘은 그 전체가 참조로서 통합된다.
도 4에 도시된 장치(4100)는 챔버(4102), 가스 전달 시스템(4125), 원격 플라즈마 소스(4126), 및 진공 시스템(4112)을 포함한다. 챔버(4102)는 처리 용적(4108)을 둘러싸는 챔버 바디(4103)를 포함한다. 샤워헤드 조립체(4104)가 처리 용적(4108)의 일단에 배치되고, 기판 캐리어(4114)가 처리 용적(4108)의 타단에 배치된다. 하부 돔(4119)은 하부 용적(4110)의 일단에 배치되고, 기판 캐리어(4114)는 하부 용적(4110)의 타단에 배치된다. 기판 캐리어(4114)는 프로세스 위치에 도시되지만, 하부 위치, 예를 들면, 기판들(4140)이 로딩되거나 언로딩될 수 있는 위치로 이동될 수 있다. 배기 링(4120)은 하부 용적(4110)에서 증착의 발생을 방지하는 것을 돕기 위해, 그리고 또한 배기 가스들을 챔버(4102)로부터 배기 포트들(4109)로 향하게 하는 것을 돕기 위해 기판 캐리어(4114)의 주변부 둘레에 배치될 수 있다. 하부 돔(4119)은 기판들(4140)을 복사 가열하기 위해 광이 투과하게 하도록 고순도 수정과 같은 투명 물질로 이루어질 수 있다. 복사 가열은 하부 돔(4119) 아래에 배치된 복수의 내부 램프들(4121A) 및 외부 램프들(4121B)에 의해 제공될 수 있고 반사기들(4166)은 내부 및 외부 램프들(4121A,4121B)에 의해 공급된 복사 에너지에 대한 챔버(4102) 노출의 제어를 돕기 위해 사용될 수 있다. 램프들의 추가 링들이 또한 기판(4140)의 더 미세한 온도 제어를 위해 사용될 수 있다.
기판 캐리어(4114)는 하나 또는 둘 이상의 기판들(4140)이 처리 동안 그 안에 배치될 수 있는 하나 또는 둘 이상의 리세스들(4116)을 포함할 수 있다. 기판 캐리어(4114)는 6개 이상의 기판들(4140)을 운반할 수 있다. 일 실시예에서, 기판 캐리어(4114)는 8개의 기판들(4140)을 운반한다. 더 많거나 더 적은 기판들(4140)이 기판 캐리어(4114) 상에서 운반될 수 있음이 이해될 것이다. 전형적인 기판들(4140)은 사파이어, 실리콘 탄화물(SiC), 실리콘 또는 갈륨 질화물(GaN)을 포함할 수 있다. 글라스 기판들(4140)과 같은 다른 유형의 기판들(4140)이 처리될 수 있음이 이해될 것이다. 기판(4140) 크기는 직경이 50㎜-100㎜의 범위이거나 더 클 수 있다. 기판 캐리어(4114) 크기는 200㎜ - 750㎜의 범위일 수 있다. 기판 캐리어(4114)는 다양한 물질들로부터 형성될 수 있으며, SiC 또는 SiC-코팅 그래파이트(graphite)를 포함할 수 있다. 다른 크기들의 기판들(4140)이 챔버(4102) 내에서 본 명세서에서 설명된 프로세스들에 따라 처리될 수 있음이 이해될 것이다. 샤워헤드 조립체(4104)는 전통적인 MOCVD 챔버들에서보다 큰 수의 기판들(4140) 및/또는 더 큰 기판들(4140)에 걸쳐 더 균일한 증착을 허용할 수 있고, 그에 의해 기판(4140)당 수율을 증가시키고 처리 비용을 감소시킨다.
기판 캐리어(4114)는 처리 동안 축에 대하여 회전할 수 있다. 일 실시예에서, 기판 캐리어(4114)는 약 2 RPM 내지 약 100 RPM으로 회전될 수 있다. 다른 실시예에서, 기판 캐리어(4114)는 약 30 RPM으로 회전될 수 있다. 기판 캐리어(4114)를 회전하는 것은 기판들(4140)의 균일한 가열 및 각 기판들(4140)에 대한 처리 가스들의 균일한 노출을 제공하는 것을 돕는다.
복수의 내부 및 외부 램프들(4121A, 4121B)은 동심의 원 또는 구역들(미도시)에 배열될 수 있고, 각 램프 구역은 개별적으로 전원공급될 수 있다. 일 실시예에서, 고온계들(미도시)과 같은 하나 또는 둘 이상의 온도 센서들이 기판들(4140) 및 기판 캐리어(4114) 온도들을 측정하기 위해 샤워헤드 조립체(4104) 내에 배치될 수 있고, 온도 데이터는 기판 캐리어(4114)에 걸쳐 미리 결정된 온도 프로파일을 유지하기 위해 분리된 램프 구역들로의 전력을 조정할 수 있는 제어기(미도시)로 전송된다. 다른 실시예에서, 분리된 램프 구역들로의 전력은 전구체 유동 또는 전구체 농도 비-균일성을 보상하기 위해 조정될 수 있다. 예를 들면, 전구체 농도가 외부 램프 구역 근처의 기판 캐리어(4114) 구역에서 더 낮은 경우, 외부 램프 구역으로의 전력은 이러한 구역에서의 전구체 결핍의 보상을 돕기 위해 조정될 수 있다.
내부 및 외부 램프들(4121A, 4121B)은 기판들(4140)을 약 섭씨 400도 내지 약 섭씨 1200도의 온도로 가열할 수 있다. 본 발명은 내부 및 외부 램프들(4121A, 4121B)의 배열들의 사용에 한정되지 않음이 이해되어야 한다. 임의의 적합한 가열원이 적절한 온도가 챔버(4102) 및 그 안의 기판들(4140)에 적절하게 인가됨을 보장하도록 이용될 수 있다. 예를 들면, 다른 실시예에서, 가열원은 기판 캐리어(4114)와 열 접촉하는 저항성 가열 엘리먼트들(미도시)을 포함할 수 있다.
가스 전달 시스템(4125)은 복수의 가스 소스들을 포함할 수 있거나, 실행되고 있는 프로세스에 따라 소스들의 일부가 가스들보다는 액체 소스들일 수 있는데, 이 경우에 가스 전달 시스템은 액체를 기화시키기 위해 액체 분사 시스템 또는 다른 수단들(예를 들면, 버블러(bubbler))을 포함할 수 있다. 증기가 그 다음 챔버(4102)로 전달되기 이전에 캐리어 가스와 혼합될 수 있다. 전구체 가스들, 캐리어 가스들, 정화 가스들, 세정/식각 가스들 또는 다른 것들과 같은 상이한 가스들이 가스 전달 시스템(4125)으로부터 분리된 공급관들(4131,4132 및 4133) 및 샤워헤드 조립체(4104)로 공급될 수 있다. 공급관들(4131,4132 및 4133)은 각 관에서 가스의 유동을 모니터링 및 조절 또는 차단하기 위해 차단 밸브 및 질량 유량 유동 제어기들 또는 다른 유형들의 제어기들을 포함할 수 있다.
도관(4129)이 원격 플라즈마 소스(4126)로부터 세정/식각 가스들을 받을 수 있다. 원격 플라즈마 소스(4126)는 공급관(4124)을 통하여 가스 전달 시스템(4125)로부터 가스들을 받을 수 있고, 밸브(4130)가 샤워헤드 조립체(4104)와 원격 플라즈마 소스(4126) 사이에 배치될 수 있다. 밸브(4130)는 세정 및/또는 식각 가스 또는 플라즈마가 플라즈마를 위한 도관으로서 기능하도록 적응될 수 있는 공급관(4133)을 통하여 샤워헤드 조립체(4104) 안으로 유동하게 하도록 개방될 수 있다. 다른 실시예에서, 장치(4100)는 원격 플라즈마 소스(4126)를 포함하지 않을 수 있고, 세정/식각 가스들은 샤워헤드 조립체(4104)로의 대안적인 공급관 구성을 이용하여 비-플라즈마 세정 및/또는 식각을 위해 가스 전달 시스템(4125)으로부터 전달될 수 있다.
원격 플라즈마 소스(4126)는 챔버(4102) 세정 및/또는 기판들(4140) 식각을 위해 적응된 무선 주파수 또는 마이크로파 플라즈마 소스일 수 있다. 세정 및/또는 식각 가스는 샤워헤드 조립체(4104)를 통한 챔버(4102) 안으로의 확산을 위해 도관(4129) 및 공급관(4133)을 통하여 보내질 수 있는 플라즈마 종들을 생성하도록 공급관(4124)을 통하여 원격 플라즈마 소스(4126)로 공급될 수 있다. 세정 애플리케이션을 위한 가스들은 불소, 염소 또는 다른 반응성 원소들을 포함할 수 있다.
다른 실시예에서, 가스 전달 시스템(4125) 및 원격 플라즈마 소스(4126)는 Ⅲ-Ⅴ족 막들과 같은 CVD 층들을, 예를 들면, 기판들(4140) 상에 증착하도록 샤워헤드 조립체(4104)를 통하여 보내질 수 있는 플라즈마 종들을 생성하기 위해 전구체 가스들이 원격 플라즈마 소스(4126)로 공급될 수 있도록 적합하게 적응될 수 있다.
정화 가스(예를 들면, 질소)는 샤워헤드 조립체(4104) 및/또는 기판 캐리어(4114) 아래에서 챔버 바디(4103)의 하부 근처에 배치된 유입 포트들 또는 튜브들(미도시)로부터 챔버(4102) 안으로 전달될 수 있다. 정화 가스는 챔버(4102)의 하부 용적(4110)으로 진입하고, 위로 기판 캐리어(4114) 및 배기 링(4120)을 지나서 환형 배기 채널(4105) 부근에 배치된 복수의 배기 포트(4109) 안으로 유동한다. 배기 도관(4106)은 환형 배기 채널(4105)을 진공 펌프(미도시)를 포함하는 진공 시스템(4112)으로 연결한다. 챔버(4102) 압력은 배기 가스가 환형 배기 채널(4105)로부터 퍼내지는 속도를 제어하는 밸브 시스템(4107)을 이용하여 제어될 수 있다.
위에서 설명된 챔버(204)의 대안적인 실시예들의 HVPE 챔버(204)로서 사용하기 위해 적합할 수 있는 HVPE 증착 챔버의 예가 도 5를 참조하여 도시되고 설명된다. 도 5는 본 발명의 실시예에 따른 Ⅲ족 질화물 물질들의 제조를 위해 적합한 HVPE 챔버(500)의 개략적 단면도이다.
장치(500)는 리드(504)에 의해 둘러싸인 챔버(502)를 포함한다. 제 1 가스 소스(510)로부터의 처리 가스는 가스 분배 샤워헤드(506)를 통하여 챔버(502)로 전달된다. 일 실시예에서, 가스 소스(510)는 질소 함유 화합물을 포함한다. 다른 실시예에서, 가스 소스(510)는 암모니아를 포함한다. 일 실시예에서, 헬륨 또는 이원자 질소와 같은 불활성 가스가 또한 가스 분배 샤워헤드(506) 또는 챔버(502)의 벽들(508) 중 어느 하나를 통하여 도입된다. 에너지 소스(512)가 가스 소스(510)와 가스 분배 샤워헤드(506) 사이에 배치될 수 있다. 일 실시예에서, 에너지 소스(512)는 가열기를 포함한다. 에너지 소스(512)는 암모니아와 같은 가스 소스(510)로부터의 가스를 분해하고, 그래서 질소 함유 가스로부터의 질소가 더 반응적이다.
제 1 소스(510)로부터의 가스와 반응하기 위해, 전구체 물질은 하나 또는 둘 이상의 제 2 소스(518)로부터 전달될 수 있다. 전구체는 반응성 가스를 전구체 소스(518)에서의 전구체 위에 및/또는 그를 통하여 유동시킴으로써 챔버(502)로 전달될 수 있다. 일 실시예에서, 반응성 가스는 이 원자 염소와 같은 염소 함유 가스를 포함한다. 염소 함유 가스는 염화물을 형성하기 위해 전구체 소스와 반응할 수 있다. 전구체와 반응하도록 염소 함유 가스의 유효성을 증가시키기 위해, 염소 함유 가스는 챔버(532)에서의 보트 영역을 통하여 구불구불 움직일(snake) 수 고 저항성 가열기(520)에 의해 가열될 수 있다. 염소 함유 가스가 챔버(532)를 통하여 구불구불 움직이는 점유 시간을 증가시킴으로써, 염소 함유 가스의 온도가 제어될 수 있다. 염소 함유 가스의 온도를 증가시킴으로써, 염소는 전구체와 더 빠르게 반응할 수 있다. 다시 말하면, 온도는 염소와 전구체 사이의 반응을 위한 촉매이다.
전구체의 반응성을 증가시키기 위해, 전구체는 보트에서의 제 2 챔버(532) 내의 저항성 가열기(520)에 의해 가열될 수 있다. 염소 반응 생성물은 그 다음 챔버(502)로 전달될 수 있다. 반응성 염소 생성물은 먼저 그것이 튜브(522) 내에서 균등하게 분포되는 튜브(522)로 진입한다. 튜브(522)는 다른 튜브(524)와 연결된다. 염소 반응 생성물은 그것이 제 1 튜브(522) 내에서 균등하게 분포된 후 제 2 튜브(524)로 진입한다. 염소 반응 생성물은 그 다음 서셉터(susceptor)(514) 상에 배치되는 기판들(516) 상에 질화물 층을 형성하기 위해 그것이 질소 함유 가스와 혼합하는 챔버(502) 안으로 진입한다. 일 실시예에서, 서셉터(514)는 실리콘 탄화물을 포함한다. 질화물 층은, 예를 들면, n-형 갈륨 질화물을 포함할 수 있다. 질호 및 염소와 같은 다른 반응 생성물은 배기구(526)를 통하여 배기된다.
위에서 설명된 접근법들 및 멀티-챔버 배열들은 단일 PVD 증착 동작 또는 단일 PVD 증착 챔버에 한정되지 않는다. 예를 들면, 피디 알루미늄 질화물 형성에 앞서, PVD 텅스텐 막이 금속화된 기판을 효과적으로 제공하기 위해 형성될 수 있다. 예를 들면, 도 6은 본 발명의 실시예에 따른 금속화된 기판 상에 형성된 갈륨 질화물(GaN)-기반 발광 다이오드(LED)의 단면도를 도시한다.
도 6을 참조하면, LED 구조(600)는 다양한 물질 층들의 스택을 포함하는데, 이들의 대부분은 Ⅲ-Ⅴ족 물질들을 포함한다. 예를 들면, LED 구조(600)는 실리콘 또는 사파이어 기판(602)(기판: 사파이어, Si) 및 대략 10-200나노미터 두께의 텅스텐 층(604)(PVD-W)을 포함한다. LED 구조(600)는 또한 얇은, 예를 들면, 대략 25나노미터보다 작은 알루미늄 질화물 층(606)(예를 들면, PVD AlN)을 포함한다. 알루미늄 질화물 층(224)은 클러스터 툴(200)의 PVD 알루미늄 질화물 스퍼터링 챔버(202)에서 저온 또는 고온 스퍼터 증착에 의해 형성될 수 있다. LED 구조(600)는 또한 대략 4 미크론 두께의 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(608)(n-GaN)을 포함한다. 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(608)은 클러스터 툴(200)의 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(204)에서 형성될 수 있다. 150-300나노미터 범위의 두께를 갖는 MQW 구조(610)가 또한 포함된다. MQW 구조(610)는 클러스터 툴(200)의 MQW MOCVD 반응 챔버(206)에서 형성될 수 있다. 일 실시예에서, MQW 구조(610)는 하나 또는 복수의 필드 쌍들의 InGaN 우물/GaN 배리어 물질 층들로 구성된다. LED 구조(600)는 또한 대략 20나노미터 두께의 p-형 갈륨 알루미늄 질화물 층(612)(p-AlGaN) 및 50-20나노미터 범위의 두께를 갖는 p-형 갈륨 질화물 층(614)(p-GaN)을 포함한다. p-형 갈륨 알루미늄 질화물 층(612) 및 p-형 갈륨 질화물 층(614)은 클러스터 툴(200)의 p-형 갈륨 질화물 MOCVD 반응 챔버(208)에서 형성될 수 있다. 위의 두께들 또는 두께 범위들은 예시적인 실시예들이고, 다른 적합한 두께들 또는 두께 범위들이 또한 본 발명의 실시예들의 사상 및 범위 내에서 고려됨이 이해되어야 한다.
실시예에서, 텅스텐 층(604)은 알루미늄 질화물 층(606)을 형성하기 위해 사용된 PVD 프로세스와 별개의 PVD 프로세스에 의해 형성된다. 예를 들면, 일 실시예에서, 흐름도(300)와 관련하여 설명된 방법은 알루미늄 질화물 층을 형성하는 단계 이전에, 텅스텐 타겟을 갖는 제 2 PVD 챔버에서 기판 위에 텅스텐(W) 층을 형성하는 단계를 더 포함한다. 일 실시예에서, 멀티-챔버 시스템(200)과 관련하여 설명된 가능한 시스템들(인-라인 또는 클러스터) 중 하나 또는 둘 이상은 그 안에 하우징된 텅스텐(W) 타겟을 갖는 제 2 PVD 챔버를 더 포함한다. 대안적인 실시예에서, 단일 PVD 챔버는 알루미늄 질화물 타겟 및 텅스텐 타겟 둘 모두를 하우징한다. 하나의 이러한 실시예에서, 단일 PVD 챔버가 텅스텐 층(604) 및 알루미늄 질화물 층(606) 모두를 연속적으로 증착하기 위해 사용된다.
수용 기판과 PVD 알루미늄 층 사이의 PVD 증착된 텅스텐 층과 같은 금속 층을 포함함으로써, 그렇지 않으면 알루미늄 질화물 층과 수용 기판의 근접함의 결과로서 존재하는 임의의 부담이 경감되거나 제거될 수 있다. 또한, 실리콘 기판 실시예들이 위의 설명에서 쉽게 고려될 수 있지만, 이러한 텅스텐 중간 층의 포함은 그 위에 Ⅲ-Ⅴ족 물질 처리에 의해 실리콘 기판들의 호환성을 더 개선할 수 있다. 다른 이점들은 처리 가능함에 대한 것일 수 있다. 예를 들면, Ⅲ-Ⅴ족 물질 층들 및 실리콘 및 사파이어 기판들에 대해 선택적인 습식 식각 텅스텐의 상대적 용이성은 이러한 스택들의 패터닝 및 전이를 더 얻기 쉽게 할 수 있다.
LED들 및 관련된 디바이스들은, 예를 들면, Ⅲ-Ⅴ족 막들, 특히 Ⅲ족-질화물 막들의 층들로부터 제조될 수 있다. 본 발명의 일부 실시예들은 전용 MOCVD 챔버에서와 같은 제조 툴의 전용 챔버에서 갈륨 질화물(GaN) 층들의 형성에 관한 것이다. 본 발명의 일부 실시예들에서, GaN은 2원 GaN 막이지만, 다른 실시예들에서, GaN은 3원 막(예를 들면, InGaN, AlGaN)이거나 4원 막(예를 들면, InAlGaN)이다. 적어도 일부 실시예들에서, Ⅲ족-질화물 물질 층들은 에피택셜하게(epitaxially) 형성된다. 그것들은 기판 상에 또는 기판 상에 배치된 버퍼들 층 상에 직접 형성된다. 다른 고려된 실시예들은 PVD-형성된 버퍼 층들, 예를 들면, PVD-형성된 알루미늄 질화물 상에 직접 증착된 p-형 도핑된 갈륨 질화물 층들을 포함한다.
본 발명의 실시예들은 위에서 설명된 선택 기판들 상에 층들의 형성에 한정되지 않음이 이해될 것이다. 다른 실시예들은 고품질 알루미늄 질화물 층이, 예를 들면, 비-반응성 PVD 접근법으로 그 위에 스퍼터-증착될 수 있는 임의의 적합한 비-패터닝된 또는 패터닝된 단일 결정 기판의 사용을 포함할 수 있다. 기판은 사파이어(Al2O3) 기판, 실리콘 기판(Si) 기판, 실리콘 탄화물(SiC) 기판, 실리콘 온 다이아몬드(SOD; silicon on diamond) 기판, 수정(SiO2) 기판, 글라스 기판, 아연 산화물(ZnO) 기판, 마그네슘 산화물(MgO) 기판, 및 리튬 알루미늄 산화물(LiAlO2) 기판과 같은 것을 포함할 수 있지만 이에 한정되지 않는다. 마스킹 및 식각과 같은 임의의 잘 알려진 방법이 패터닝된 기판을 생성하도록 평면 기판으로부터 포스트들과 같은 피쳐들을 형성하기 위해 이용될 수 있다. 특정 실시예에서, 그러나, 패터닝된 사파이어 기판(PSS)이 (0001) 방위로 사용된다. 패터닝된 사파이어 기판들은, 그것들이 고체 광 디바이스들의 새로운 세대의 제조에서 매우 유용한 광 추출 효율을 증가시키기 때문에, LED들의 제조에 사용하기 위해 이상적일 수 있다. 기판 선택 기준은 결함 형성을 완화시키기 위한 격자 정합 및 열 변형력들을 완화시키기 위한 열팽창 계수(CTE) 정합을 포함할 수 있다.
위에서 설명한 바와 같이, Ⅲ족-질화물 막들은 도핑될 수 있다. Ⅲ족-질화물 막들은 이에 한정되지 않지만 Mg, Be, Ca, Sr, 또는 임의의 Ⅰ족 또는 2 원자가 전자들을 갖는 Ⅱ족 원소와 같은 p-형 도펀트를 이용하여 p-형 도핑될 수 있다. Ⅲ족-질화물 막들은 약 1×1016 내지 약 1×1020 원자/㎤의 전도성 레벨로 p-형 도핑될 수 있다. Ⅲ족-질화물 막들은 이에 한정되지 않지만 실리콘 또는 산소, 임의의 적합한 Ⅳ족 또는 Ⅵ족 원소와 같은 임의의 n-형 도펀트를 이용하여 n-형 도핑될 수 있다. Ⅲ족-질화물 막들은 약 1×1016 내지 약 1×1020 원자/㎤의 전도성 레벨로 n-형 도핑될 수 있다.
위의 프로세스들은 클러스터 툴 또는 하나의 챔버보다 많은 챔버를 갖는 다른 툴, 예를 들면, LED의 층들을 제조하기 위한 전용 챔버를 갖도록 배열된 인-라인 툴 내의 전용 챔버에서 수행될 수 있음이 이해될 것이다. 본 발명의 실시예들은 LED들의 제조에 한정될 필요가 없음이 또한 이해될 것이다. 예를 들면, 다른 실시예에서, LED 디바이스와 다른 디바이스들, 예를 들면, 이에 한정되지 않지만 전계-효과 트랜지스터(FET) 디바이스들이 본 명세서에서 설명된 접근법들에 의해 제조될 수 있다. 이러한 실시예들에서, 층들의 구조의 상부에 p-형 물질이 필요 없을 수 있다. 대신, n-형 또는 비-도핑된 물질이 p-형 층 대신에 사용될 수 있다. 증착 및/또는 열 어닐링의 다양한 조합들과 같은 다수의 동작들이 단일 프로세스 챔버에서 수행될 수 있음이 또한 이해될 것이다.
따라서, PVD-형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 LED들의 제조가 개시되었다. 본 발명의 실시예에 따르면, 멀티-챔버 시스템은 알루미늄을 포함하는 물질로 구성된 타겟을 갖는 PVD 챔버를 포함한다. 도핑되지 않은 또는 n-형 갈륨 질화물, 또는 이 둘 모두를 증착하도록 적응된 챔버가 또한 멀티-챔버 시스템에 포함된다. 일 실시예에서, PVD 챔버의 타겟은 알루미늄 질화물로 구성된다. 일 실시예에서, 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 MOCVD 챔버이다. 일 실시예에서, PVD 챔버 및 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 클러스터 또는 인-라인 툴 배열에 포함된다.

Claims (15)

  1. 멀티-챔버 시스템으로서,
    알루미늄을 포함하는 타겟을 갖는 물리 기상 증착(PVD) 챔버; 및
    도핑되지 않은(un-doped) 또는 n-형 갈륨 질화물, 또는 이 둘을 증착하도록 적응된 챔버를 포함하는,
    멀티-챔버 시스템.
  2. 제 1 항에 있어서,
    상기 PVD 챔버의 상기 타겟은 알루미늄 질화물을 포함하는,
    멀티-챔버 시스템.
  3. 제 1 항에 있어서,
    상기 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 상기 챔버는 금속-유기 화학 기상 증착(MOCVD) 챔버를 포함하는,
    멀티-챔버 시스템.
  4. 제 1 항에 있어서,
    상기 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 상기 챔버는 수소화물 기상 에피택시(HVPE) 챔버를 포함하는,
    멀티-챔버 시스템.
  5. 제 1 항에 있어서,
    상기 PVD 챔버 및 상기 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 상기 챔버는 클러스터 툴 배열에 포함되는,
    멀티-챔버 시스템.
  6. 제 1 항에 있어서,
    상기 PVD 챔버 및 상기 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 상기 챔버는 인-라인 툴 배열에 포함되는,
    멀티-챔버 시스템.
  7. 제 1 항에 있어서,
    급속 열 처리(RTP) 챔버 또는 레이저 어닐링 챔버를 더 포함하는,
    멀티-챔버 시스템.
  8. 발광 다이오드(LED) 구조를 제조하는 방법으로서,
    멀티-챔버 시스템의 물리 기상 증착(PVD) 챔버에서, 기판 위에 알루미늄 질화물 층을 형성하는 단계; 및
    상기 멀티-챔버 시스템의 제 2 챔버에서, 상기 알루미늄 질화물 층 상에 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계를 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
  9. 제 8 항에 있어서,
    상기 알루미늄 질화물 층을 형성하는 단계는 상기 PVD 챔버에 하우징된(housed) 알루미늄 질화물 타겟으로부터 스퍼터링하는 단계를 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
  10. 제 8 항에 있어서,
    상기 제 2 챔버에서 상기 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계는 제 1 금속-유기 화학 기상 증착(MOCVD) 챔버에서 형성하는 단계를 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
  11. 제 10 항에 있어서,
    상기 멀티-챔버 시스템의 제 2 MOCVD 챔버에서, 상기 도핑되지 않은 또는 n-형 갈륨 질화물 층 위에 다중 양자 우물(multiple quantum well; MQW) 구조를 형성하는 단계; 및
    상기 멀티-챔버 시스템의 제 3 MOCVD 챔버에서, 상기 MQW 구조 위에 p-형 알루미늄 갈륨 질화물 또는 p-형 갈륨 질화물 층을 형성하는 단계를 더 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
  12. 제 8 항에 있어서,
    상기 알루미늄 질화물 층을 형성하는 단계는 대략 섭씨 20-200도 범위의 기판 온도에서 형성하는 단계를 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
  13. 제 8 항에 있어서,
    상기 알루미늄 질화물 층을 형성하는 단계는 대략 섭씨 200-1200도 범위의 기판 온도에서 형성하는 단계를 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
  14. 제 8 항에 있어서,
    상기 알루미늄 질화물 층 상에 상기 도핑되지 않은 또는 n-형 갈륨 질화물 층을 형성하는 단계 이전에, 급속 열 처리(RTP) 챔버에서 상기 알루미늄 질화물 층을 어닐링하는 단계를 더 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
  15. 제 8 항에 있어서,
    상기 알루미늄 질화물 층을 형성하는 단계 이전에, 텅스텐 타겟을 갖는 제 2 PVD 챔버에서 상기 기판 위에 텅스텐(W) 층을 형성하는 단계를 더 포함하는,
    발광 다이오드(LED) 구조를 제조하는 방법.
KR1020137018159A 2010-12-16 2011-12-13 Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조 KR20140031851A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US42400610P 2010-12-16 2010-12-16
US61/424,006 2010-12-16
US13/036,273 2011-02-28
US13/036,273 US8409895B2 (en) 2010-12-16 2011-02-28 Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
PCT/US2011/064722 WO2012082788A2 (en) 2010-12-16 2011-12-13 Gallium nitride-based led fabrication with pvd-formed aluminum nitride buffer layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187027951A Division KR20180112077A (ko) 2010-12-16 2011-12-13 Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조

Publications (1)

Publication Number Publication Date
KR20140031851A true KR20140031851A (ko) 2014-03-13

Family

ID=46234913

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020137018159A KR20140031851A (ko) 2010-12-16 2011-12-13 Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조
KR1020197014150A KR102241833B1 (ko) 2010-12-16 2011-12-13 Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조
KR1020187027951A KR20180112077A (ko) 2010-12-16 2011-12-13 Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020197014150A KR102241833B1 (ko) 2010-12-16 2011-12-13 Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조
KR1020187027951A KR20180112077A (ko) 2010-12-16 2011-12-13 Pvd-형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 led 제조

Country Status (6)

Country Link
US (2) US8409895B2 (ko)
JP (2) JP2014506396A (ko)
KR (3) KR20140031851A (ko)
CN (2) CN103262215A (ko)
TW (1) TW201234658A (ko)
WO (1) WO2012082788A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120108324A (ko) * 2011-03-23 2012-10-05 한국기초과학지원연구원 중성 입자빔을 이용한 발광 소자 제조 방법 및 그 장치
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US20130026480A1 (en) 2011-07-25 2013-01-31 Bridgelux, Inc. Nucleation of Aluminum Nitride on a Silicon Substrate Using an Ammonia Preflow
US9396933B2 (en) 2012-04-26 2016-07-19 Applied Materials, Inc. PVD buffer layers for LED fabrication
CN103904169A (zh) * 2012-12-26 2014-07-02 光达光电设备科技(嘉兴)有限公司 一种led外延结构的生长方法及其设备
CN103074598A (zh) * 2012-12-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 化学气相沉积设备
CN103915537B (zh) * 2013-01-09 2017-04-19 理想能源设备(上海)有限公司 硅衬底上化合物半导体外延层生长方法及其器件结构
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
CN104342751B (zh) * 2013-08-02 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔和mocvd设备
WO2016014696A1 (en) 2014-07-23 2016-01-28 Rayvio Corporation Uv light emitting devices and systems and methods for production
CN104532208A (zh) * 2015-01-06 2015-04-22 中国科学院半导体研究所 一种氮化物外延装置及方法
CN106148912A (zh) * 2015-03-26 2016-11-23 北京大学 用于生长电子器件的复合反应室外延设备和生长方法
CN105261681B (zh) * 2015-09-08 2019-02-22 安徽三安光电有限公司 一种半导体元件及其制备方法
CN107078030B (zh) * 2015-09-11 2022-08-23 国立大学法人三重大学 氮化物半导体衬底的制造方法
KR102391513B1 (ko) 2015-10-05 2022-04-27 삼성전자주식회사 물질막 적층체, 발광 소자, 발광 패키지, 및 발광 소자의 제조 방법
CN105428481B (zh) * 2015-12-14 2018-03-16 厦门市三安光电科技有限公司 氮化物底层及其制作方法
CN107492478B (zh) * 2016-06-12 2019-07-19 北京北方华创微电子装备有限公司 半导体设备的成膜方法以及半导体设备的氮化铝成膜方法
US10643843B2 (en) 2016-06-12 2020-05-05 Beijing Naura Microelectronics Equipment Co., Ltd. Film forming method and aluminum nitride film forming method for semiconductor apparatus
GB2575311B (en) 2018-07-06 2021-03-03 Plessey Semiconductors Ltd Monolithic LED array and a precursor thereto
CN109155364B (zh) * 2018-08-02 2022-09-23 深圳市为通博科技有限责任公司 忆阻器电极材料的制备方法、制备装置和忆阻器电极材料
JP2022024208A (ja) * 2018-12-07 2022-02-09 シャープ株式会社 動画像復号装置および動画像符号化装置
WO2020242863A1 (en) 2019-05-24 2020-12-03 Entegris, Inc. Methods and systems for adsorbing organometallic vapor
WO2020242861A1 (en) 2019-05-24 2020-12-03 Entegris, Inc. Methods and systems for removing ammonia from a gas mixture
EP4139498A4 (en) 2020-04-20 2024-05-29 Applied Materials Inc MULTIPLE THERMAL CVD CHAMBERS WITH SHARED GAS SUPPLY AND EXHAUST SYSTEM
CN113488565B (zh) * 2021-06-23 2022-11-29 山西中科潞安紫外光电科技有限公司 一种氮化铝薄膜的制备方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3781787B2 (ja) * 1993-10-26 2006-05-31 株式会社半導体エネルギー研究所 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法
JPH09312267A (ja) * 1996-05-23 1997-12-02 Rohm Co Ltd 半導体装置の製法およびその製造装置
US6423984B1 (en) * 1998-09-10 2002-07-23 Toyoda Gosei Co., Ltd. Light-emitting semiconductor device using gallium nitride compound semiconductor
EP1039555A1 (en) * 1999-03-05 2000-09-27 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device
US6713789B1 (en) * 1999-03-31 2004-03-30 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method of producing the same
JP3440873B2 (ja) * 1999-03-31 2003-08-25 豊田合成株式会社 Iii族窒化物系化合物半導体素子の製造方法
JP3700492B2 (ja) * 1999-09-21 2005-09-28 豊田合成株式会社 Iii族窒化物系化合物半導体素子
JP2000294824A (ja) * 1999-04-08 2000-10-20 Osaka Gas Co Ltd 窒化物半導体デバイス及びその製造方法
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
JP3963068B2 (ja) * 2000-07-19 2007-08-22 豊田合成株式会社 Iii族窒化物系化合物半導体素子の製造方法
ATE528421T1 (de) 2000-11-30 2011-10-15 Univ North Carolina State Verfahren zur herstellung von gruppe-iii- metallnitrid-materialien
JP4710139B2 (ja) * 2001-01-15 2011-06-29 豊田合成株式会社 Iii族窒化物系化合物半導体素子
CN1248957C (zh) * 2003-11-10 2006-04-05 南京大学 氮化铝一维纳米结构阵列的制备方法
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
CN1333435C (zh) * 2004-11-17 2007-08-22 金芃 准氮化铝和准氮化镓基生长衬底及其生长方法
CN1824828A (zh) * 2005-02-23 2006-08-30 中国科学院半导体研究所 在硅衬底上采用磁控溅射法制备氮化铝材料的方法
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP2007134388A (ja) * 2005-11-08 2007-05-31 Sharp Corp 窒化物系半導体素子とその製造方法
JP4637781B2 (ja) * 2006-03-31 2011-02-23 昭和電工株式会社 GaN系半導体発光素子の製造方法
US20080042145A1 (en) * 2006-08-18 2008-02-21 Helmut Hagleitner Diffusion barrier for light emitting diodes
KR20090040357A (ko) * 2006-09-29 2009-04-23 쇼와 덴코 가부시키가이샤 Ⅲ족 질화물 화합물 반도체 적층 구조체의 성막방법
JP2008091470A (ja) * 2006-09-29 2008-04-17 Showa Denko Kk Iii族窒化物化合物半導体積層構造体の成膜方法
JP2008108759A (ja) * 2006-10-23 2008-05-08 Ritsumeikan 窒化物材料製造方法
JP2009081406A (ja) * 2007-09-27 2009-04-16 Showa Denko Kk Iii族窒化物半導体発光素子及びその製造方法、並びにランプ
KR101281684B1 (ko) * 2008-01-25 2013-07-05 성균관대학교산학협력단 질화물 반도체 기판의 제조방법
CN101257076B (zh) * 2008-03-27 2011-03-23 鹤山丽得电子实业有限公司 发光二极管的制造方法
US20100176369A2 (en) * 2008-04-15 2010-07-15 Mark Oliver Metalized Silicon Substrate for Indium Gallium Nitride Light-Emitting Diodes
JP2009277882A (ja) * 2008-05-14 2009-11-26 Showa Denko Kk Iii族窒化物半導体発光素子の製造方法及びiii族窒化物半導体発光素子、並びにランプ
JP2010021439A (ja) * 2008-07-11 2010-01-28 Showa Denko Kk Iii族窒化物半導体積層構造体およびその製造方法
KR20100008123A (ko) * 2008-07-15 2010-01-25 고려대학교 산학협력단 이중 히트 씽크층으로 구성된 지지대를 갖춘 고성능수직구조의 반도체 발광소자
JP2010251705A (ja) * 2009-03-24 2010-11-04 Nuflare Technology Inc 成膜装置および成膜方法
CN101515617B (zh) * 2009-03-31 2010-12-01 西安电子科技大学 AlGaN基SiC衬底的紫外LED制作方法
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100308300A1 (en) * 2009-06-08 2010-12-09 Siphoton, Inc. Integrated circuit light emission device, module and fabrication process
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer

Also Published As

Publication number Publication date
JP2018041967A (ja) 2018-03-15
KR102241833B1 (ko) 2021-04-16
WO2012082788A3 (en) 2012-08-16
TW201234658A (en) 2012-08-16
JP6507211B2 (ja) 2019-04-24
US20130174781A1 (en) 2013-07-11
WO2012082788A2 (en) 2012-06-21
JP2014506396A (ja) 2014-03-13
US8409895B2 (en) 2013-04-02
CN107964647B (zh) 2020-11-13
US20120156819A1 (en) 2012-06-21
CN103262215A (zh) 2013-08-21
KR20190055276A (ko) 2019-05-22
CN107964647A (zh) 2018-04-27
KR20180112077A (ko) 2018-10-11

Similar Documents

Publication Publication Date Title
JP6507211B2 (ja) Pvdにより形成される窒化アルミニウム緩衝層を有する窒化ガリウムベースのledの製造
US11575071B2 (en) Oxygen controlled PVD ALN buffer for GAN-based optoelectronic and electronic devices
US11011676B2 (en) PVD buffer layers for LED fabrication
US10439099B2 (en) UV light emitting devices and systems and methods for production
US20160079471A1 (en) Uv light emitting devices and systems and methods for production

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent