KR20140020448A - 포토 리소그래피용 린스액 - Google Patents

포토 리소그래피용 린스액 Download PDF

Info

Publication number
KR20140020448A
KR20140020448A KR1020120086810A KR20120086810A KR20140020448A KR 20140020448 A KR20140020448 A KR 20140020448A KR 1020120086810 A KR1020120086810 A KR 1020120086810A KR 20120086810 A KR20120086810 A KR 20120086810A KR 20140020448 A KR20140020448 A KR 20140020448A
Authority
KR
South Korea
Prior art keywords
rinse liquid
photolithography
surfactant
cyclic amine
carbon atoms
Prior art date
Application number
KR1020120086810A
Other languages
English (en)
Other versions
KR101993360B1 (ko
Inventor
고차원
김수민
김현우
윤효진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020120086810A priority Critical patent/KR101993360B1/ko
Priority to US13/962,173 priority patent/US9158204B2/en
Publication of KR20140020448A publication Critical patent/KR20140020448A/ko
Priority to US14/878,034 priority patent/US20160026090A1/en
Application granted granted Critical
Publication of KR101993360B1 publication Critical patent/KR101993360B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

포토 리소그래피용 린스액이 제공된다. 상기 포토 리소그래피용 린스액은 탈이온수 및, 고리형 아민(cyclic amine) 및 상기 고리형 아민과 결합된 적어도 하나의 고리형 화합물을 포함하는 계면활성제를 포함하되, 상기 고리형 아민은 탄소수 4 내지 6인 고리를 가지고, 상기 고리형 화합물은 탄소수 5 내지 8인 고리를 가진다.

Description

포토 리소그래피용 린스액{PHTO LITHOGRAPHIC RINSE SOLUTION}
본 발명은 포토 리소그래피용 린스액에 관한 것으로, 보다 상세하게는 계면활성제를 포함하는 포토 리소그래피용 린스액에 관한 것이다.
최근에 전자 기기의 고속화, 저 소비전력화에 따라 이에 내장되는 반도체 장치 역시 빠른 동작 속도 및/또는 낮은 동작 전압 등이 요구되고 있다. 이러한 요구 특성들을 충족시키기 위하여 반도체 장치는 보다 고집적화 되고 있다. 이에 따라, 반도체 장치의 집적도 향상을 위한 주요 제조 기술로서 포토 리소그래피 기술과 같은 미세 가공 기술에 대한 요구도 엄격해지고 있다.
포토 리소그래피 기술은 반도체 장치를 제조할 때 기판 상에 미세한 전자 회로 패턴을 생성하는데 사용되는 방법이다. 즉, 감광성 물질이 도포되어 있는 기판에 회로가 인쇄되어 있는 마스크를 통해 빛을 전사함으로써 마스크의 회로 패턴을 기판으로 전사하는 공정이다. 포토 리소그래피 공정에서 사용하는 광원으로 G-LINE, I-LINE, KrF, ArF 등이 있고, 최근에는 전자 회로의 패턴이 초미세화됨에 따라 EUV(extreme UV) 등을 사용하여 미세하고 정교한 포토 레지스트 패턴을 형성하고 있다.
본 발명이 이루고자 하는 일 기술적 과제는 포토 레지스트 패턴 붕괴를 방지하는 포토 리소그래피용 린스액을 제공하는데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 포토 레지스트 패턴 용해를 방지하고, 포토 레지스트 패턴의 LWR(Line Width Roughness)을 개선하는 포토 리소그래피용 린스액을 제공하는데 있다.
본 발명의 일 실시예에 따르면, 포토 리소그래피용 린스액은 탈이온수 및, 고리형 아민(cyclic amine) 및 상기 고리형 아민과 결합된 고리형 화합물을 포함하는 계면활성제를 포함하되, 상기 고리형 아민은 탄소수 4 내지 6인 고리를 가지고, 상기 고리형 화합물은 탄소수 5 내지 8인 고리를 가질 수 있다.
일 실시예에 따르면, 상기 고리형 화합물은 방향족 고리 화합물 또는 지방족 고리 화합물일 수 있다.
일 실시예에 따르면, 상기 계면활성제는 상기 고리형 아민과 결합된 에스테르기를 더 포함할 수 있고, 상기 계면활성제는 상기 에스테르기와 결합된 지방족 화합물을 더 포함할 수 있다.
일 실시예에 따르면, 상기 계면활성제는 하기 화학식 6 내지 10 중 어느 하나일 수 있다.
화학식 6
Figure pat00001
화학식 7
Figure pat00002
화학식 8
Figure pat00003
화학식 9
Figure pat00004
화학식 10
Figure pat00005
R1은 탄소수 1내지 10인 알킬기이고, R2 및 R3는 각각 수소 또는 플루오르이고, R4는 수소, 플루오르, 또는 탄소수 1 내지 10인 알킬기이고, n은 1 내지 20의 정수이다.
본 발명의 개념에 따르면, 포토 레지스트 패턴의 붕괴 및 용해가 방지될 수 있고, 포토 레지스트 패턴의 LWR(Line Width Roughness)이 개선될 수 있다.
도 1 내지 도 3은 본 발명의 일 실시예에 따른 계면활성제들의 분자 구조식이다.
도 4는 포토 레지스트 패턴 붕괴의 발생 원인을 나타내는 개략도이다.
도 5는 본 발명의 실시예들에 따른 포토 리소그래피용 린스액을 이용한 반도체 장치의 제조방법을 설명하기 위한 순서도이다.
도 6은 본 발명의 개념에 따른 반도체 장치를 포함하는 전자 장치의 일 예를 나타내는 개략 블록도이다.
도 7은 본 발명의 개념에 따른 반도체 장치를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다.
본 발명의 구성 및 효과를 충분히 이해하기 위하여, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라, 여러가지 형태로 구현될 수 있고 다양한 변경을 가할 수 있다. 단지, 본 실시예들의 설명을 통해 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술 분야의 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위하여 제공되는 것이다.
본 명세서에서, 어떤 구성요소가 다른 구성요소 상에 있다고 언급되는 경우에 그것은 다른 구성요소 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 구성요소가 개재될 수도 있다는 것을 의미한다. 또한, 도면들에 있어서, 구성요소들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분은 동일한 구성요소들을 나타낸다.
본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다. 본 명세서의 다양한 실시예들에서 제1, 제2, 제3 등의 용어가 다양한 구성요소들을 기술하기 위해서 사용되었지만, 이들 구성요소들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어들은 단지 어느 구성요소를 다른 구성요소와 구별시키기 위해서 사용되었을 뿐이다. 여기에 설명되고 예시되는 실시예들은 그것의 상보적인 실시예들도 포함한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소는 하나 이상의 다른 구성요소의 존재 또는 추가를 배제하지 않는다.
이하, 본 발명을 상세히 설명한다.
본 발명의 일 실시예에 따르면, 탈이온수(DI water) 및 계면 활성제(surfactant)를 포함하는 포토 리소그래피용 린스액이 제공된다. 상기 계면활성제는 상기 탈이온수의 약 0.01 중량% 내지 약 1.0 중량%의 농도를 가질 수 있다.
상기 계면 활성제는 고리형 아민(cyclic amine) 및 상기 고리형 아민과 결합된 고리형 화합물(cyclic compound)을 포함할 수 있다. 상기 고리형 아민은 탄소수 4 내지 6인 고리를 가질 수 있다. 일 예로, 상기 고리형 아민은 하기 화학식 1 내지 2 중 어느 하나일 수 있다.
화학식 1
Figure pat00006
화학식 2
Figure pat00007
R1은 수소 또는 탄소수 1 내지 10인 알킬기이다. 상기 고리형 아민과 결합된 고리형 화합물은 탄소수 5 내지 8인 고리를 가질 수 있다. 상기 고리형 화합물은 방향족 고리 화합물(aromatic ring compound) 또는 지방족 고리 화합물(alicyclic compound)일 수 있다. 일 예로, 상기 고리형 화합물은 벤젠(benzene)일 수 있다. 상기 고리형 아민은 적어도 하나 이상의 상기 고리형 화합물과 결합된, 부피가 큰(bulky) 고리형 아민일 수 있다.
상기 계면활성제는 상기 고리형 아민과 결합된 에스테르(ester)기를 더 포함할 수 있다. 일 예로, 상기 에스테르기가 결합된 고리형 아민은 하기 화학식 3 내지 5 중 어느 하나일 수 있다.
화학식 3
Figure pat00008
화학식 4
Figure pat00009
화학식 5
Figure pat00010
A1은 -Z1 또는 -C(=O)-Z2이고, Z1 및 Z2는 탄소수 2 내지 31인 알킬기 또는 플루오루로 치환된 알킬기이다. R1은 수소 또는 탄소수 1 내지 10인 알킬기이고, n은 1 내지 20의 정수이다. 상기 에스테르기는 상기 고리형 아민 내의 친수성기(N)와 후술될 소수성기를 연결할 수 있다.
상기 계면활성제는 상기 에스테르기와 결합된 지방족 화합물(aliphatic compound)을 더 포함할 수 있다. 일 예로, 상기 계면활성제는 하기 화학식 6 내지 10 중 어느 하나일 수 있다.
화학식 6
Figure pat00011
화학식 7
Figure pat00012
화학식 8
Figure pat00013
화학식 9
Figure pat00014
화학식 10
Figure pat00015
R1은 수소 또는 탄소수 1 내지 10인 알킬기이고, R2 및 R3는 각각 수소 또는 플루오린이고, R4는 수소, 플루오린, 또는 탄소수 1 내지 10인 알킬기이고, n은 1 내지 20의 정수이다. 상기 고리형 아민 내의 질소(N)는 친수성을 가질 수 있고, 상기 에스테르기에 결합된 지방족 화합물(aliphatic compound) 내의 R2, R3, 및 R4는 소수성을 가질 수 있다. 도 1 내지 도 3은 본 발명의 일 실시예에 따른 계면활성제들의 분자구조식이다. 도 1 내지 도 3을 참조하면, 본 발명의 일 실시예에 따른 계면활성제들은 벤젠이 결합된 고리형 아민과, 에스테르기에 의해 상기 고리형 아민과 연결된 플루오루계 화합물을 포함할 수 있다. 구체적으로, 도 1은 R1이 수소이고, 플루오르로 일부 치환된 알킬기가 에스테르기에 의해 고리형 아민과 연결된 계면활성제를 도시하고, 도 2는 R1이 수소이고, 플루오르로 치환된 알킬기가 카보닐기 및 에스테르기에 의해 고리형 아민과 연결된 계면활성제를 도시한다. 도 3은 R1이 CH3이고, 플루오르로 치환된 알킬기가 카보닐기 및 에스테르기에 의해 고리형 아민과 연결된 계면활성제를 도시한다. 상기 계면활성제들 내의 질소와 플루오르는 각각 친수성기와 소수성기로 작용할 수 있다.
본 발명의 개념에 따르면, 상기 계면활성제가 첨가된 탈이온수를 포토 리소그래피용 린스액으로 이용함으로써, 포토 레지스트 패턴 붕괴(collapse)가 개선될 수 있다.
구체적으로, 반도체 공정의 집적도가 증가함에 따라 포토 레지스트 패턴의 선폭은 작아질 수 있으나, 하부막의 식각을 위해 일정한 두께를 유지할 필요가 있기 때문에 포토 레지스트 패턴의 종횡비(aspect ratio)는 커질 수 있다. 포토 레지스트 패턴의 종횡비가 커짐에 따라 포토 레지스트 패턴 붕괴가 발생할 수 있다. 상기 포토 레지스트 패턴 붕괴는 포토 리소그래피 공정에서 사용하는 린스액의 표면 장력에 의한 모세관력(capillary force)에 의한 것일 수 있다. 도 4는 포토 레지스트 패턴 붕괴의 발생 원인을 나타내는 개략도이다. 도 4를 참조하면, 기판(10) 상에 포토 레지스트 패턴들(20)이 현상될 수 있다. 상기 포토 레지스트 패턴들(20)이 현상된 후, 포토 리소그래피용 린스액(30)으로 상기 포토 레지스트 패턴들(20)이 세정될 수 있다. 상기 세정 단계에서 상기 린스액(30)은 상기 포토 레지스트 패턴들(20) 사이에 있을 수 있다.
Figure pat00016
도 4 및 상기 수학식 1을 참조하면, H는 패턴의 높이, L은 패턴 선폭, d는 패턴간 거리, γ는 린스액의 표면 장력, θ는 패턴과 린스액의 접촉각, 및 б는 비례상수를 각각 나타낸다. 상기 수학식 1을 참조하면, 모세관력(σ)은 린스액의 표면 장력(γ)에 비례한다. 따라서, 린스액의 표면 장력을 낮추어 모세관력에 의한 포토 레지스트 패턴 붕괴를 개선할 수 있다. 본 발명의 일 실시예에 따른 포토 리소그래피용 린스액은 표면 장력을 낮추기 위해 소수성기를 가지는 계면활성제를 포함할 수 있다. 일 예로, 상기 계면활성제 내의 소수성기는 플루오르(F)을 포함할 수 있다. 표면 장력은 분자간 인력과 관계되는데, 탈이온수는 분자간의 수소결합에 의해 표면 장력이 크고, 계면활성제는 소수성기 간의 인력이 작아 표면 장력이 작을 수 있다. 상기 소수성기를 포함하는 계면활성제가 탈이온수에 첨가되는 경우, 상기 소수성기를 포함하는 계면활성제 및 탈이온수를 포함하는 린스액의 표면 위로 상기 계면활성제의 소수성기가 위치할 수 있다. 따라서, 상기 린스액의 표면이 소수성기로 덮혀 상기 린스액의 표면장력이 작아짐으로써, 포토 레지스트 패턴 붕괴가 개선될 수 있다.
본 발명의 개념에 따르면, 본 발명의 일 실시예에 따른 포토 리소그래피용 린스액에 포함된 계면활성제는 포토 레지스트 패턴 용해(melting)를 방지할 수 있다. 상기 계면활성제는 부피가 큰(bulky) 화합물 내의 친수성기를 포함할 수 있다. 일 예로, 상기 부피가 큰 화합물은 고리형 아민 및 상기 고리형 아민과 결합된 고리형 화합물일 수 있고, 상기 친수성기는 질소(N)일 수 있다. 상기 계면활성제 내의 질소 양이온이 포토 레지스트 표면의 음이온(일 예로, OH-)과 반응하여 상기 계면활성제가 포토 레지스트 표면으로 침투(penetrate)할 수 있다. 이 경우, 상기 고리형 화합물과 결합된 부피가 큰 고리형 아민에 의해 상기 계면활성제는 입체장해(steric hindrance)를 가질 수 있다. 상기 계면활성제의 입체장해에 의해 포토 레지스트 표면으로의 침투가 억제되어, 패턴 용해가 방지될 수 있다. 또한, 상기 부피가 큰 고리형 아민 내의 질소 양이온은 포토 레지스트 표면의 음이온(OH-)과 정전기적 상호작용(electrostatic interaction)을 하여, 상기 계면활성제가 포토 레지스트 표면에 흡착될 수 있다. 그 결과, 포토 레지스트 표면의 LWR(line width roughness)가 개선될 수 있다.
본 발명의 일 실시예에 따른 포토 리소그래피용 린스액은 플루오르계 비이온성 계면활성제 또는 플루오르계 음이온성 계면활성제를 더 포함할 수 있다. 일 예로, 상기 플루오르계 비이온성 계면활성제는 RfCH2CH2O(CH2CH2O)xH 일 수 있고, x는 0에서 20의 정수, Rf는 F(CF2CF2)y, y는 1에서 10의 정수이다. 일 예로, 상기 플루오르계 비이온성 또는 음이온성 계면활성제는 상기 탈이온수의 0.01 중량% 내지 1.0 중량%로 첨가될 수 있다. 상기 플루오루계 비이온성 계면활성제 또는 플루오루계 음이온성 계면활성제는 상기 포토 리소그래피용 린스액에 대한 상기 고리형 아민을 포함하는 계면활성제의 용해도를 증가시기 위해 첨가될 수 있다.
본 발명의 일 실시예에 따른 포토 리소그래피용 린스액은 알코올을 더 포함할 수 있다. 일 예로, 상기 알코올은 에탄올, 이소프로판올, 및 t-부틸알코올 중 어느 하나일 수 있고, 상기 탈이온수의 0.1중량% 내지 10중량%로 첨가될 수 있다. 상기 알코올은 포토 레지스트의 잔류물(residue)을 용매화(salvation)하여 제거하기 위해 첨가될 수 있다.
도 5는 본 발명의 실시예들에 따른 포토 리소그래피용 린스액을 이용한 반도체 장치의 제조방법을 설명하기 위한 순서도이다. 도 5를 참조하면, 기판 상에 포토 레지스트가 도포될 수 있다(S10). 기판 상에 도포된 포토 레지스트를 노광 및 현상하여 포토 레지스트 패턴을 형성할 수 있다(S20). 상기 노광 공정에 이용되는 광원은 EUV(extreme ultraviolet)일 수 있고, 상기 현상 공정은 PTD(positive-tone development) 공정일 수 있다. 상기 포토 레지스트 패턴이 형성된 후, 본 발명의 일 실시예에 따른 린스액을 이용하여 포토 레지스트 패턴을 세정할 수 있다(S30). 본 발명의 일 실시예에 따른 포토 리소그래피용 린스액을 이용함으로써 포토 레지스트 패턴 붕괴 및 용해 현상이 방지될 수 있고, 포토 레지스트 패턴의 LWR이 개선될 수 있다.
본 발명의 다른 실시예에 따르면, 유기용매가 포토 리소그래피용 린스액으로 제공된다. 일 예로, 상기 유기용매는 아세톤(acetone), 에탄올(ethanol), 이소프로판올(isopropanol), n-데칸(n-Decane, DEC), n-헵탄(n-Heptane), n-헥산(n-Hexane), n-옥탄(n-Octane), 퍼플루오르헵탄(Perfluoroheptane), 퍼플루오르헥산(Perfluorohexane), 및 퍼플루오르옥탄(Perfluorooctane) 중 어느 하나일 수 있다. 상기 유기용매는 약 5mN/m 내지 약 25mN/m의 표면장력을 가질 수 있다.
본 발명의 개념에 따르면, 상기 표면 장력이 낮은 유기용매를 포토 리소그래피용 린스액으로 이용함으로써, 포토 레지스트 패턴 붕괴를 개선할 수 있다. 상술한 바와 같이, 포토 레지스트 패턴 붕괴는 포토 리소그래피 공정에서 사용하는 린스액의 표면 장력에 의한 모세관력에 의하므로, 상온에서의 표면 장력이 약 5mN/m 내지 약 25 mN/m인 유기용매를 린스액으로 이용하여 포토 레지스트 패턴 붕괴를 개선할 수 있다.
본 발명의 다른 실시예에 따른 포토 리소그래피용 린스액은 알코올을 더 포함할 수 있다. 상기 유기용매 중 에탄올과 이소프로판올을 제외한 유기용매가 포토 리소그래피용 린스액인 경우, 알코올이 더 첨가될 수 있다. 일 예로, 상기 알코올은 에탄올, 이소프로판올, 및 t-부틸알코올 중 어느 하나일 수 있고, 상기 유기용매의 0.1중량% 내지 10중량%로 첨가될 수 있다. 상기 알코올은 포토 레지스트의 잔류물(residue)을 용매화(salvation)하여 제거하기 위해 첨가될 수 있다.
도 5를 다시 참조하면, 기판 상에 포토 레지스트가 도포될 수 있고(S10), 기판 상에 도포된 포토 레지스트를 노광 및 현상하여 포토 레지스트 패턴을 형성할 수 있다(S20). 상기 노광 공정에 이용되는 광원은 EUV(extreme ultraviolet)일 수 있고, 상기 현상 공정은 NTD(negative-tone development) 공정일 수 있다. 상기 포토 레지스트 패턴이 형성된 후, 본 발명의 다른 실시예에 따른 린스액을 이용하여 포토 레지스트 패턴을 세정할 수 있다(S30). 본 발명의 다른 실시예에 따른 포토 리소그래피용 린스액을 NTD공정에서 이용함으로써 NTD 공정에서의 포토 레지스트 패턴 붕괴가 개선될 수 있다.
도 6은 본 발명의 실시예들에 따른 포토 리소그래피용 린스액을 이용하여 제조된 반도체 장치를 포함하는 전자 장치의 일 예를 나타내는 개략 블록도이다.
도 6을 참조하면, 본 발명의 개념에 따른 전자 장치(1100)는 컨트롤러(1110), 입출력 장치(1120, I/O), 기억 장치(1130, memory device), 인터페이스(1140) 및 버스(1150, bus)를 포함할 수 있다. 상기 컨트롤러(1110), 입출력 장치(1120), 기억 장치(1130) 및/또는 인터페이스(1140)는 상기 버스(1150)를 통하여 서로 결합 될 수 있다. 상기 버스(1150)는 데이터들이 이동되는 통로(path)에 해당한다.
상기 컨트롤러(1110)는 마이크로프로세서, 디지털 신호 프로세스, 마이크로컨트롤러, 및 이들과 유사한 기능을 수행할 수 있는 논리 소자들 중에서 적어도 하나를 포함할 수 있다. 상기 입출력 장치(1120)는 키패드(keypad), 키보드 및 디스플레이 장치 등을 포함할 수 있다. 상기 기억 장치(1130)는 데이터 및/또는 명령어 등을 저장할 수 있다. 상기 기억 장치(1130)는 본 발명의 실시예들에 따른 포토 리소그래피용 린스액을 이용하여 제조된 반도체 장치를 포함할 수 있다. 또한, 상기 기억 장치(1130)는 다른 형태의 반도체 메모리 장치를 더 포함할 수 있다. 상기 인터페이스(1140)는 통신 네트워크로 데이터를 전송하거나 통신 네트워크로부터 데이터를 수신하는 기능을 수행할 수 있다.
상기 전자 장치(1100)는 랩탑 컴퓨터, 개인 휴대용 정보 단말기(PDA, personal digital assistant) 포터블 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 전화기(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 다른 전자 제품에 적용될 수 있다.
도 7은 본 발명의 개념에 따른 반도체 장치를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다.
도 7을 참조하면, 상기 메모리 카드(1200)는 기억 장치(1210)를 포함한다. 상기 기억 장치(1210)는 본 발명의 개념에 따라 제조된 반도체 장치를 포함할 수 있다. 또한, 상기 기억 장치(1210)는 다른 형태의 반도체 메모리 장치를 더 포함할 수 있다. 상기 메모리 카드(1200)는 호스트(Host, 1230)와 상기 기억 장치(1210) 간의 데이터 교환을 제어하는 메모리 컨트롤러(1220)를 포함할 수 있다.
본 발명의 실시예들에 대한 이상의 설명은 본 발명의 설명을 위한 예시를 제공한다. 따라서 본 발명은 이상의 실시예들에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당해 기술 분야의 통상의 지식을 가진 자에 의하여 상기 실시예들을 조합하여 실시하는 등 여러 가지 많은 수정 및 변경이 가능함은 명백하다.

Claims (10)

  1. 탈이온수; 및
    고리형 아민(cyclic amine) 및 상기 고리형 아민과 결합된 적어도 하나의 고리형 화합물을 포함하는 계면활성제를 포함하되,
    상기 고리형 아민은 탄소수 4 내지 6인 고리를 가지고, 상기 고리형 화합물은 탄소수 5 내지 8인 고리를 가지는 포토 리소그래피용 린스액.
  2. 청구항 1에 있어서,
    상기 고리형 아민은 하기 화학식 1 내지 2 중 어느 하나인 포토 리소그래피용 린스액.
    화학식 1
    Figure pat00017


    화학식 2
    Figure pat00018

    R1은 수소 또는 탄소수 1 내지 10인 알킬기이다.
  3. 청구항 1에 있어서,
    상기 고리형 화합물은 방향족 고리 화합물 또는 지방족 고리 화합물인 포토 리소그래피용 린스액.
  4. 청구항 1에 있어서,
    상기 계면활성제는 상기 고리형 아민과 결합된 에스테르기를 더 포함하는 포토 리소그래피용 린스액.
  5. 청구항 4에 있어서,
    상기 계면활성제는 하기 화학식 3 내지 5 중 어느 하나를 포함하는 포토 리소그래피용 린스액.
    화학식 3
    Figure pat00019

    화학식 4
    Figure pat00020

    화학식 5
    Figure pat00021

    A1은 -Z1 또는 -C(=O)-Z2이고, Z1 및 Z2는 탄소수 2 내지 31인 알킬기 또는 플루오루로 치환된 알킬기이고, n은 1 내지 20의 정수이다.
  6. 청구항 4에 있어서,
    상기 계면활성제는 상기 에스테르기와 결합된 지방족 화합물을 더 포함하는 포토 리소그래피용 린스액.
  7. 청구항 6에 있어서,
    상기 계면활성제는 하기 화학식 6 내지 10 중 어느 하나인 포토 리소그래피용 린스액.
    화학식 6
    Figure pat00022

    화학식 7
    Figure pat00023

    화학식 8
    Figure pat00024

    화학식 9
    Figure pat00025

    화학식 10
    Figure pat00026

    R2 및 R3는 각각 수소 또는 플루오르이고, R4는 수소, 플루오르, 또는 탄소수 1 내지 10인 알킬기이고, n은 1 내지 20의 정수이다.
  8. 기판 상에 포토 레지스트를 도포하는 것;
    상기 포토 레지스트를 노광하고 현상하여 포토 레지스트 패턴을 형성하는 것; 및
    린스액을 이용하여 상기 포토 레지스트 패턴을 세정하는 것을 포함하되,
    상기 포토 레지스트 패턴을 형성하는 것은 NTD(negative-tone development) 공정을 포함하고, 상기 린스액은 유기용매인 반도체 장치의 제조방법.
  9. 청구항 8에 있어서,
    상기 유기용매는 아세톤, 에탄올, 이소프로판올, n-데칸, n-헵탄, n-헥산, n-옥탄, 퍼플루오르헵탄, 퍼플루오르헥산, 및 퍼플루오르옥탄 중 어느 하나인 반도체 장치의 제조방법.
  10. 기판 상에 포토 레지스트를 도포하는 것;
    상기 포토 레지스트를 노광하고 현상하여 포토 레지스트 패턴을 형성하는 것; 및
    린스액을 이용하여 상기 포토 레지스트 패턴을 세정하는 것을 포함하되,
    상기 린스액은:
    탈이온수; 및
    고리형 아민(cyclic amine) 및 상기 고리형 아민과 결합된 적어도 하나의 고리형 화합물을 포함하는 계면활성제를 포함하되,
    상기 고리형 아민은 탄소수 4 내지 6인 고리를 가지고, 상기 고리형 화합물은 탄소수 5 내지 8인 고리를 가지는 반도체 장치의 제조방법.
KR1020120086810A 2012-08-08 2012-08-08 포토 리소그래피용 린스액 KR101993360B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020120086810A KR101993360B1 (ko) 2012-08-08 2012-08-08 포토 리소그래피용 린스액
US13/962,173 US9158204B2 (en) 2012-08-08 2013-08-08 Photo lithographic rinse solution and method of manufacturing a semiconductor device using the same
US14/878,034 US20160026090A1 (en) 2012-08-08 2015-10-08 Method of manufacturing a semiconductor device using photolithographic rinse solution

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120086810A KR101993360B1 (ko) 2012-08-08 2012-08-08 포토 리소그래피용 린스액

Publications (2)

Publication Number Publication Date
KR20140020448A true KR20140020448A (ko) 2014-02-19
KR101993360B1 KR101993360B1 (ko) 2019-06-26

Family

ID=50066514

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120086810A KR101993360B1 (ko) 2012-08-08 2012-08-08 포토 리소그래피용 린스액

Country Status (2)

Country Link
US (2) US9158204B2 (ko)
KR (1) KR101993360B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170075438A (ko) * 2015-12-23 2017-07-03 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6012377B2 (ja) * 2012-09-28 2016-10-25 東京応化工業株式会社 レジストパターン形成方法
US10672619B2 (en) * 2016-12-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120002468A (ko) * 2010-06-30 2012-01-05 후지필름 가부시키가이샤 패턴 형성 방법 및 그 패턴 형성 방법에 사용되는 현상액

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7521405B2 (en) 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
JP4525885B2 (ja) 2001-01-12 2010-08-18 三菱瓦斯化学株式会社 フォトレジスト用現像液及びフォトレジストの現像方法
KR100811393B1 (ko) 2001-12-04 2008-03-07 주식회사 하이닉스반도체 패턴 붕괴 개선용 포토레지스트 첨가제 및 이를 함유하는포토레지스트 조성물
CN1240816C (zh) 2001-12-12 2006-02-08 海力士半导体有限公司 除去光致抗蚀剂的洗涤液
KR20050101458A (ko) 2004-04-19 2005-10-24 주식회사 하이닉스반도체 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성방법
KR100858594B1 (ko) 2004-04-23 2008-09-17 토쿄오오카코교 가부시기가이샤 레지스트 패턴 형성방법 및 복합 린스액
US7112289B2 (en) * 2004-11-09 2006-09-26 General Chemical Performance Products Llc Etchants containing filterable surfactant
JP4585299B2 (ja) 2004-12-09 2010-11-24 東京応化工業株式会社 リソグラフィー用リンス液及びそれを用いたレジストパターン形成方法
KR100694398B1 (ko) 2005-04-27 2007-03-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100840955B1 (ko) 2005-08-04 2008-06-24 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 아민 n-옥시드계 계면활성제
CN101657761B (zh) 2007-05-16 2012-07-04 株式会社德山 光刻胶显影液
WO2008142093A1 (en) * 2007-05-24 2008-11-27 Basf Se Chemical-mechanical polishing composition comprising metal-organic framework materials
JP5202258B2 (ja) * 2008-03-25 2013-06-05 富士フイルム株式会社 金属研磨用組成物、及び化学的機械的研磨方法
JP5311331B2 (ja) * 2008-06-25 2013-10-09 ルネサスエレクトロニクス株式会社 液浸リソグラフィの現像処理方法および該現像処理方法を用いた電子デバイス
TWI377451B (en) 2008-12-08 2012-11-21 Everlight Chem Ind Corp Developer composition
KR20100138541A (ko) 2009-06-25 2010-12-31 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120002468A (ko) * 2010-06-30 2012-01-05 후지필름 가부시키가이샤 패턴 형성 방법 및 그 패턴 형성 방법에 사용되는 현상액

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170075438A (ko) * 2015-12-23 2017-07-03 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법

Also Published As

Publication number Publication date
US20140045335A1 (en) 2014-02-13
US9158204B2 (en) 2015-10-13
KR101993360B1 (ko) 2019-06-26
US20160026090A1 (en) 2016-01-28

Similar Documents

Publication Publication Date Title
KR102083151B1 (ko) 린스 조성물, 레지스트 패턴의 형성 방법 및 반도체 디바이스의 제조 방법
TWI354871B (en) Novel photoresist material and photolithography pr
JPH0451020B2 (ko)
US20050036183A1 (en) Immersion fluid for immersion Lithography, and method of performing immersion lithography
CN107015433A (zh) 用于压印光刻的无缝大面积主模板的制造
JP2014514739A (ja) 集積回路デバイス、光デバイス、マイクロマシン及び線幅50nm以下のパターニングされた材料層を有する機械的精密デバイスの製造方法
KR101993360B1 (ko) 포토 리소그래피용 린스액
JP2005309260A (ja) リソグラフィー用リンス液
CN111799156A (zh) 高深宽比图形的形成方法
TW200625012A (en) Method for forming photoresist pattern by use of double-layer anti-reflection film
KR100574349B1 (ko) 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
KR100694398B1 (ko) 반도체 소자의 제조 방법
KR20160117192A (ko) 포토리소그래피용 현상액 및 레지스트 패턴 형성 방법
US9017931B2 (en) Patterning process and resist composition
Tsubaki et al. Negative-tone imaging with EUV exposure for 14nm hp and beyond
US20220057715A1 (en) Substrate treating composition and method for fabricating a semiconductor device using the same
CN106909032B (zh) 清洗液和通过使用其制造集成电路器件的方法
KR20210016274A (ko) 접착력이 향상된 반도체 구조물의 패터닝 공정
JP2015524577A5 (ko)
KR20050101458A (ko) 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성방법
TWI708999B (zh) 光阻組成物及形成光阻圖案的方法
Nakasugi The current status of nano-imprint lithography and its future outlook toward carbon neutrality by 2050
JPH1124285A (ja) レジスト用現像液
JPH0567028B2 (ko)
CN103955120A (zh) 一种半导体集成电路用显影液

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant