KR20130140394A - Substrate treating apparatus - Google Patents

Substrate treating apparatus Download PDF

Info

Publication number
KR20130140394A
KR20130140394A KR1020120063747A KR20120063747A KR20130140394A KR 20130140394 A KR20130140394 A KR 20130140394A KR 1020120063747 A KR1020120063747 A KR 1020120063747A KR 20120063747 A KR20120063747 A KR 20120063747A KR 20130140394 A KR20130140394 A KR 20130140394A
Authority
KR
South Korea
Prior art keywords
plate
substrate
link
chamber
pin
Prior art date
Application number
KR1020120063747A
Other languages
Korean (ko)
Other versions
KR101927429B1 (en
Inventor
박성진
이중언
이홍주
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020120063747A priority Critical patent/KR101927429B1/en
Publication of KR20130140394A publication Critical patent/KR20130140394A/en
Application granted granted Critical
Publication of KR101927429B1 publication Critical patent/KR101927429B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Abstract

The present invention relates to a substrate processing device. The substrate processing device according to one embodiment of the present invention comprises a chamber providing an internal space where a substrate is accommodated; a supporting member provided at the internal space and a substrate, which is inserted into the chamber, is positioned; and a plate comprising a lift pin assembly loading or unloading the substrate at the supporting member wherein the lift pin assembly includes lift pins and a pin driving unit elevating the lift pins, and the pin driving unit is combined with the lift pins at an upper surface; a link having one end rotatably and axially combined with the lower surface of the plate; a rotation plate rotating with a rotational axis at the center and the other end of the link is rotatably and axially combined at a space other than the rotational axis.

Description

기판 처리 장치{Substrate treating apparatus}Substrate treating apparatus

본 발명은 리프트 핀을 이용하여 기판의 로딩 및 언로딩을 보조하는 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus that assists in loading and unloading a substrate using lift pins.

반도체 소자 또는 액정 디스플레이를 제조하기 위해서, 포토리소그라피, 식각, 이온주입, 증착 그리고 세정의 다양한 공정들이 수행된다. 이러한 공정들 중 포토리소그라피 공정은 기판 상에 원하는 패턴을 형성시킨다.Various processes of photolithography, etching, ion implantation, deposition, and cleaning are performed to fabricate semiconductor devices or liquid crystal displays. Photolithography of these processes forms the desired pattern on the substrate.

포토리소그라피 공정은 기판 상에 포토 레지스트과 같은 약액을 도포하는 도포공정, 도포된 감광막 위에 특정 패턴을 형성하는 노광공정, 그리고 노광된 감광막에 불필요한 영역을 제거하는 현상공정이 순차적으로 이루어진다.In the photolithography process, a coating process for applying a chemical solution such as a photoresist on a substrate, an exposure process for forming a specific pattern on the coated photoresist film, and a developing process for removing an unnecessary region in the exposed photoresist film are sequentially performed.

각각 공정들은 챔버 내에서 수행된다. 기판은 로봇 암에 의해서 하나의 챔버에서 다음 챔버로 이송된다. 로봇 암에 의해 기판이 챔버에서 반출 되거나, 챔버로 반입될 때, 리프트 핀이 기판의 언로딩 및 로딩을 보조한다. Each process is performed in a chamber. The substrate is transferred from one chamber to the next by the robot arm. When the substrate is taken out of the chamber by the robot arm or brought into the chamber, the lift pin assists in unloading and loading the substrate.

도 1은 일반적인 기판 처리 장치에 제공되는 핀 구동 유닛를 보여주는 도면이다.1 is a view showing a pin drive unit provided in a general substrate processing apparatus.

도 1을 참조하면, 기판 처리 장치(1)는 챔버(2), 지지부재(3) 및 핀 구동 유닛(4)을 포함한다.Referring to FIG. 1, the substrate processing apparatus 1 includes a chamber 2, a support member 3, and a pin drive unit 4.

핀 구동 유닛(4)은 구동부재(5), 승강 로드(6) 및 플레이트(7)를 포함한다.The pin drive unit 4 comprises a drive member 5, a lifting rod 6 and a plate 7.

플레이트(7)의 상면에는 리프트 핀들(8)이 제공된다. 플레이트(7)의 이동에 따라 리프트 핀들(8)이 상하로 이동된다.Lift pins 8 are provided on the upper surface of the plate 7. As the plate 7 moves, the lift pins 8 move up and down.

승강로드(6)는 플레이트(7)의 하면을 지지한다. 승강로드(6)의 하단은 구동부재(5)에 연결된다. 승강로드(6)는 구동부재(5)에 의해 상하로 이동된다.The lifting rod 6 supports the lower surface of the plate 7. The lower end of the elevating rod 6 is connected to the drive member 5. The lifting rod 6 is moved up and down by the driving member 5.

기판 처리 장치(1)에 따라, 챔버(2)에서 처리되는 기판의 크기는 상이할 수 있다. 기판의 크기에 따라서, 기판 처리 장치(1)에 제공되는 리프트 핀(8), 플레이트(7) 또는 승강로드(6)의 크기 및 무게가 다르게 제공될 수 있다. 구동부재(5)는 승강로드에 작용하는 힘을 정밀 제어하여, 승강로드(6)를 상하로 이동시킨다. 기판, 리프트 핀(8), 플레이트(7) 또는 승강로드(6)의 무게는 구동부재(5)에 부하로 작용한다. 기판, 리프트 핀(8), 플레이트(7) 또는 승강로드(6)의 무게에 따라, 구동부재(5)가 승강로드(6)에 가하는 힘을 조절해야 한다.Depending on the substrate processing apparatus 1, the size of the substrate processed in the chamber 2 may be different. Depending on the size of the substrate, the size and weight of the lift pin 8, the plate 7 or the lifting rod 6 provided to the substrate processing apparatus 1 may be provided differently. The drive member 5 precisely controls the force acting on the lifting rod, and moves the lifting rod 6 up and down. The weight of the substrate, the lift pin 8, the plate 7 or the lifting rod 6 acts as a load on the drive member 5. Depending on the weight of the substrate, lift pin 8, plate 7 or elevating rod 6, the force exerted by the drive member 5 on the elevating rod 6 must be adjusted.

본 발명은 처리되는 기판의 무게가 변하여도 리프트 핀의 위치를 간단히 제어할 수 있는 기판 처리 장치를 제공하기 위한 것이다.The present invention is to provide a substrate processing apparatus that can easily control the position of the lift pin even if the weight of the substrate to be processed changes.

본 발명의 일 측면에 따르면, 기판이 수용되는 내부공간을 제공하는 챔버; 상기 내부공간에 제공되며, 그 상면에 상기 챔버로 반입된 기판이 위치되는 지지부재; 및 상기 기판을 상기 지지부재에 로딩 또는 언로딩 시키는 리프트 핀 어셈블리를 포함하고, 상기 리프트 핀 어셈블리는, 리프트 핀들과 상기 리프트 핀들을 승강시키는 핀 구동 유닛을 포함하고, 상기 핀 구동 유닛은, 그 상면에 상기 리프트 핀들이 결합되는 플레이트; 일단이 상기 플레이트의 하면에 회전 가능하게 축 결합 되는 링크; 회전축을 중심으로 회전되도록 제공되고, 상기 회전축 이외의 지점에서 상기 링크의 타단이 회전 가능하게 축 결합 되는 회전 플레이트를 포함하는 기판 처리 장치가 제공될 수 있다.According to an aspect of the invention, the chamber for providing an interior space in which the substrate is accommodated; A support member provided in the inner space and having a substrate loaded into the chamber on an upper surface thereof; And a lift pin assembly for loading or unloading the substrate onto the support member, wherein the lift pin assembly includes lift pins and a pin drive unit for lifting the lift pins, the pin drive unit having an upper surface thereof. A plate to which the lift pins are coupled; A link one end of which is rotatably coupled to a lower surface of the plate; A substrate processing apparatus may be provided to be rotated about a rotation axis, and include a rotation plate on which the other end of the link is axially rotatable at a point other than the rotation axis.

또한, 상기 링크에서, 양단의 축 결합된 곳 사이에는 제 1 라인이 제공되고,Further, in the link, a first line is provided between the axially coupled ends of the both ends,

상기 제 1 라인은 상기 회전축에서 상기 회전 플레이트와 상기 링크가 축 결합 된 곳 사이에 형성되는 제 2 라인 보다 길게 제공될 수 있다.The first line may be provided longer than a second line formed between the rotation plate and the link where the link is axially coupled to the rotation shaft.

또한, 상기 핀 구동 유닛은, 상기 회전축에서 상기 회전 플레이트와 결합 되어, 상기 회전 플레이트를 회전시키는 구동 부재를 더 포함할 수 있다.The pin driving unit may further include a driving member coupled to the rotating plate on the rotating shaft to rotate the rotating plate.

또한, 상기 구동 부재는, 서보 모터로 제공되고, 상기 기판 처리 장치는, 상기 서보 모터를 제어하는 제어부를 더 포함할 수 있다.The driving member may be provided to a servo motor, and the substrate processing apparatus may further include a controller for controlling the servo motor.

또한, 상기 제어부는, 상기 제 1 라인 및 상기 제 2 라인의 길이와, 상기 제 1 라인 및 상기 제 2 라인이 이루는 각도를 이용해 상기 회전축에서 상기 플레이트까지의 거리를 계산할 수 있다.The controller may calculate a distance from the rotation axis to the plate using lengths of the first line and the second line and angles formed by the first line and the second line.

본 발명의 일 실시 예에 의하면, 처리되는 기판의 무게 등이 변하여도 리프트 핀의 위치를 간단히 제어할 수 있다.According to one embodiment of the present invention, the position of the lift pin can be easily controlled even if the weight of the processed substrate changes.

또한, 본 발명의 일 실시 예에 의하면, 핀 구동 유닛의 치수가 변경된 경우, 이를 간단히 제어부의 데이터 값에 반영할 수 있다.In addition, according to an embodiment of the present disclosure, when the size of the pin driving unit is changed, this may be simply reflected in the data value of the controller.

도 1은 일반적인 핀 구동 유닛이 제공된 기판 처리 장치를 나타내는 도면이다.
도 2는 본 발명의 일 실시예에 따른 핀 구동 유닛이 제공된 기판 처리 장치를 나타내는 도면이다.
도 3은 도 2의 기판 처리 장치에 제공된 핀 구동 유닛을 나타내는 도면이다.
도 4는 도 3의 핀 구동 유닛에서 리프트 핀이 상승되는 상태를 나타내는 도면이다.
도 5는 도 3의 핀 구동 유닛에서 리프트 핀이 하강되는 상태를 나타내는 도면이다.
도 6은 다른 실시 예에 따른 핀 구동 유닛이 제공된 기판 처리 장치를 나타내는 도면이다.
1 is a view showing a substrate processing apparatus provided with a general pin drive unit.
2 is a view showing a substrate processing apparatus provided with a pin driving unit according to an embodiment of the present invention.
3 is a diagram illustrating a pin drive unit provided in the substrate processing apparatus of FIG. 2.
4 is a diagram illustrating a state in which a lift pin is raised in the pin driving unit of FIG. 3.
5 is a view illustrating a state in which the lift pin is lowered in the pin driving unit of FIG. 3.
6 is a diagram illustrating a substrate processing apparatus provided with a pin driving unit according to another exemplary embodiment.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments of the present invention can be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Thus, the shape of the elements in the figures has been exaggerated to emphasize a clearer description.

도 2는 본 발명의 일 실시 예에 따른 핀 구동 유닛이 제공된 기판 처리 장치를 나타내는 도면이다.2 is a diagram illustrating a substrate processing apparatus provided with a pin driving unit according to an embodiment of the present disclosure.

도 2를 참조하면, 기판 처리 장치(10)는 챔버(100), 지지부재(200) 및 리프트 핀 어셈블리(300)를 포함한다.Referring to FIG. 2, the substrate processing apparatus 10 includes a chamber 100, a support member 200, and a lift pin assembly 300.

챔버(100)는 기판 처리 장치(10)의 외관을 형성한다. 또한, 챔버(100)는 기판이 처리되는 내부공간이 형성되도록 제공된다. 챔버(100)의 일면에는 기판이 반입되는 반입구(110)가 형성된다. 반입구(110)에는 도어(120)가 제공된다. 도어(120)는 반입구(110)를 개폐한다. 도어(120)가 열려 반입구(110)가 개방되면, 기판이 반입구(110)를 통해서 챔버(100) 내부공간으로 반입된다. 기판이 챔버(100) 내부공간에서 처리되는 동안, 도어(120)는 반입구(110)를 차폐한다. The chamber 100 forms the exterior of the substrate processing apparatus 10. In addition, the chamber 100 is provided such that an internal space in which the substrate is processed is formed. One surface of the chamber 100 is formed with an inlet 110 through which the substrate is carried. The entrance 110 is provided with a door 120. The door 120 opens and closes the inlet 110. When the door 120 is opened to open the inlet 110, the substrate is brought into the interior space of the chamber 100 through the inlet 110. While the substrate is processed in the chamber 100, the door 120 shields the inlet 110.

챔버(100) 또는 도어(120)에는, 실링부재(130)가 제공된다. 실링부재(130)는 챔버(100)와 도어(120)가 서로 대향하는 면에 위치된다. 실링부재(130)는 도어(120)가 반입구(110)를 차폐한 상태에서, 외부 기체 또는 이물질이 반입구(110)로 유입되는 것을 차단한다.The chamber 100 or the door 120 is provided with a sealing member 130. The sealing member 130 is located on a surface where the chamber 100 and the door 120 face each other. The sealing member 130 blocks external gas or foreign matter from entering the inlet 110 while the door 120 shields the inlet 110.

지지부재(200)는 챔버(100)의 내부공간에 위치된다. 챔버(100)로 반입된 기판은 지지부재(200)에 위치된다. 지지부재(200)에는 온도조절부재(210)가 제공된다. 온도조절부재(210)는 지지부재(200) 또는 기판과 열전도 가능하게 제공된다. 온도조절부재(210)는 지지부재(200)의 내부에 제공되거나, 지지부재(200)의 하면에 접하게 제공된다. 기판 처리 장치(10)는 기판을 가열하는 베이크 공정 또는 냉각 공정을 수행한다. 이하, 온도조절부재(210)가 히터로 제공되어, 기판 처리 장치(10)는 베이크 공정을 수행하는 경우를 예로 들어 설명한다. 베이크 공정은 기판 상에 감광액의 도포 전후에 수행될 수 있다. 또한, 형상공정의 전후에 수행될 수 있다.The support member 200 is located in the inner space of the chamber 100. The substrate loaded into the chamber 100 is located in the support member 200. The support member 200 is provided with a temperature regulating member 210. The temperature control member 210 is provided to be capable of conducting heat with the support member 200 or the substrate. The temperature control member 210 is provided inside the support member 200 or in contact with the bottom surface of the support member 200. The substrate processing apparatus 10 performs a baking process or a cooling process of heating a substrate. Hereinafter, the temperature regulating member 210 is provided as a heater, and the substrate processing apparatus 10 will be described using an example of performing a baking process. The baking process may be performed before or after the application of the photosensitive liquid on the substrate. It may also be carried out before and after the shaping process.

또한, 본 발명의 실시 예에 따른 기판 처리 장치(10)는, 베이크 공정을 수행하는 장치 외에도 증착, 식각, 가스 공급 공정을 수행하는 장치에도 적용될 수 있다.In addition, the substrate processing apparatus 10 according to an exemplary embodiment of the present invention may be applied to an apparatus for performing a deposition, etching, and gas supply process, in addition to an apparatus for performing a baking process.

또한, 본 발명의 실시 예에 따른 기판 처리 장치(10)에서 처리되는 기판은 반도체용 웨이퍼 또는 디스플레이용 글라스일 수 있다.In addition, the substrate processed in the substrate processing apparatus 10 according to the embodiment of the present invention may be a semiconductor wafer or a display glass.

지지부재(200)는 고정부재(220)에 의해 챔버(100)의 하면에서 상부로 이격되게 제공된다. 고정부재(220)는 제 1 고정판(221) 및 제 2 고정판(222)을 포함한다. 제 1 고정판(221)은 지지부재(200)의 상면 외곽을 지지하고, 제 2 고정판(222)은 지지부재(200)의 하면 외곽을 지지한다. 지지부재(200)의 측면은 챔버(100)의 내면에서 이격 된다. 따라서, 지지부재(200)는 뒤틀림 없이 팽창 또는 수축 가능하다.The support member 200 is provided to be spaced apart from the lower surface of the chamber 100 by the fixing member 220. The fixing member 220 includes a first fixing plate 221 and a second fixing plate 222. The first fixing plate 221 supports the upper edge of the support member 200, and the second fixing plate 222 supports the lower edge of the support member 200. Side surfaces of the support member 200 are spaced apart from the inner surface of the chamber 100. Thus, the support member 200 can be expanded or contracted without distortion.

챔버(100)의 일 측면에는 배기관(230)이 연결된다. 배기관(230)에는 배기부재(231)가 제공된다. 배기부재(231)는 챔버(100)의 내부공간 기체를 흡입하는 펌프로 제공될 수 있다. 기판의 베이크 공정 중 발생하는 파티클 또는 흄은 배기관(230)을 통해서 챔버(100)외부로 배기된다.An exhaust pipe 230 is connected to one side of the chamber 100. The exhaust pipe 230 is provided with an exhaust member 231. The exhaust member 231 may be provided as a pump for sucking the gas inside the chamber 100. Particles or fumes generated during the baking process of the substrate are exhausted outside the chamber 100 through the exhaust pipe 230.

도 3은 도 2의 기판 처리 장치에 제공된 핀 구동 유닛을 나타내는 도면이다.3 is a diagram illustrating a pin drive unit provided in the substrate processing apparatus of FIG. 2.

도 2 및 도 3을 참조하면, 리프트 핀 어셈블리(300)는 리프트 핀들(301) 및 핀 구동 유닛(310)을 포함한다.2 and 3, the lift pin assembly 300 includes lift pins 301 and a pin drive unit 310.

핀 구동 유닛(310)은 플레이트(320), 링크(330), 회전플레이트(340) 및 구동 부재(350)를 포함한다.The pin drive unit 310 includes a plate 320, a link 330, a rotation plate 340, and a driving member 350.

리프트 핀(301)은 지지부재(200)에 형성된 홀(201)에 위치된다. 챔버(100)의 내부공간으로 반입된 기판은 지지부재(200)의 상부로 상승한 리프트 핀(301)에 놓여진다. 리프트 핀(301)에 기판이 놓여진 후, 리프트 핀(301)은 지지부재(200) 아래로 이동되어, 기판은 지지부재(200)의 상면에 위치된다.The lift pin 301 is located in the hole 201 formed in the support member 200. The substrate carried into the inner space of the chamber 100 is placed on the lift pin 301 that is raised to the upper portion of the support member 200. After the substrate is placed on the lift pin 301, the lift pin 301 is moved under the support member 200, so that the substrate is positioned on the upper surface of the support member 200.

플레이트(320)는 지지부재(200)의 하부에 위치된다. 플레이트(320)의 상면에는 리프트 핀(301)들이 결합된다. The plate 320 is located under the support member 200. Lift pins 301 are coupled to an upper surface of the plate 320.

링크(330)는 플레이트(320)의 하부에 제공된다. 플레이트(320)의 하면에는 연결부(322)가 제공된다. 연결부(322)는 플레이트(320)의 하면에서 하방으로 돌출되도록 제공된다. 연결부(322)는 플레이트(320)와 일체로 형성되거나, 플레이트(320)와 따라 제공된 후 플레이트(320)에 부착될 수 있다. 링크(330)의 양단은 각각 연결부(322)와 회전플레이트(340)에 회전 가능하게 축 결합된다. 링크(330)의 양단에서 축 결합 된 지점을 잊는 선은 가상선인 제 1 라인(L1)을 이룬다.The link 330 is provided at the bottom of the plate 320. The lower surface of the plate 320 is provided with a connecting portion 322. The connection part 322 is provided to protrude downward from the lower surface of the plate 320. The connection part 322 may be integrally formed with the plate 320 or provided with the plate 320 and then attached to the plate 320. Both ends of the link 330 are rotatably coupled to the connecting portion 322 and the rotating plate 340, respectively. The line forgetting the axially coupled points at both ends of the link 330 forms the first line L1 which is an imaginary line.

회전플레이트(340)는 링크(330)와 축 결합된 곳 이외의 지점인 회전축(C)에서 구동 부재(350)에 연결된다. 회전축(C)에서 회전플레이트(340)와 링크(330)가 축 결합된 지점까지는 가상선인 제 2 라인(L2)을 이룬다. 구동 부재(350)는 제어부(미도시)에 연결된다. 제어부는 구동부재(350)를 제어하여, 회전플레이트(340)를 일정각도 회전시킨다.Rotating plate 340 is connected to the drive member 350 in the rotation axis (C) which is a point other than the shaft coupled to the link 330. From the rotation axis (C) to the point where the rotation plate 340 and the link 330 is axially coupled to form a second line (L2) which is an imaginary line. The driving member 350 is connected to a controller (not shown). The controller controls the driving member 350 to rotate the rotation plate 340 at a predetermined angle.

도 4는 도 3의 핀 구동 유닛에서 리프트 핀이 상승되는 상태를 나타내는 도면이고, 도 5는 도 3의 핀 구동 유닛에서 리프트 핀이 하강되는 상태를 나타내는 도면이다.4 is a view illustrating a state in which the lift pin is raised in the pin drive unit of FIG. 3, and FIG. 5 is a view illustrating a state in which the lift pin is lowered in the pin drive unit of FIG. 3.

도 4 및 도 5를 참조하면, 제 1 라인(L1)과 제 2 라인(L2)사이에는 각도(θ)가 형성된다. 회전플레이트(340)의 회전에 따라, 각도(θ)는 0°에서 180°사이에서 변한다. 각도(θ)가 증가 되면, 플레이트(320) 및 리프트 핀(301)은 상승 되고, 각도(θ)가 감소 되면, 플레이트(320) 및 리프트 핀(301)은 하강한다. 플레이트(320)가 가장 아래쪽에 위치될 때 각도(θ)는 0°가 되고, 플레이트(320)가 가장 위쪽에 위치될 때 각도(θ)는 180°가 된다. 제어부는 회전 플레이트(320)가 회전된 정도에 대응하는 각도(θ)를 데이터 테이블로 내장하고 있다.4 and 5, an angle θ is formed between the first line L1 and the second line L2. As the rotation plate 340 rotates, the angle θ varies between 0 ° and 180 °. When the angle θ is increased, the plate 320 and the lift pin 301 are raised, and when the angle θ is decreased, the plate 320 and the lift pin 301 are lowered. The angle θ becomes 0 ° when the plate 320 is located at the bottom, and the angle θ is 180 ° when the plate 320 is located at the top. The controller incorporates an angle θ corresponding to the degree of rotation of the rotating plate 320 as a data table.

회전축(C)에서 연결부(322)와 링크(330)가 축 결합 된 곳까지는 제 3 라인(L3)을 이룬다. 플레이트(320)의 높이 및 리프트 핀(301)의 위치는 제 3 라인(L3)의 길이에 대응한다. 제 3 라인(L3)의 길이는 제 1 라인(L1), 제 2 라인(L2) 및 각도(θ)를 이용하여 코사인 법칙으로 계산 가능하다. 제어부는 연결부(322)에서 플레이트(320)의 상면 또는 리프트 핀(301)의 단부까지의 거리를 내장하고 있다. 제어부는 각도(θ)의 크기를 이용하여 리프트 핀(301)의 위치를 제어 한다. 일 예로, 구동부재(350)는 서보 모터로 제공될 수 있다. 제어부는 서보 모터의 회전에 따라 회전 플레이트(330)와 링크(330)가 결합된 곳의 위치를 산출할 수 있다. 이에 따라, 제어부는 각도(θ), 제 3 라인(L3)의 길이 및 리프트 핀(301)의 위치를 순차적으로 계산할 수 있다. 또한, 작업자에 의해 리프트 핀(301)의 위치가 입력되면, 이에 대응하는 각도(θ)가 되도록 서보 모터를 이용해 회전 플레이트(330)를 회전할 수 있다.A third line L3 is formed from the rotation shaft C to a place where the connection portion 322 and the link 330 are coupled to the shaft. The height of the plate 320 and the position of the lift pin 301 correspond to the length of the third line L3. The length of the third line L3 can be calculated by the cosine law using the first line L1, the second line L2, and the angle θ. The controller incorporates a distance from the connecting portion 322 to the upper surface of the plate 320 or the end of the lift pin 301. The controller controls the position of the lift pin 301 using the size of the angle θ. For example, the driving member 350 may be provided as a servo motor. The controller may calculate the position of the place where the rotation plate 330 and the link 330 are coupled according to the rotation of the servo motor. Accordingly, the controller may sequentially calculate the angle θ, the length of the third line L3, and the position of the lift pin 301. In addition, when the position of the lift pin 301 is input by the operator, the rotating plate 330 may be rotated by using a servo motor to have a corresponding angle θ.

본 발명의 일 실시 예에 의하면, 리프트 핀(301)의 위치는 구동부재(350)가 회전된 각도, 제 1 라인(L1)의 길이 및 제 2 라인(L2)의 길이에 따라서 변한다. 따라서, 기판 처리 장치(10)에서 처리되는 기판, 플레이트(320)의 무게 등이 변하여도 핀 구동 유닛(310)의 제어 방법은 변하지 않는다. 따라서, 핀 구동 유닛(310)을 제어하는 제어부의 데이터 값을 변경할 필요가 없다.According to an embodiment of the present invention, the position of the lift pin 301 is changed according to the angle at which the driving member 350 is rotated, the length of the first line L1 and the length of the second line L2. Therefore, even if the weight of the substrate, the plate 320, or the like processed in the substrate processing apparatus 10 changes, the control method of the pin driving unit 310 does not change. Therefore, it is not necessary to change the data value of the controller that controls the pin drive unit 310.

또한, 제 1 라인(L1)의 길이 및 제 2 라인(L2)의 길이는 간단히 측정 가능하다. 따라서, 제 1 라인(L1)의 길이 또는 제 2 라인(L2)의 길이가 변경되어도, 이를 제어부의 데이터 값에 간단히 반영 가능하다.In addition, the length of the first line L1 and the length of the second line L2 can be easily measured. Therefore, even if the length of the first line L1 or the length of the second line L2 is changed, this can be simply reflected in the data value of the controller.

도 6은 다른 실시 예에 따른 핀 구동 유닛이 제공된 기판 처리 장치를 나타내는 도면이다.6 is a diagram illustrating a substrate processing apparatus provided with a pin driving unit according to another exemplary embodiment.

도 6을 참조하면, 기판 처리 장치(10)는 챔버(400), 지지부재(500) 및 리프트 핀 어셈블리(600)를 포함한다.Referring to FIG. 6, the substrate processing apparatus 10 includes a chamber 400, a support member 500, and a lift pin assembly 600.

리프트 핀 어셈블리(600)는 리프트 핀들(601) 및 핀 구동 유닛(600)을 포함 한다.The lift pin assembly 600 includes lift pins 601 and a pin drive unit 600.

핀 구동 유닛(600)은 챔버(400)의 외부에 위치된다. 핀 구동 유닛(600)은 프레이트(620), 링크(630), 회전 플레이트(640) 및 구동부재(650)를 포함한다. 플레이트(610)의 상면에 제공되는 리프트 핀(601)은 챔버(400)에 형성된 홀(401) 및 지지부재(500)에 형성된 홀(501)에 위치된다. 리프트 핀(601)은 챔버(400)의 외면에서 지지부재(500)의 상면까지 거리보다 길게 제공된다.The pin drive unit 600 is located outside the chamber 400. The pin drive unit 600 includes a plate 620, a link 630, a rotating plate 640, and a driving member 650. The lift pins 601 provided on the upper surface of the plate 610 are located in the holes 401 formed in the chamber 400 and the holes 501 formed in the support member 500. The lift pin 601 is provided longer than the distance from the outer surface of the chamber 400 to the upper surface of the support member 500.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The foregoing detailed description is illustrative of the present invention. In addition, the foregoing is intended to illustrate and explain the preferred embodiments of the present invention, and the present invention may be used in various other combinations, modifications, and environments. That is, it is possible to make changes or modifications within the scope of the concept of the invention disclosed in this specification, within the scope of the disclosure, and / or within the skill and knowledge of the art. The embodiments described herein are intended to illustrate the best mode for implementing the technical idea of the present invention and various modifications required for specific applications and uses of the present invention are also possible. Accordingly, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. It is also to be understood that the appended claims are intended to cover such other embodiments.

100: 챔버 200: 지지부재
300: 리프트 핀 어셈블리 310: 핀 구동 유닛
320: 플레이트 330: 링크
340: 회전플레이트 350: 구동 부재
100: chamber 200: support member
300: lift pin assembly 310: pin drive unit
320: plate 330: link
340: rotation plate 350: drive member

Claims (2)

기판이 수용되는 내부공간을 제공하는 챔버;
상기 내부공간에 제공되며, 그 상면에 상기 챔버로 반입된 기판이 위치되는 지지부재; 및
상기 기판을 상기 지지부재에 로딩 또는 언로딩 시키는 리프트 핀 어셈블리를 포함하고,
상기 리프트 핀 어셈블리는,
리프트 핀들과 상기 리프트 핀들을 승강시키는 핀 구동 유닛을 포함하고,
상기 핀 구동 유닛은,
그 상면에 상기 리프트 핀들이 결합되는 플레이트;
일단이 상기 플레이트의 하면에 회전 가능하게 축 결합 되는 링크;
회전축을 중심으로 회전되도록 제공되고, 상기 회전축 이외의 지점에서 상기 링크의 타단이 회전 가능하게 축 결합 되는 회전 플레이트를 포함하는 기판 처리 장치.
A chamber providing an inner space in which the substrate is accommodated;
A support member provided in the inner space and having a substrate loaded into the chamber on an upper surface thereof; And
A lift pin assembly for loading or unloading the substrate onto the support member;
The lift pin assembly,
A pin drive unit for lifting lift pins and the lift pins,
The pin drive unit,
A plate to which the lift pins are coupled to an upper surface thereof;
A link one end of which is rotatably coupled to a lower surface of the plate;
And a rotation plate provided to be rotated about a rotation axis, the rotation plate being rotatably coupled to the other end of the link at a point other than the rotation axis.
제 1 항에 있어서,
상기 링크에서, 양단의 축 결합된 곳 사이에는 제 1 라인이 제공되고,
상기 제 1 라인은 상기 회전축에서 상기 회전 플레이트와 상기 링크가 축 결합 된 곳 사이에 형성되는 제 2 라인 보다 길게 제공되는 기판 처리 장치.
The method of claim 1,
In the link, a first line is provided between the axially coupled ends of the two ends,
And the first line is provided longer than a second line formed between the rotating plate and the link where the link is axially coupled to the rotating shaft.
KR1020120063747A 2012-06-14 2012-06-14 Substrate treating apparatus KR101927429B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120063747A KR101927429B1 (en) 2012-06-14 2012-06-14 Substrate treating apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120063747A KR101927429B1 (en) 2012-06-14 2012-06-14 Substrate treating apparatus

Publications (2)

Publication Number Publication Date
KR20130140394A true KR20130140394A (en) 2013-12-24
KR101927429B1 KR101927429B1 (en) 2018-12-10

Family

ID=49985023

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120063747A KR101927429B1 (en) 2012-06-14 2012-06-14 Substrate treating apparatus

Country Status (1)

Country Link
KR (1) KR101927429B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200068110A (en) * 2018-11-22 2020-06-15 사이언테크 코포레이션 Apparatus for collecting fluid

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11145025A (en) * 1997-11-10 1999-05-28 Tokyo Ohka Kogyo Co Ltd Baking oven
KR20070010667A (en) * 2005-07-19 2007-01-24 삼성전자주식회사 Semiconductor manufacturing equipment employing lift apparatus
KR100832802B1 (en) * 2006-11-27 2008-05-27 세메스 주식회사 Substrate processing apparatus and method for driving lift pin of the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11145025A (en) * 1997-11-10 1999-05-28 Tokyo Ohka Kogyo Co Ltd Baking oven
KR20070010667A (en) * 2005-07-19 2007-01-24 삼성전자주식회사 Semiconductor manufacturing equipment employing lift apparatus
KR100832802B1 (en) * 2006-11-27 2008-05-27 세메스 주식회사 Substrate processing apparatus and method for driving lift pin of the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200068110A (en) * 2018-11-22 2020-06-15 사이언테크 코포레이션 Apparatus for collecting fluid

Also Published As

Publication number Publication date
KR101927429B1 (en) 2018-12-10

Similar Documents

Publication Publication Date Title
JPH08313855A (en) Heat treating device
JPH08124818A (en) Heat treatment device
KR101740480B1 (en) Teaching method and substrate treating apparatus using the same
KR102315667B1 (en) Method and Apparatus for treating substrate
US10274827B2 (en) Substrate treating apparatus and substrate treating method
KR101870659B1 (en) Apparatus and Method for treating substrate
KR102315662B1 (en) Substrate treating apparatus and method
KR101927429B1 (en) Substrate treating apparatus
KR102415320B1 (en) Unit for supporting substrate, Apparatus for treating substrate, and Method for treating substrate
JP2018041754A (en) Substrate cleaning device and substrate processing apparatus including the same
KR20140055900A (en) Substrate treating apparatus and substrate baking method
KR20190080326A (en) Apparatus and Method for treating substrate
JP2003017430A (en) Heat treatment device of substrate
KR102403200B1 (en) Unit for supporting substrate, Apparatus for treating substrate, and Method for treating substrate
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
KR101909481B1 (en) Bake unit, Apparatus and method for treating substrate with the unit
KR101776018B1 (en) Method for heating a substrate and Apparatus for treating a substrate
KR102289486B1 (en) Apparatus and Method for treating substrate
KR102298083B1 (en) Method and Apparatus for treating substrate
KR102041319B1 (en) Unit for controling exhaust, apparatus and method for treating substrate using the same
KR102258508B1 (en) Substrate Transferring Device and Method for Treating Substrate using the Substrate Transferring Device
KR102037914B1 (en) Substrate treating apparatus
KR20180122518A (en) Apparatus for treating a substrate
JP2000243687A (en) Apparatus and method for regulating temperature of substrate, and for processing substrate
KR101853373B1 (en) Substrate treating apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant