KR20120082640A - 플라즈마 기판 처리 장치 및 방법 - Google Patents

플라즈마 기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR20120082640A
KR20120082640A KR1020110004040A KR20110004040A KR20120082640A KR 20120082640 A KR20120082640 A KR 20120082640A KR 1020110004040 A KR1020110004040 A KR 1020110004040A KR 20110004040 A KR20110004040 A KR 20110004040A KR 20120082640 A KR20120082640 A KR 20120082640A
Authority
KR
South Korea
Prior art keywords
electrode
auxiliary
main
plasma
chamber
Prior art date
Application number
KR1020110004040A
Other languages
English (en)
Other versions
KR101529578B1 (ko
Inventor
한전건
최인식
최윤석
진수봉
김연준
배은현
Original Assignee
성균관대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 성균관대학교산학협력단 filed Critical 성균관대학교산학협력단
Priority to KR1020110004040A priority Critical patent/KR101529578B1/ko
Publication of KR20120082640A publication Critical patent/KR20120082640A/ko
Application granted granted Critical
Publication of KR101529578B1 publication Critical patent/KR101529578B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 일 측면에 따른 플라즈마 기판 처리 장치는, 챔버; 상기 챔버 내부에 상기 기판을 기준으로 상호 수직 대향 배치된 상부 전극 및 하부 전극을 포함하는 주 전극; 상기 챔버 내부에 상기 주 전극과 교차되어 상기 기판의 배치 방향과 수평한 방향으로 상호 대향 배치되며 제1 전극 및 제2 전극을 포함하는 보조 전극; 및 상기 주 전극 및 상기 보조전극에 전력을 공급하는 전력공급부를 포함하고, 상기 제1 전극과 상기 제2 전극 사이의 간격은 상기 기판의 너비와 같거나 길게 구비된다.

Description

플라즈마 기판 처리 장치 및 방법{APPARATUS AND METHOD FOR TREATING SUBSTRATE USING PLASMA}
본 발명은 플라즈마 기판 처리 장치 및 방법에 관한 것으로, 더욱 상세하게는 PECVD(Plasma Enhanced Chemical Vapor Deposition) 방식을 이용하여 박막을 증착하는 플라즈마 기판 처리 장치 및 방법에 관한 것이다.
플라즈마(Plasma)는 이온화된 가스 상태를 의미하는데, 전기적 성질 및 열적 성질이 정상 상태의 기체와는 매우 상이하기 때문에 물질읠 제4 상태라고 칭하기도 한다.
이러한 플라즈마를 이용하여 기판의 표면에 화합물을 증착시키는 장치가 많이 개발되었는데 이러한 장치를 일반적으로 PECVD: Plasma Enhanced Chemical Vapor Deposition 이라고 한다.
PECVD 장치는 챔버 내부에서 높은 에너지의 전자 충돌에 의해 형성된 가스 플라즈마를 공급하여 주입 가스를 보다 효과적으로 화학 반응시켜 기판 표면에 박막을 증착시키는 장치이다.
이러한 PECVD 장치는 플라즈마를 이용하여 화학 반응을 촉진함으로써 필요한 열에너지를 상당히 줄일 수 있어 열에 의한 기판 손상을 해결할 수 있기 때문에 평판표시장치에 사용되는 유기발광소자 및 액정표시소자 등의 절연막, 금속막, 유기막 등의 박막을 형성하는데 이용되고 있다.
그러나 종래의 PECVD 장치들은 기판의 박막 증착 속도가 매우 느리기 때문에 생산성을 제한하는 용인이 되고 있고, 또한 플라즈마 생성 효율성이 낮아 박막의 품질이 저하되는 문제점이 있다.
본 발명은 상술한 문제점을 해결하기 위한 것으로써, 결정질 실리콘, 실리콘 옥사이드 등의 다양한 박막 증착 속도를 높일 수 있는 PECVD 방식의 플라즈마 기판 처리 장치 및 그 방법을 제공하고자 한다.
또한, 결정질 실리콘, 실리콘 옥사이드 등의 다양한 박막의 품질을 향상시킬 수 있는 플라즈마 기판 처리 장치 및 그 방법을 제공하고자 한다.
상술한 기술적 과제를 달성하기 위한 기술적 수단으로써, 본 발명의 일 측면에 따른 플라즈마 기판 처리 장치는, 챔버; 상기 챔버 내부에 상기 기판을 기준으로 상호 수직 대향 배치된 상부 전극 및 하부 전극을 포함하는 주 전극; 상기 챔버 내부에 상기 주 전극과 교차되어 상기 기판의 배치 방향과 수평한 방향으로 상호 대향 배치되며 제1 전극 및 제2 전극을 포함하는 보조 전극; 및 상기 주 전극 및 상기 보조전극에 전력을 공급하는 전력공급부를 포함하고, 상기 제1 전극과 상기 제2 전극 사이의 간격은 상기 기판의 너비와 같거나 길게 구비된다.
또한, 본 발명의 다른 측면에 따른 플라즈마 기판 처리 방법은, 기판이 수납된 챔버 내에 상호 수직 대향 배치된 상부 전극 및 하부 전극을 포함하는 주 전극에 공급되는 주 전원 및 상기 챔버 내에 상호 수평 대향 배치된 제1 전극 및 제2 전극을 포함하는 보조 전극에 공급되는 보조 전원을 설정하는 단계; 상기 챔버 내에 유체를 주입하는 단계; 및 상기 주 전극 및 상기 보조 전극에 상기 주 전원 및 보조 전원을 공급하는 단계를 포함하며, 상기 제1 전극과 상기 제2 전극 사이의 간격은 상기 기판의 너비와 같거나 길게 구비된다.
전술한 본 발명의 과제 해결 수단에 의하면, 플라즈마 기판 처리 장치에 구비되는 주 전극과 보조 전극에 전력을 공급하여 플라즈마 생성 밀도를 증가시킬 수 있고, 특히 보조 전극 간의 이격 거리가 기판의 가로 및 세로의 길이보다 길게 구비됨으로써 기판의 중앙 영역에 플라즈마가 집중 증착되는 것을 방지하여 기판의 박막 증착을 균일하게 하는 효과를 얻을 수 있다.
도 1은 본 발명의 실시예에 따른 플라즈마 기판 처리 장치를 도시한 도면이다.
도 2는 본 발명의 일 실시예에 따른 보조 전극부의 배향 구조를 나타내는 평면도이다.
도 3은 본 발명의 실시예에 따른 플라즈마 기판 처리 방법을 설명하기 위한 순서도이다.
도 4는 보조 전극의 위치에 따른 플라즈마 박막 증착률을 나타내는 그래프이다.
이하, 첨부된 도면을 참조하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본 발명의 실시예를 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다. 그리고 도면에서 본 발명을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호로 표기한다.
명세서 전체에서, 어떤 부분이 다른 부분과 "연결"되어 있다고 할 때, 이는 "직접적으로 연결"되어 있는 경우뿐 아니라, 그 중간에 다른 소자를 사이에 두고 "전기적으로 연결"되어 있는 경우도 포함한다. 또한, 어떤 부분이 어떤 구성요소를 "포함"한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다.
도 1은 본 발명의 실시예에 따른 플라즈마 기판 처리 장치를 도시한 도면이고, 도 2는 본 발명의 일 실시예에 따른 보조 전극부의 배향 구조를 나타내는 평면도이며, 도 3은 본 발명의 실시예에 따른 플라즈마 기판 처리 방법을 설명하기 위한 순서도이고, 도 4는 보조 전극의 위치에 따른 플라즈마 박막 증착률을 나타내는 그래프이다.
이때, 본 발명의 실시예에 따른 플라즈마 기판 처리 장치(100)는 플라즈마 화학 기상 증착(PECVD: Plasma Enhanced Chemical Vapor Deposition) 방식으로 플라즈마 밀도를 높여 결정질 실리콘 박막의 증착 속도를 높일 수 있다.
구체적으로, 도 1에서와 같이 본 발명의 실시예에 따른 플라즈마 기판 처리 장치(100)는 기판(W)의 증착 작업이 진행되는 챔버(10)와, 챔버 내부에 구비되는 주 전극(110) 및 보조 전극(130)과, 주 전극(110) 및 보조 전극(130)으로 전력을 공급하는 전력공급부(150)를 포함한다.
이때, 본 발명의 실시예에 따른 플라즈마 기판 처리 장치(100)는 챔버(10) 내부의 진공 형성이 가능한 진공배기계(미도시)를 더 포함할 수 있다.
주 전극(110)은 챔버(10) 내부의 상측에 구비되는 상부 전극(111)과 챔버(10) 내부의 하측에 구비되는 하부 전극(113)을 포함하고, 상부 전극(111)과 하부 전극(113)은 플라즈마 발생을 위한 기전력을 발생시킨다,
상부 전극(111)과 하부 전극(113)은 챔버(10) 내에 상호 수직 대향 구비되며 전력의 인가에 따라 전기장을 형성시키고, 본 실시예에서는 상부 전극(111)과 하부 전극(113)이 각각 단수 개로 구비되었으나, 각각 복수의 전극으로 구성될 수도 있다.
도 1에 도시된 바와 같이 상부 전극(111)과 하부 전극(113)은 전력공급부(150)로부터 전력을 공급받는데, 상부 전극(111)과 하부 전극(113)으로 전력를 공급하는 것은 주 전원(151)이며, RF 전력이 공급된다.
좀 더 구체적으로는 주 전원(151)은 상부 전극(111)에 연결되는 제1 주 전원(151a)과, 하부 전극(113)에 연결되는 제2 주 전원(151b)을 포함한다.
상부 전극(111)과 하부 전극(113)에 RF 전력(151)이 각각 공급되면 상부 전극(111)과 하부 전극(113) 간에 전기장이 형성되고, 이와 같은 전기장의 형성으로 하전 입자들이 ±z 방향으로 교번 가속되어 더 높은 플라즈마 밀도가 형성된다.
참고로, 상부 전극(111)과 하부 전극(113)에 인가되는 전력은 상이할 수 있다. 예를 들어 본 실시예에서 상부 전극(111)에 인가되는 제1 주 전원(151a)의 전력은 80 ~ 120 W 내외이며, 이때 제1 주 전원(151a)은 13.56 MHz의 주파수를 가질 수 있다.
한편, 상기 주파수의 범위는 본 발명의 범위를 한정하는 것이 아니며, 최근 들어 소자 패턴이 갈수록 미세해짐에 따라 고밀도 플라즈마에 대한 요구가 증가하고 있으므로 이를 위해 10 ~ 20 MHz 정도의 초단파 영역의 RF 전력을 공급할 수 있다.
또한, 플라즈마 기판 처리 장치(100)에서는 제1 주 전원(151a)으로부터 인가되는 RF 전력이 매칭 박스(미도시)를 통해 상부 전극(111)에 인가될 수 있다. 이와 같은, 매칭 박스(미도시)는 인가될 RF 전력이 챔버(10)에 정합되어 인가되도록 한다.
한편, 주 전극부에 인가되는 전력은 RF 전력 이외에도 DC, AC, unipolar pulse 방식, bipolar pulse 방식 등 다양한 전력이 사용될 수 있다.
챔버(10)는 기판 처리 공정이 진행되는 공간으로서, 내부가 진공 상태로 배기 및 유지 가능하도록 구성된다. 이때, 챔버(10)는 내부의 상측에 상부 전극(111)이 마련되고, 상부 전극(111)과 대향되는 즉, 챔버(10) 내부의 하측에 하부 전극(113)이 마련된다.
이때, 상부 전극(111) 및 하부 전극(113)은 수직 방향으로 일정 거리 이격된 상태에서 상호 평행하게 배치되거나, 상호 소정의 각도를 이루도록 배치될 수도 있다.
여기서 상부 전극(111)을 향하는 하부 전극(113)의 면에는 박막이 증착될 기판(W)이 배치되며, 하부 전극(113)에는 기판(W)을 고정시키는 별도의 고정 수단이 마련될 수 있다.
또한, 챔버(10)에는 유체가 반입 또는 반출되는 출입구(미도시 됨)를 더 포함할 수 있다.
유체 공급부(170)는 챔버(10) 내에 플라즈마 생성을 위한 유체를 공급한다. 구체적으로, 유체 공급부(170)는 질소, 산소, 아르곤 또는 헬륨 등과 같은 유체를 공급 가능하도록 구성된다.
그리고 전구체로는 Cyclomethicone (D4 = C8H24SI4O4), Hexamethyldisiloxane (HMDSO) 또는 옥타메틸사이클로테트라실록산(OMCTS), 및 실란(SiH4)을 공급 가능하도록 구성된다.
한편, 주 전극(110) 사이에 구비되며, 기판(W)을 기준으로 상호 간 좌우 대칭으로 보조 전극(130)이 구비되는데, 보조 전극(130)은 제1 전극(131)과 제2 전극(133)을 포함하고, 보조 전극(130)은 UHF 안테나로 구비된다.
제1 전극(131)과 제2 전극(133)은 플라즈마 발생을 위한 기전력을 발생시키는 것으로서, 챔버(10) 내에서 상호 수평 대향 구비되며 전력의 인가에 따라 전기장을 형성시킨다.
예를 들어, 본 발명의 일 실시예에 따른 플라즈마 기판 처리 장치(100)에서는 도 2에서 나타낸 바와 같이 제1 전극(131)과 제2 전극(133) 사이의 길이가 기판(W)의 가로 및 세로 길이 중 적어도 한 방향의 길이와 같거나 길게 구비될 수 있다.
또한 기판(W)이 원형으로 형성된 경우에는 제1 전극(131)과 제2 전극(133) 사이의 길이가 기판(W)의 지름의 길이와 같거나 길게 구비될 수 있다.
그리고 보조 전극(130)은 상부 전극(111)과 하부 전극(113) 사이에 위치하는데, 보조 전극(130)과 상부 전극(111) 사이의 이격된 간격이 보조 전극(130)과 하부 전극(113)의 이격된 간격보다 짧은 간격을 갖는다.
제1 전극(131) 및 제2 전극(133)은 챔버(10) 외부에 구비된 전력공급부(150)의 보조 전원(153)과 연결되어 있어, 각각 보조 전원(153)으로부터 UHF 전력을 공급받게 되고 제1 전극(131) 및 제2 전극(133) 사이에 전기장이 형성된다.
따라서 이와 같은 전기장 형성으로 인해 하전 입자들이 ±y 방향으로 교번 가속되어 더 높은 플라즈마 밀도가 형성될 수 있다.
참고로, 보조 전극(130)에 인가되는 전력은 경우에 따라 상이한데, 본 실시예에서는 80 ~ 400 W 내외에서 설정되고, 이때 보조 전원(153)은 320 MHz의 주파수를 가질 수 있다.
한편, 상기 주파수의 범위는 본 발명의 범위를 한정하는 것이 아니며, 300 ~ 850 MHz 정도의 극초단파 영역의 UHF 전력을 공급할 수 있다.
전술한 바와 같이, 제1 전극(131) 및 제2 전극(133)은 하부 전극(113)에 배치된 기판(W)의 상부에 위치하며, 두 보조 전극 간에 RF 전력이 인가되면 기판(W)의 주변 상부에 두 보조 전극에 의한 전기장이 더 형성됨으로써 챔버(10) 내 플라즈마 발생 밀도를 높일 수 있는 효과가 있다.
구체적으로, 유체 공급부(170)가 챔버(10) 내 플라즈마 생성을 위한 가스(유체)를 공급한 후 상부 전극(111) 및 하부 전극(113) 간에 RF 전력이 인가되면 수직 전기장이 형성되어 하전 입자들이 ±z 방향으로 교번 가속된다.
그와 동시에, 제1 전극(131) 및 제2 전극(133) 간에 RF 전력이 인가되면 수평 전기장이 형성되어 하전 입자들이 ±y 방향으로 교번 가속된다.
이처럼, 하전 입자들이 ±z 방향으로 교번 가속되고, 또한 ±z 방향과 교차하는 ±y 방향으로 하전 입자들이 교번 가속되면서 하전 입자들 간의 상호 충돌 확률이 더 높아지게 됨에 따라 챔버(10) 내 고밀도의 플라즈마 형성이 가능하다.
특히 본 실시예에서는 상부 전극(111)과 보조 전극(130)간의 이격된 거리가 하부 전극(113)과 보조 전극(130)간의 이격된 거리보다 짧기 때문에 상부 전극(111)과 보조 전극(130)간의 이격된 공간 사이에 더욱 더 고밀도의 플라즈마가 형성된다.
이하, 도 3을 참조하여 본 발명의 실시예에 따른 플라즈마 기판 처리 방법을 설명하기로 한다.
도 3은 본 발명의 실시예에 따른 플라즈마 기판 처리 방법을 설명하기 위한 순서도이다.
그리고 도 4는 보조 전극의 위치에 따른 플라즈마 박막 증착률을 나타내는 그래프이다.
먼저, 도 3에서 나타낸 바와 같이 본 발명의 실시예에 따른 플라즈마 기판 처리 방법에서는 플라즈마 기판 처리 장치(100)의 챔버(10) 내에 구비된 주 전극에 인가할 공급 전원을 설정한다(S410).
이때, 주 전극은 박막 증착 공정을 수행할 기판(W)의 상부 및 하부에 위치하며, 상호 수직 대향 구비된 상부 전극(111) 및 하부 전극(113)을 포함한다.
참고로, S410 단계에서는 상부 전극(111)에 인가할 RF 전력의 크기 및 주파수를 경우에 따라 다르게 설정할 수 있는데, 본 실시예에서는 상부 전극(111) 에 인가될 RF 전력의 크기를 80 ~ 120 W로 설정하고, 주파수를 13.56MHz로 설정한다.
그리고 하부 전극(113)은 RF 전력의 크기를 10 ~ 180 W로 설정하고, 주파수를 13.56MHz로 설정한다.
이와 같이 상부 전극(111) 및 하부 전극(113)에 RF 전력이 인가되면 주 전극에 전기장이 형성된다.
다음으로, 챔버(10) 내에 구비된 보조 전극에 인가할 공급 전원을 설정한다(S420).
이때, 보조 전극(130)은 상기 기판(W)의 주변 상부에 위치하여 서로 수평으로 대향하도록 구비되는 제1 전극(131) 및 제2 전극(133)을 포함하고, 본 실시예에서 보조 전극(130)은 UHF 안테나로 구비된다.
S420 단계에서는 UHF 안테나인 보조 전극(130)에 전력 공급부(150)의 보조 전원(153)에서 UHF 전력을 공급한다. 본 실시예에서 보조 전원(153)이 보조 전극(130)으로 공급하는 UHF 전력의 크기는 80 ~ 400 W이고, 주파수는 320MHz이다.
이와 같이 보조 전극(130)에 UHF 전력이 인가되면 제1 전극(131) 및 제2 전극(133)에 전기장이 형성된다.
다음으로, 챔버(10) 내에 가스(유체)를 공급한다(S430).
예를 들면, 플라즈마 기판 처리 장치(100)의 유체 공급부(170)는 질소, 산소, 아르곤 또는 헬륨 가스 등을 공급하고, 전구체로써 사이클로메티콘 Cyclomethicone (D4 = C8H24SI4O4), Hexamethyldisiloxane (HMDSO) 또는 옥타메틸사이클로테트라실록산(OMCTS) 및 실란(SiH4)을 공급할 수 있다.
다음으로, S410 단계 및 S420 단계에서 각각 설정된 공급 전원들에 따른 전력을 상기 주 전극과 보조 전극에 공급하여 각각 기전력을 발생시켜 플라즈마를 생성시킨다(S440).
이때, 본 발명의 실시예에 따른 플라즈마 기판 처리 방법에서는 상기 주 전극에 발생된 전기장과 더불어 상기 기판 상부 주변에 배치된 보조 전극에 발생되는 전기장을 더 형성시킴으로써 플라즈마 기판 처리 장치 내 생성되는 플라즈마 밀도를 높여 박막 증착 속도를 향상시키는 효과가 있다.
다시 도 3로 돌아가서, S440 단계 이후에 챔버(10) 내에 플라즈마가 생성됨에 따라 기판(W)에 증착 공정을 수행한다(S450).
이에 대해 좀 더 상세히 살펴보면, 보조 전극(130)은 하부 전극(113)보다 상부 전극(111)에 가까이 위치하고, 특히 두 개의 보조 전극 즉, 제1 전극(131)과 제2 전극(133) 간의 이격된 간격이 기판(W)의 가로 및 세로 길이 중 적어도 한 방향의 길이와 같거나 길도록 구비된다.
따라서 주 전극(110)과 보조 전극(130)에 의해 플라즈마의 밀도가 높아질 때, 두 개의 보조 전극(130)의 이격된 공간에 고밀도의 플라즈마가 기판(W)을 충분히 덮을 수 있는 면적만큼 형성되기 때문에 기판(W) 상에 플라즈마가 두께의 기복 없이 골고루 증착 될 수 있다.
이와 같은 결과는 도 3을 참조하여 보면 알 수 있는데, 도 3은 보조 전극의 위치에 따라 기판(W) 상에 플라즈막 박막 증착이 기판(W)의 중심을 기준(0cm) 어떠한 형태로 되는지 증착률로 보여주는 그래프이다.
A, B, C, D의 순서대로 보조 전극(130)의 위치는 하부 전극(113)이 가까운 위치에서 상부 전극(111)이 가까운 위치로 변하는 것을 나타낸다. 그리고 A, B, C, D의 순서대로 보조 전극(130) 간의 이격된 거리가 기판(W)의 중심으로 기준으로 점점 멀어지는 것을 나타낸다.
따라서 도 3에 나타나는 바와 같이 보조 전극(130)이 하부 전극(113)과 가까이 위치하고 기판(W)의 중심을 기준으로 상호 간 가까이 구비되어 있으면 A 그래프 선과 같이, 박막이 기판(W)의 중심 영역에 집중되어 증착되어 기판(W)의 중심 영역의 박막은 두꺼워지는 한편 기판(W)의 외곽으로 갈수록 박막의 두께가 얇아지게 된다.
그러나 본 실시예에서와 같이 보조 전극(130)을 상부 전극(111)과 가까이에 구비하고, 기판(W)의 중심을 기준으로 상호 간 멀리, 즉 기판(W)의 가로 및 세로 길이 방향 중 적어도 어느 한 방향의 길이와 같거나 그보다 길게 구비되어 있으면 D 그래프 선과 같이 기판(W)에 비슷한 증착률을 따라 박막이 증착된다.
한편, 상기 증착 공정이 수행됨에 따라 기판(W)에는 결정질 실리콘 박막이 증착된다. 참고로, 본 실시예에 따른 플라즈마 기판 처리 방법에서는 기판(W)에 결정질 실리콘 박막이 증착되도록 하는 적절한 조건을 설정할 수 있다.
한편, 도 3에서는 본 발명의 실시예에 따른 플라즈마 기판 처리 방법에서 주 전극에 공급할 공급 전력을 설정한 후 보조 전극에 공급할 공급 전력을 설정하는 것으로 설명하였으나, 이와 같은 주 전극 및 보조 전극에 대한 공급 전력 설정 순서는 다양하게 설정될 수 있으며 동시에 설정되는 것도 가능하다.
전술한 본 발명의 설명은 예시를 위한 것이며, 본 발명이 속하는 기술분야의 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 쉽게 변형이 가능하다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 예를 들어, 단일형으로 설명되어 있는 각 구성 요소는 분산되어 실시될 수도 있으며, 마찬가지로 분산된 것으로 설명되어 있는 구성 요소들도 결합된 형태로 실시될 수 있다.
본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.
10: 챔버 110: 주 전극
111: 상부 전극 113: 하부 전극
130: 보조 전극 131: 제1 전극
133: 제2 전극 150: 전력 공급부
151: 주 전원 153: 보조 전원
170: 유체 공급부

Claims (10)

  1. 플라즈마 기판 처리 장치에 있어서,
    챔버;
    상기 챔버 내부에 상기 기판을 기준으로 상호 수직 대향 배치된 상부 전극 및 하부 전극을 포함하는 주 전극;
    상기 챔버 내부에 상기 주 전극과 교차되어 상기 기판의 배치 방향과 수평한 방향으로 상호 대향 배치되며 제1 전극 및 제2 전극을 포함하는 보조 전극; 및
    상기 주 전극 및 상기 보조전극에 전력을 공급하는 전력공급부를 포함하고,
    상기 제1 전극과 상기 제2 전극 사이의 간격은 상기 기판의 너비와 같거나 길게 구비되는 플라즈마 기판 처리 장치.
  2. 제1항에 있어서,
    상기 주 전극과 상기 보조 전극에 의해,
    상기 주 전극의 전기장과 상기 보조 전극의 전기장은 교차 형성되는 플라즈마 기판 처리 장치.
  3. 제 1 항에 있어서,
    상기 보조 전극은, 상기 챔버의 일측 외부로부터 상기 챔버의 내부로 관통하여 구비되는 UHF 안테나인 플라즈마 기판 처리 장치.
  4. 제 1 항에 있어서,
    상기 보조 전극은,
    상기 하부 전극보다 상기 상부 전극과 가까운 위치에 구비되는 플라즈마 기판 처리 장치.
  5. 제 1 항에 있어서,
    상기 전력 공급부는,
    상기 주 전극과 연결되는 주 전원; 및
    상기 보조 전극과 연결되는 보조 전원을 포함하는 플라즈마 기판 처리 장치.
  6. 제 5 항에 있어서,
    상기 주 전원은 RF 전원이고,
    상기 상부 전극과 연결되는 제1 주 전원; 및
    상기 하부 전극과 연결되는 제2 주 전원을 포함하며,
    상기 제1 주 전원은 상기 제2 주 전원에서 공급되는 전력의 주파수보다 높은 주파수의 전력을 공급하는 플라즈마 기판 처리 장치.
  7. 제 5 항에 있어서,
    상기 보조 전원은 UHF 전원 인 플라즈마 기판 처리 장치.
  8. 제 1 항에 있어서,
    상기 기판은 플렉시블(flexible) 기판 인 플라즈마 기판 처리 장치.
  9. 플라즈마 기판 처리 방법에 있어서,
    기판이 수납된 챔버 내에 상호 수직 대향 배치된 상부 전극 및 하부 전극을 포함하는 주 전극에 공급되는 주 전원 및 상기 챔버 내에 상호 수평 대향 배치된 제1 전극 및 제2 전극을 포함하는 보조 전극에 공급되는 보조 전원을 설정하는 단계;
    상기 챔버 내에 유체를 주입하는 단계; 및
    상기 주 전극 및 상기 보조 전극에 상기 주 전원 및 보조 전원을 공급하는 단계를 포함하며,
    상기 제1 전극과 상기 제2 전극 사이의 간격은 상기 기판의 너비와 같거나 길게 구비되는 플라즈마 기판 처리 방법.
  10. 제 9 항에 있어서,
    상기 주 전원 및 상기 보조 전원을 공급하는 단계는,
    상기 주 전원으로 10 ~ 20 MHz 범위의 주파수를 갖는 전력을 공급하고, 상기 보조 전원으로 300 ~ 850 MHz 의 주파수를 갖는 전력을 공급하는 플라즈마 기판 처리 방법.
KR1020110004040A 2011-01-14 2011-01-14 플라즈마 기판 처리 장치 및 방법 KR101529578B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110004040A KR101529578B1 (ko) 2011-01-14 2011-01-14 플라즈마 기판 처리 장치 및 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110004040A KR101529578B1 (ko) 2011-01-14 2011-01-14 플라즈마 기판 처리 장치 및 방법

Publications (2)

Publication Number Publication Date
KR20120082640A true KR20120082640A (ko) 2012-07-24
KR101529578B1 KR101529578B1 (ko) 2015-06-19

Family

ID=46714339

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110004040A KR101529578B1 (ko) 2011-01-14 2011-01-14 플라즈마 기판 처리 장치 및 방법

Country Status (1)

Country Link
KR (1) KR101529578B1 (ko)

Cited By (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014022192A1 (en) * 2012-08-02 2014-02-06 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01225321A (ja) * 1988-03-04 1989-09-08 Mitsubishi Electric Corp 半導体ウエハの処理装置
JPH0570957A (ja) * 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
JPH0645097A (ja) * 1992-03-31 1994-02-18 Matsushita Electric Ind Co Ltd プラズマ発生方法およびその装置
KR100888807B1 (ko) * 2007-05-23 2009-03-13 (주)제이하라 플라즈마 발생장치

Cited By (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014022192A1 (en) * 2012-08-02 2014-02-06 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US12009228B2 (en) 2015-02-03 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10745807B2 (en) 2015-10-08 2020-08-18 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10378108B2 (en) 2015-10-08 2019-08-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
KR101529578B1 (ko) 2015-06-19

Similar Documents

Publication Publication Date Title
KR20120082640A (ko) 플라즈마 기판 처리 장치 및 방법
KR100615015B1 (ko) 전자 디바이스, 그 제조방법 및 플라즈마처리장치
US20080295772A1 (en) Chemical vapor deposition apparatus and plasma enhanced chemical vapor deposition apparatus
US20160289837A1 (en) Apparatus and method for forming thin protective and optical layers on substrates
US7880392B2 (en) Plasma producing method and apparatus as well as plasma processing apparatus
EP2975158B1 (en) Plasma cvd device and plasma cvd method
US10526708B2 (en) Methods for forming thin protective and optical layers on substrates
US20100024729A1 (en) Methods and apparatuses for uniform plasma generation and uniform thin film deposition
US20060102286A1 (en) Plasma processing apparatus
CN104217914B (zh) 等离子体处理装置
TW201012312A (en) Method for generating hollow cathode plasma and method for treating large area substrate using hollow cathode plasma
KR101268101B1 (ko) 기판 양면 코팅 장치 및 그 방법
CN110998781A (zh) 具有分段空心阴极的线性等离子体源
US8931433B2 (en) Plasma processing apparatus
JPS62203328A (ja) プラズマcvd装置
TWI727316B (zh) 基板處理裝置
KR101065747B1 (ko) 균일한 가스 공급수단을 구비하는 플라즈마 장치
JP5038769B2 (ja) プラズマ処理装置
JP4194466B2 (ja) プラズマプロセス装置及びそれを用いた電子デバイスの製造方法
KR20110109216A (ko) 유도 결합형 플라즈마 소스형 샤워 헤드를 가지는 화학기상 증착 장치
JP2006324603A (ja) プラズマ処理方法及び装置並びにプラズマcvd方法及び装置
JP2011071544A (ja) プラズマ処理方法及び装置並びにプラズマcvd方法及び装置
KR100911327B1 (ko) 플라즈마 발생 장치
KR101019493B1 (ko) 화학기상증착장치
JP2004200233A (ja) プラズマ生成装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
E801 Decision on dismissal of amendment
AMND Amendment
E801 Decision on dismissal of amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20131002

Effective date: 20140723

S901 Examination by remand of revocation
E902 Notification of reason for refusal
E902 Notification of reason for refusal
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180508

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190104

Year of fee payment: 5