KR20120030438A - 리소그래피 시스템을 위한 패턴 데이터 전환 - Google Patents

리소그래피 시스템을 위한 패턴 데이터 전환 Download PDF

Info

Publication number
KR20120030438A
KR20120030438A KR1020117030536A KR20117030536A KR20120030438A KR 20120030438 A KR20120030438 A KR 20120030438A KR 1020117030536 A KR1020117030536 A KR 1020117030536A KR 20117030536 A KR20117030536 A KR 20117030536A KR 20120030438 A KR20120030438 A KR 20120030438A
Authority
KR
South Korea
Prior art keywords
pattern data
target
exposing
data
output
Prior art date
Application number
KR1020117030536A
Other languages
English (en)
Other versions
KR101614460B1 (ko
Inventor
데 포이트. 토이니스 반
마르코 얀-자코 빌란트
Original Assignee
마퍼 리쏘그라피 아이피 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마퍼 리쏘그라피 아이피 비.브이. filed Critical 마퍼 리쏘그라피 아이피 비.브이.
Publication of KR20120030438A publication Critical patent/KR20120030438A/ko
Application granted granted Critical
Publication of KR101614460B1 publication Critical patent/KR101614460B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals

Abstract

타겟을 노출하기 위한 복수의 노출 빔렛들을 생성하는 마스크리스 리소그래피 머신에서 패턴 데이터에 따라 타겟을 노출하기 위한 방법 및 시스템이 개시된다. 방법은 벡터 포맷인 입력 패턴 데이터를 제공하는 단계, 중간 패턴 데이터를 생성하기 위해 입력 패턴 데이터를 렌더링 및 양자화하는 단계, 및 출력 패턴 데이터를 생성하기 위해 중간 패턴 데이터를 재-샘플링 및 재-양자화하는 단계를 포함한다. 출력 패턴 데이터가 리소그래피 머신에 공급되며, 리소그래피 머신에 의해 생성되는 빔렛들은 출력 패턴 데이터에 기초하여 변조된다.

Description

리소그래피 시스템을 위한 패턴 데이터 전환{PATTERN DATA CONVERSION FOR LITHOGRAPHY SYSTEM}
본 발명은 마스크리스(maskless) 리소그래피 장치에 관한 것이며, 특히 리소그래피 장치에 의해 사용하기 위한 벡터 포맷 패턴 데이터를 전환하기 위한 시스템 및 방법에 관한 것이다.
마스크리스 리소그래피 머신은 타겟을 노출하기 위해 광학 또는 입자 빔렛(beamlet)들을 생성한다. 머신은 빔렛들이 타겟의 표면 위에서 스캔될 때 빔렛들을 변조하기 위한 패턴 데이터를 필요로 한다. 타겟을 매우 높은 분해능에서 노출하는 경우, 스캐닝 처리를 가능하게 하기 위해 매우 많은 양의 패턴 데이터가 요구된다.
패턴 데이터는 일반적으로, 초기에 GDS-II 또는 OASIS 포맷과 같은 벡터 포맷으로 생성되고, 이후 일반적으로 빔렛들을 변조하기 위한 비트맵 포맷으로 전환된다. 벡터 포맷으로부터 비트맵으로의 전환에 있어서, 중간 데이터 포맷인 데이터를 저장하여 웨이퍼를 노출하기에 앞서 일부 처리가 수행되도록 허용하면서, 동시에 방대한 완전히 전환된 패턴 데이터를 저장하기 위한 많은 저장 용량을 요구하지 않는 것이 유리하다. 벡터 포맷은 중간 벡터 포맷으로서 사용될 수 있다. 벡터 포맷은 복잡한 조작들, 예를 들어, 2차원들의 패턴 시프팅 또는 스케일링을 수반할 수 있는 다양한 타입들의 보정들을 수행하는 것 및 다수의 픽셀 또는 서브픽셀 시프트들을 수반하는 것이 패턴 데이터에 대해 수행되는 것을 허용한다.
그러나 벡터 포맷은 그것이 비-결정론적이라는 단점을 가지며, 따라서, 데이터를 저장하기 위해 요구되는 메모리 양은 패턴 데이터의 함수이고, 패턴 데이터를 저장하기 위한 실제 메모리 요건이 미리 결정될 수 없다. 이는 매우 큰 사이즈의 패턴 데이터의 견지에서 상당한 결점이다.
본 발명은 타겟을 노출하기 위한 복수의 노출 빔렛(beamlet)들을 생성하는 마스크리스 리소그래피 머신에서 패턴 데이터에 따라 타겟을 노출하기 위한 방법을 포함한다. 방법은 입력 패턴 데이터를 벡터 포맷으로 제공하는 단계, 중간 패턴 데이터를 생성하도록 입력 패턴 데이터를 렌더링(rendering) 및 양자화하는 단계, 출력 패턴 데이터를 생성하도록 중간 패턴 데이터를 재-샘플링 및 재-양자화하는 단계를 포함한다. 출력 패턴 데이터는 리소그래피 머신에 공급되고, 리소그래피 머신에 의해 생성되는 빔렛들은 출력 패턴 데이터에 기초하여 변조된다. 벡터 포맷 입력 패턴 데이터는 공간적으로 매핑된 픽셀 값들의 어레이 형태인 출력 패턴 데이터로 전환된다. 출력 패턴 데이터는 바이-레벨(bi-level) 비트맵 데이터를 포함할 수 있고, B/W 비트맵 데이터를 포함할 수 있다. 중간 패턴 데이터는 멀티-레벨 비트맵 데이터를 포함할 수 있다. 패턴의 특정 부분을 나타내는 상기 중간 패턴 데이터는 바람직하게는 패턴의 동일한 부분을 나타내는 출력 패턴 데이터보다 더 많은 양의 데이터를 포함한다.
방법은 다양한 전처리 단계들을 포함할 수 있다. 방법은 입력 패턴 데이터를 생성하기 위해 벡터 패턴 데이터를 전처리하는 단계를 포함할 수 있고, 전처리는 근접 효과 보정 및/또는 레지스트 가열 보정을 포함한다.
벡터 패턴 데이터를 렌더링하는 단계는 픽셀 셀들의 어레이를 정의하는 단계, 및 입력 패턴 데이터에 의해 정의되는 피쳐들에 의해 픽셀 셀들의 상대적인 커버리지에 기초하여 픽셀 셀들에 값들을 할당하는 단계를 포함할 수 있다. 양자화는 렌더링된 입력 패턴 데이터에 대한 에러 확산의 적용에 의한 디더링(dithering)을 포함할 수 있고, 에러 확산은 렌더링된 입력 패턴 데이터의 픽셀 내의 양자화 에러를 상기 중간 패턴 데이터의 하나 이상의 인접한 픽셀들에 분배하는 단계를 포함할 수 있다. 입력 패턴 데이터는 도스(dose) 맵 데이터를 더 포함하고, 중간 패턴 데이터는 벡터 포맷 입력 패턴 데이터 및 도스 맵 데이터에 기초하여 형성된다.
재-샘플링은 출력 픽셀 셀들의 어레이를 정의하는 출력 그리드를 정의하는 단계, 및 출력 픽셀 셀 내에 있는 중간 패턴 데이터 값들 및 상기 중간 값들에 의해 점유되는 출력 픽셀 셀의 일부분들에 기초하여 각각의 출력 픽셀 셀에 값을 할당하는 단계를 포함할 수 있다. 출력 픽셀 셀들 내의 값들은 출력 픽셀 셀들 내에 있는 평균 중간 패턴 데이터 값을 계산함으로써 결정될 수 있다.
재-샘플링은 선택적으로 상기 중간 패턴 데이터의 픽셀 사이즈를 변환하는 단계를 포함할 수 있고, 중간 패턴의 픽셀 사이즈는 출력 패턴 데이터의 픽셀 사이즈보다 더 크거나 더 작을 수 있다. 픽셀 사이즈의 변환 ? 따라서, 출력 패턴 데이터의 픽셀 사이즈는 중간 패턴 데이터의 픽셀 사이즈와 상이함 ? 은 성능을 개선하는 적응들의 수행을 허용한다.
더블 양자화가 리소그래픽 에러들(예를 들어, 임계 치수 균일도(critical dimension uniformity) 및 오버레이)의 견지에서 단일 양자화와 비교시 성능을 축소하지만, 성능 축소는 제2 양자화 이후에 유지되는 데이터 양보다 제1 양자화 이후 패턴을 저장하기 위해 더 많은 데이터를 사용함으로써 감소할 수 있다. 예를 들어, 제1 양자화 이후 4비트 그레이 레벨 픽셀 값들을 가지는 5 nm의 픽셀 사이즈(또는 1비트 B/W 픽셀 값들을 가지는 2.5 nm의 픽셀 사이즈)를 가지는 중간 패턴 데이터는 1비트 바이 레벨 B/W 픽셀 값들을 가지는 3.5 nm의 픽셀 사이즈를 가지는 출력 패턴 데이터보다 더 많은 데이터를 포함한다. 이상적으로, 중간 패턴 데이터는 제1 양자화 단계 이후 매우 높은 분해능을 가지지만, 이러한 요건은 이러한 대용량의 데이터를 저장하는 비용 및 복잡도에 대해 균형을 이룬다. 재-샘플링 동안 픽셀 사이즈의 변경은 중간 패턴 데이터로부터 출력 패턴 데이터로의 데이터 분해능의 이러한 조정을 가능하게 한다.
또한, 픽셀 사이즈의 변경은 쉽게 변경될 수 없는 리소그래피 머신의 컬럼에 대한 출력 패턴 데이터의 전송 레이트의 변경 없이 노출 도스의 변경을 가능하게 한다. 빔렛들의 스캐닝 속도 및 출력 패턴 데이터의 픽셀 사이즈를 변경시킴으로써, 도스 레이트가 변경될 수 있다(출력 패턴 데이터의 픽셀 사이즈 역시 이로 인해 직사각형이 될 수 있다).
다양한 보정들이 재-샘플링 단계 및 재-양자화 단계와 함께 수행될 수 있다. 재-샘플링은 시프트, 스케일링 및 왜곡 보정들을 허용한다. 보정들은 재-샘플링을 위해 정의된 출력 그리드를 시프팅, 스케일링, 회전 및/또는 왜곡함으로써 실행될 수 있다. 도스 보정은 또한 재-양자화 단계에서 실행될 수 있다.
패턴 시프트는 중간 패턴 데이터에 대해 수행될 수 있고, 패턴 시프트는 출력 그리드에서의 시프트를 포함한다. 패턴 시프트는 빔렛 오정렬을 보정하기 위해 사용될 수 있다. 패턴 시프트는 리소그래피 머신의 기계적 스캔 방향 및 기계적 스캔 방향에 직교하는 방향 모두에서 패턴 데이터의 시프트를 포함하는 중간 패턴 데이터에 대해 수행된다. 패턴 시프트는 패턴 데이터의 전체 스트라이프(stripe)에 대한 패턴 데이터의 시프트를 포함할 수 있다.
패턴 스케일링 조정은 또한 중간 패턴 데이터에 대해 수행될 수 있고, 패턴 스케일링은 출력 그리드를 스케일링하는 것을 포함한다. 패턴 스케일링 조정은 빔렛 스캔 편향에서의 변동들을 보정하기 위해 사용될 수 있다. 패턴 스케일링 조정은 패턴 데이터의 전체 스트라이프에 대한 패턴 데이터의 스케일링을 포함하는 중간 패턴 데이터에 대해 수행될 수 있다.
또한, 도스 보정은 중간 패턴 데이터에 대해 수행될 수 있다. 도스 보정은 빔렛마다 수행될 수 있고, 빔렛 당 도스 인자 및 패턴 데이터의 스트라이프에 대한 스케일링 인자에 기초하여 결정될 수 있다.
또한, 빔렛들 중 하나 이상의 위치에서의 변동을 보상하기 위해 보정이 중간 패턴 데이터에 대해 수행될 수 있다. 보정은 기계적 스캔 방향 및 기계적 스캔 방향에 실질적으로 직교하는 방향 모두에서의 컴포넌트를 가지는 중간 패턴 데이터의 시프트를 초래하는 입력 패턴 데이터의 조정을 포함할 수 있다. 또한, 리소그래피 머신으로의 출력 패턴 데이터의 전송 시간의 변동을 보상하기 위해 보정이 중간 패턴 데이터에 대해 수행될 수 있다. 또한, 필드 왜곡 조정은 중간 패턴 데이터에 대해 수행될 수 있으며, 왜곡 조정은 출력 그리드를 왜곡하는 것을 포함한다. 왜곡은 오버레이 성능을 개선하고 그리고/또는 상이한 리소그래피 툴과의 매칭을 개선하기 위해 사용될 수 있다. 왜곡은 필드 사이즈 조정, 필드 위치 조정, 필드의 회전, 비대칭 필드 사이즈 조정, 및/또는 비대칭 회전 중 적어도 하나를 포함할 수 있으며, 더 높은 정도의 왜곡들을 추가로 포함할 수 있다.
재-양자화 단계는 재-샘플링된 중간 패턴 데이터에 대한 에러 확산의 적용에 의한, 디더링을 포함할 수 있다. 제1 양자화 단계는 디더링 프로세스를 포함할 수 있고, 제2 (재)양자화 단계는 재-디더링 프로세스를 포함할 수 있고, 디더링 및 재-디더링은 바람직하게는 반대 방향들로 수행된다(예를 들어, 좌에서 우로 그리고 위에서 아래로의 디더링 및 우에서 좌로 그리고 아래에서 위로의 재-디더링).
입력 패턴 데이터는 중간 패턴 데이터의 2개 세트들을 생성하기 위해 2번 디더링될 수 있고, 각각의 세트는 반대 방향들로 디더링된다. 중간 패턴 데이터의 2개 세트들은 타겟의 교번하는 노출 레인(lane)들을 노출하기 위해 사용될 수 있으며, 중간 패턴 데이터의 각각의 세트는 사용 이전에 디더링에 대해 반대 방향으로 재-디더링된다. 타겟은 타겟의 각각의 노출 레인이 동일한 방향으로 노출되도록 이동될 수 있다.
재-디더링 에러 확산은 출력 패턴 데이터의 하나 이상의 인접한 픽셀들에, 재-샘플링된 패턴 데이터의 픽셀에서의 양자화 에러를 분배하는 것을 포함한다. 에러 확산은 픽셀들의 어레이를 정의하는 것, 픽셀들의 어레이를 부분들로 분할하는 것 ? 각 부분은 상이한 빔렛에 의해 노출되도록 할당됨 ? , 각 부분에 대한 에러 확산 파라미터 값들을 결정하는 것, 및 에러 확산 파라미터 값들을 사용하여 각 부분 내의 픽셀들에 값을 할당하는 것을 포함할 수 있다. 에러 확산 파라미터 값들은 더 높은 레벨의 바이-레벨 출력 패턴 데이터 값에 대한 가중값 및 임계값을 포함할 수 있고, 더 낮은 레벨의 바이-레벨 값에 대한 가중값을 더 포함할 수 있다. 에러 확산 파라미터 값은 임계값일 수 있고, 한 부분 내의 픽셀 셀들에 바이-레벨 값을 할당하는 것은 상기 부분에 대해 결정된 임계값과의 비교에 기초한다.
에러 확산은 추가적인 임계값과 동일하거나 그 미만인 멀티레벨 값을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써, 그리고/또는 입력 패턴 데이터에 기술되는 피쳐들 외부에 위치되는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써, 그리고/또는 처리 중인 픽셀과는 특정량을 초과하여 상이한 값을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 제한될 수 있다.
각 픽셀은 하나의 라벨을 가질 수 있고, 에러 확산은 처리 중인 픽셀과는 상이한 레벨을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 제한될 수 있다. 라벨은 상기 중간 패턴 데이터와 함께 저장된 코드를 포함할 수 있다. 라벨은 전처리 동안 정의될 수 있고, 벡터 포맷 입력 패턴 데이터의 피쳐에 의해 커버되는 모든 픽셀들에 제1 레벨 값이 할당될 수 있고, 모든 다른 픽셀들에는 제2 레벨 값이 할당될 수 있다.
본 발명의 방법에 따라, 렌더링 및 양자화가 웨이퍼의 노출에 앞서 수행될 수 있으며, 이들 단계들에 의해 생성된 중간 패턴 데이터는 결정론적 사이즈를 가지는 공간적으로 매핑된 픽셀 값들의 어레이의 형태이며, 따라서, 중간 패턴 데이터를 저장하기 위해 필요한 저장 용량이 미리 결정될 수 있다. 또한, 재-샘플링 및 재-양자화 단계들에 의해, 중간 패턴에 대해 실시간으로 보정들이 수행될 수 있다. 따라서, 패턴 데이터에 대해 실시간 보정들을 수행할 능력을 유지하면서 결정론적 사이즈를 가지는 패턴 데이터 포맷이 사용될 수 있다.
또한, 본 발명은 리소그래피 머신을 동작시키는 방법에 관한 것이며, 제1 단계에서 이미지 데이터가 머신에 벡터 포맷으로 공급되고, 제2 단계에서 벡터 포맷은 비트맵 데이터 포맷으로 렌더링 및 양자화되고, 제3 단계에서 비트맵 데이터는 상기 데이터에 대한 실시간 보정들을 수행하기 위해 재-샘플링되고, 제4 단계에서 상기 재-샘플링된 데이터가 재양자화되어, 후속적으로, 제5 단계에서 머신의 이미징 하드웨어에 출력 비트맵으로서 공급된다. 제1 양자화 단계를 수행하기 위해 이용되는 데이터 양은 바람직하게는 상기 재-샘플링의 수행으로부터 초래되는 데이터의 양보다 더 크다. 재-샘플링은 패턴 시프트, 패턴 스케일링, 또는 필드 왜곡 보정 중 하나 이상을 포함할 수 있고, 재-양자화는 도스 보정을 수행하는 것을 포함할 수 있다. 디더링 프로세스는, 디더링 임계값을 적응시킴으로써 수행되는 도스 보정을 포함하여, 양자화 단계와 함께 수행될 수 있다. 또한, 방법은 상기 재-샘플링과 함께 중간 패턴 데이터의 픽셀 사이즈를 변환함으로써 출력 비트맵의 픽셀 사이즈를 수정함으로써 노출 도스를 제어하는 것을 포함할 수 있다.
또다른 양상에서 본 발명은 또한 벡터 포맷인 입력 패턴 데이터를 공간적으로 매핑된 픽셀 값들의 어레이 형태인 출력 패턴 데이터로 전환하기 위한 데이터 경로에 관한 것이다. 데이터 경로는 중간 패턴 데이터를 생성하기 위해 입력 패턴 데이터를 렌더링 및 양자화하기 위한 제1 처리 유닛, 중간 패턴 데이터를 저장하기 위한 제1 저장 유닛, 및 출력 패턴 데이터를 생성하기 위해 중간 패턴 데이터를 재-샘플링 및 재-양자화하기 위한 제2 처리 유닛을 포함한다. 또한, 제1 처리 유닛은 렌더링된 입력 패턴 데이터를 디더링하기 위한 소프트웨어 및/또는 하드웨어를 포함할 수 있고, 제2 처리 유닛은 재-샘플링된 패턴 데이터를 재-디더링하기 위한 소프트웨어 및/또는 하드웨어를 포함할 수 있다. 제1 저장 유닛의 용량은 바람직하게는 패턴 데이터의 전체 필드에 대한 중간 패턴 데이터를 저장하기에 충분하다. 또한, 저장 경로는 출력 패턴 데이터를 저장하기 위한 제2 저장 유닛을 더 포함할 수 있다.
추가적인 양상에서, 본 발명은, 타겟을 노출하기 위한 복수의 노출 빔렛들을 생성하고 출력 패턴 데이터에 기초하여 빔렛들을 변조하는 마스크리스 리소그래피 머신, 입력 패턴 데이터를 수신하고 출력 패턴 데이터를 생성하기 위한 데이터 경로, 및 리소그래피 머신에 출력 패턴 데이터를 공급하기 위한 패턴 스트리밍 시스템을 포함하는, 패턴 데이터에 따라 타겟을 노출하기 위한 시스템을 포함한다.
본 발명의 다양한 양상들 및 본 발명의 실시예들의 특정 예들이 도면들에 예시된다.
도 1은 마스크리스 리소그래피 시스템을 도시하는 개념도이다.
도 2는 전자 광학 컬럼의 상세내용들을 도시하는 하전 입자 리소그래피 시스템(100)의 실시예를 도시하는 간략화된 개략도이다.
도 3은 데이터 경로의 실시예를 도시하는 간략화된 블록도이다.
도 4는 다수의 채널들을 포함하는 리소그래피 시스템의 개념도이다.
도 5a는 필드들로 분할된 웨이퍼의 도면이다.
도 5b는 몇몇 스트라이프들로 분할된 필드의 개략도이다.
도 6은 벡터 포맷인 입력 패턴 데이터를 마스크리스 리소그래피 머신의 빔렛들을 변조하기에 적절한 출력 패턴 데이터로 전환하기 위한 프로세스를 예시하는 간략화된 흐름도이다.
도 7은 도 6의 프로세스를 수행하기 위한 아키텍처의 일 예이다.
도 8a 내지 도 8i는 벡터 포맷인 입력 패턴 데이터를 출력 패턴 데이터로 전환하는 단계들을 도시하는 도면들이다.
도 9는 스트라이프 위치 보정을 위한 x 및 y 패턴 시프트의 도면이다.
도 10은 y 방향 패턴 스케일링의 도면이다.
도 11a는 패턴 데이터의 8x8 어레이의 도면이다.
도 11b는 좌에서 우로 그리고 최상부에서 최하부로의 중간 패턴 데이터의 제1 디더링을 위한 경로를 도시하는 도면이다.
도 11c는 우에서 좌로 그리고 최하부에서 최상부로의 중간 패턴 데이터의 제2 디더링을 위한 경로를 도시하는 도면이다.
도 12a는 두 방향으로 웨이퍼를 스캐닝하는 것을 도시하는 도면이다.
도 12b는 한 방향으로 웨이퍼를 스캐닝하는 것을 도시하는 도면이다.
다음은 단지 예시로서 주어지며, 도면을 참조하는, 본 발명의 다양한 실시예들의 설명이다.
하전 입자 리소그래피 시스템
도 1은 3개의 하이 레벨 서브시스템들: 데이터 경로(101), 리소그래피 머신 컬럼(102), 및 웨이퍼 위치지정 시스템(103)으로 분할된 마스크리스 리소그래피 시스템(100)을 도시하는 개념도이다. 리소그래피 머신 컬럼(102)은 데이터 경로에 의해 제공된 데이터 패턴에 따라 웨이퍼를 노출하기 위한 광학적 또는 하전 입자 빔들을 생성한다. 웨이퍼 위치지정 시스템(103)은 컬럼(102)에 의해 생성된 노출 빔들에 의한 웨이퍼의 스캐닝을 가능하게 하기 위해 컬럼(102) 아래에서 웨이퍼를 이동시킨다.
웨이퍼 위치지정 시스템(103)은 통상적으로, 웨이퍼가 위치되는 웨이퍼 테이블(108)의 움직임을 제어하는 제어 시스템(107)을 포함한다. 일 실시예에서, 웨이퍼 위치지정 시스템은 빔들이 y 방향으로 웨이퍼의 표면에 걸쳐 스캐닝되는 동안 x 방향으로 웨이퍼를 움직인다. 웨이퍼 위치지정 시스템에는 데이터 경로(101)로부터의 동기 신호들이 제공되어, 데이터 경로에 의해 컬럼으로 전송되는 패턴 데이터를 이용하여 노출 빔들 하에서 웨이퍼의 위치지정을 동기화시킨다.
컬럼(102)은 웨이퍼를 노출하기 위한 광학 또는 하전 입자 빔들을 생성한다. 빔들은 웨이퍼의 표면에 걸쳐 스캐닝되고, 비트맵 포맷으로 데이터 경로에 의해 제공되는 패턴 데이터에 따른 스캐닝에 동기하여 변조된다. 개별 빔들 또는 빔들의 그룹을 스위칭 온 오프함으로써, 또는 이들의 강도를 변조함으로써 빔들의 변조가 수행되어, 패턴 데이터에 대응하는 웨이퍼의 표면상에 노출 패턴을 초래한다.
데이터 경로(101)는 통상적으로, 오프라인 처리 시스템(104), "인-라인" 처리 시스템(105), 및 패턴 스트리밍 시스템(106)을 포함한다. 오프라인 처리 시스템(104)은, 일반적으로 반도체 집적 회로의 한 층을 만들기 위해, 웨이퍼 상에 재생될 피쳐들을 나타내는 패턴 데이터를 수신한다. 패턴 데이터는 일반적으로 벡터 포맷으로 생성되고, 오프라인 처리 시스템은 데이터에 대해 다양한 전처리 동작들을 수행한다. 이후 전처리된 패턴 데이터는 "인-라인" 처리 시스템(105)에 의한 추가 처리 및 저장을 위해 리소그래피 툴(109)에 업로드된다. 웨이퍼의 노출이 발생하는 경우, 처리된 패턴 데이터는 컬럼(102)으로의 스트리밍을 위해 패턴 스트리밍 시스템(106)으로 전달된다.
컴포넌트들은 통상적으로 장비의 2개의 분리된 그룹들인 오프라인 처리 시스템(104) 및 또한 리소그래피 툴이라고도 지칭되는 리소그래피 머신(109)으로서 배열된다. 리소그래피 툴은 통상적으로 웨이퍼 위치지정 시스템(103), 리소그래피 머신 컬럼(102), 인라인 처리 시스템(105), 및 패턴 스트리밍 시스템(106)을 포함한다.
도 2는 전자 광학 컬럼(102)의 상세내역을 도시하는 하전 입자 리소그래피 시스템(100)의 실시예의 간략화된 개략도이다. 이러한 리소그래피 시스템들은, 예를 들어, 미국 특허 제6,897,458호, 제6,958,804호, 제7,019,908호 및 제7,084,414호 및 제7,129,502호, 미국 특허출원 공보 제2007/0064213호, 및 공동 계류중인 미국 특허 출원 일련 제61/031,573호, 제61/031,594호, 제61/045,243호, 제61/055,839호, 제61/058,596호 및 제61/101,682호에 기술되며, 이들 모두는 본 출원의 소유자에게 양도되며, 모두 그 전체 내용이 여기에 참조로써 포함된다.
도 2에 도시된 실시예에서, 리소그래피 시스템은 하전 입자 소스(110), 예를 들어, 확장 전자 빔(130)을 생성하기 위한 전자 소스를 포함한다. 확장 전자 빔(130)은 복수의 빔렛들(131)을 생성하기 위해 빔의 일부분을 차단하는 어퍼쳐 어레이(111)에 충돌한다. 시스템은 매우 많은 수의 빔렛들, 바람직하게는 약 10,000 내지 1,000,000개 범위의 빔렛들을 생성한다.
전자 빔렛들(131)은 전자 빔렛들(131)을 포커싱하는 집광 렌즈 어레이(112)를 통과한다. 빔렛들(131)은 시준기 렌즈 시스템(113)에 의해 시준된다. 시준된 전자 빔렛들은 XY 편향기 어레이(114), 제2 어퍼쳐 어레이(115) 및 제2 집광기 렌즈 어레이(116)를 통과한다. 그 다음, 결과적인 빔렛들(132)은, 상기 빔렛들 중 하나 이상을 편향시키기 위한 복수의 블랭커(blanker)들을 포함하는, 빔 블랭커 어레이(117)를 통과한다. 빔렛들은 미러(143)를 통과하여 복수의 어퍼쳐들을 가지는 빔 정지 어레이(118)에 도달한다. 빔렛 블랭커 어레이(117) 및 빔 정지 어레이(118)는, 빔렛들을 차단하거나 이들을 통과하도록 함으로써 빔렛들을 스위치 온 또는 오프하도록 함께 동작한다. 빔렛 블랭커 어레이(117)는 빔렛을 편향시킬 수 있으므로, 빔렛은 빔 정지 어레이(118) 내의 대응하는 어퍼쳐를 통과하지 않을 것이며, 대신 차단될 것이다. 빔렛 블랭커 어레이(117)가 빔렛을 편향시키지 않는다면, 빔렛은 빔 정지 어레이(118) 상의 대응하는 어퍼쳐를 통과할 것이다. 편향되지 않은 빔렛들은 빔 정지 어레이를 통과하고, 빔 편향기 어레이(119) 및 투사 렌즈 어레이들(120)을 통과한다.
빔 편향기 어레이(119)는 편향되지 않은 빔렛들의 방향에 실질적으로 직교하는 X 및/또는 Y 방향으로 각각의 빔렛(133)의 편향을 제공하여, 타겟의 표면에 걸쳐 빔렛들을 스캐닝한다. 이러한 편향은 빔렛들을 스위치 온 또는 오프하기 위해 빔렛 블랭커 어레이에 의해 사용되는 편향과는 별도이다. 다음으로, 빔렛들(133)은 투사 렌즈 어레이들(120)을 통과하여 타겟(121) 상에 투사된다. 투사 렌즈 어레인지먼트는 바람직하게는 약 100 내지 500 배의 축소를 제공한다. 빔렛들(133)은 웨이퍼 위치지정 시스템(101)의 이동가능한 스테이지 상에 위치되는 타겟(121)의 표면에 충돌한다. 리소그래피 애플리케이션들에 대해, 타겟은 일반적으로, 하전 입자 민감층 또는 저항층이 제공된 웨이퍼를 포함한다.
도 2에 도시된 대표도는 훨씬 간략화된다. 바람직한 실시예에서, 단일 전자 빔이 먼저 많은 더 작은 서브빔들로 분할되고, 상기 서브빔들은 이후 훨씬 더 많은 수의 빔렛들로 분할된다. 이러한 시스템은 그 전체내용이 여기에 참조로 포함되는 미국 특허 출원 제61/045,243호에 기술된다.
이러한 시스템에서, 각각의 서브빔은 패터닝된 빔으로 간주될 수 있는 다수의 빔렛들로 분할된다. 일 실시예에서, 각각의 서브빔은 7 x 7 어레이로 배열되는 49개 빔렛들로 분할된다. 빔렛 블랭커 어레이는 바람직하게는 각각의 빔렛에 대해 연관된 블랭커 전극을 가지는 하나의 홀을 포함하여, 각각의 개별 빔렛의 온/오프 스위칭을 가능하게 한다. 패터닝된 빔에서 빔렛들의 배열 및 기록 전략들은 예를 들어, 여기에 그 전체 내용이 참조로 포함되는 US 특허 출원 제61/058,596호에 기술된다. 빔 편향기 어레이 및 투사 렌즈 어레이는 바람직하게는 각각의 패터닝된 빔에 대해 단 하나의 홀 및 렌즈를 포함한다(예를 들어, 하나의 패터닝된 빔을 이루는 49개 빔렛들의 각각의 그룹에 대해 하나의 홀 또는 렌즈). 빔렛들은 통상적으로 단일 스트라이프를 기록하는 그룹 내에서 결합된다(인터리빙/멀티플렉싱된다).
데이터 경로 아키텍처
데이터 경로(101)의 일 실시예의 간략화된 블록도가 도 3에 도시되며, 데이터 경로의 일부분이 또한 도 2에 나타난다. 빔렛 블랭커 어레이(117)의 스위칭이 데이터 경로를 통해 제어된다. 처리 유닛(140)은 통상적으로 벡터 파일 포맷으로 제공되는, 리소그래피 머신에 의해 제조된 디바이스의 레이아웃을 설명하는 정보를 수신한다. (오프라인 처리 시스템(104), 인라인 처리 시스템(105), 및 패턴 스트리밍 시스템(106)을 포함할 수 있는) 처리 유닛은 이 정보의 일련의 변환들을 수행하여 빔렛 블랭커 어레이(117)를 제어하기 위한 제어 신호들을 생성한다.
제어 신호들은 전기적 제어 신호들을 광학적 신호들로 전환하기 위해 레이저 다이오드들과 같은 전자-광학 전환 디바이스들(143)에 142를 통해 전송된다. 광학적 제어 신호들은 광섬유들(145)을 통해 가이드된다. 섬유들의 출력에서의 자유 공간 광빔들(146)은 렌즈들의 어레이(147)를 통해 홀이 있는(holey) 미러(148)로 가이드된다. 미러로부터, 광빔들은 빔 블랭커 어레이(117)의 밑면으로 반사된다. 개별 광 빔들은 빔 블랭커 어레이(117)의 밑면 상에 있는 광 다이오드들과 같은 복수의 광학-대-전기 전환 디바이스들(149) 상으로 지향된다. 바람직하게는, 모든 광섬유(145)에 대해, 빔렛 블랭커 어레이 상에 광 다이오드가 존재한다. 광 다이오드들은 개별 빔 블랭커 전극들을 활성화시켜 빔렛들(132)의 편향을 변조 또는 제어하여 개별 빔렛들을 스위칭 온 또는 오프하도록 동작한다.
개별 빔렛 블랭커 전극들을 제어하기 위한 제어 신호들은 바람직하게는 멀티플렉싱되고, 따라서, 각각의 광 빔(146)은 하나의 광 섬유 및 광 다이오드를 공유하는 다수의 빔렛들을 포함하는 채널에 대한 제어 신호들을 전달한다. 멀티플렉싱된 광 빔들은 광 다이오드들에 의해 수신되고 전기적 신호로 전환된다. 빔렛 블랭커 어레이(117)는 다수의 빔렛 블랭커 전극들을 개별적으로 제어하기 위한 제어 신호들을 유도하기 위해 광 다이오드들에 의해 수신된 제어 신호들을 디멀티플렉싱하기 위한 로직을 포함한다. 바람직한 실시예에서, 하나의 패터닝된 빔의 49개의 빔렛들을 제어하기 위한 개별 제어 신호들은 단일 광 섬유를 통한 전송을 위해 시간 멀티플렉싱되고, 빔렛 블랭커 어레이 상의 단일 광 다이오드에 의해 수신된다.
멀티플렉싱에 추가하여, 빔렛 제어 신호들은 또한 전송을 위해 프레임들로 배열될 수 있으며, 예를 들어, 빈번한 신호 전이들을 달성하기 위한 인코딩 기법을 사용하여, 전송을 개선하기 위한 동기화 비트들 및 추가 인코딩을 가져서, DC 커플링 방식으로 광 다이오드들 및 레이저 다이오드들을 사용하는 것을 방지할 수 있다. 전이들을 강제함으로써, 클록 신호가 자동으로 광학 신호에서 분배된다.
웨이퍼에 더 가깝게 빔 편향기 어레이(119)가 사용되어 y 방향으로 전자 빔렛들을 편향(또한 x 방향으로는 더 작은 편향)시켜서 웨이퍼(121)의 표면 상에서 전자 빔렛들의 스캐닝을 달성한다. 설명된 실시예에서, 웨이퍼(121)는 웨이퍼 위치지정 시스템(101)에 의해 x-방향으로 기계적으로 이동되고, 전자 빔렛들은 x-방향에 실질적으로 직교하는 y-방향으로 웨이퍼를 가로질러 스캐닝된다. 데이터를 기록할 때, 빔렛들은 y-방향으로 (플라이백(fly-back) 시간과 비교시) 천천히 편향된다. 스위프의 종료시, 빔렛들은 y-범위의 시작 위치로 다시 신속하게 이동한다(이는 플라이백이라 지칭된다). 빔 편향기 어레이(119)는 데이터 경로(103)로부터 타이밍 및 동기화 정보를 수신한다.
채널들
데이터 경로는 다수의 채널들로 분할될 수 있다. 채널은 전처리 유닛에서부터 리소그래피 시스템까지의 데이터 경로이다(그리고, 타겟까지 연장하는 것으로 간주될 수 있다). 도 4는 다수의 채널들을 포함하는 시스템의 예의 개념도를 도시한다. 패턴 데이터 파일(202)은 타겟에 대해 노출될 패턴의 부분들과 관련된 부분들(202a, 202b, 202c) 등으로 분할된다. 일 실시예에서, 각 부분은 웨이퍼 상에서 노출될 필드의 스트라이프에 대한 패턴 데이터를 포함한다. 패턴 데이터(202)는, 이 예에서 패턴 데이터의 각 부분에 대한 패턴 데이터를 전송하기 위한 별도의 채널들(204a, 204b, 204c 등)을 포함하는, 처리 및 통신 채널들(204)을 통해 전송된다. 일 실시예에서, 채널은 전기-대-광학 컨버터(예를 들어, 레이저 다이오드), 빔렛 제어 신호들을 전송하기 위한 광섬유, 및 광학-대-전기 컨버터(예를 들어, 광 다이오드)를 포함한다. 통신 채널들(204)은 리소그래피 머신의 빔렛 블랭커 어레이(206)에 패턴 데이터를 전송한다. 일 실시예에서, 빔렛 블랭커 어레이는, 각각이 단일 채널에 의해 전송되는 패턴 데이터에 따라 빔렛들의 그룹을 변조하기 위한 것인, 블랭커 엘리먼트들(206a, 206b, 206c 등)의 그룹들을 포함한다. 빔렛 블랭커 어레이(206)는 타겟 상에 필드(208)를 노출하기 위해 패턴 데이터에 따라 빔렛들을 변조한다. 일 실시예에서, 블랭커 엘리먼트들(206a, 206b, 206c 등)의 각 그룹에 의해 변조되는 빔렛들은 타겟 상에 필드의 대응하는 스트라이프(208a, 208b, 208c 등)를 노출한다.
채널은 다수의 개별 빔렛들(예를 들어, 하나의 패터닝된 전자 빔을 구성하는 49개의 빔렛들)을 포함하는 단일 패터닝된 빔에 대한 제어 신호들을 전송하기 위해 할당될 수 있다. 하나의 패터닝된 빔은 웨이퍼 상에 단일 스트라이프를 기록하기 위해 사용될 수 있다. 이러한 배열에서, 채널은 다수의 빔렛들(예를 들어, 49개 빔렛들)을 포함하고 패턴 데이터에 따라 하나의 스트라이프를 기록하기 위해 빔렛 제어 신호들을 전달하는 하나의 패터닝된 빔의 제어에 전용인 데이터 경로 컴포넌트들을 나타낸다. 서브채널은 패터닝된 빔 내에서 단일 빔렛의 제어에 전용인 데이터 경로 컴포넌트들을 나타낸다.
데이터 경로 처리
데이터 경로(101)는 벡터 패턴 데이터를 리소그래피 머신의 빔렛들을 제어하기 위한 출력 패턴 데이터로 변환한다. 출력 패턴 데이터는, 여기서 공간적으로 매핑된 픽셀 값들의 어레이로서 정의되는 비트맵 포맷이며, 일반적으로 웨이퍼의 노출 동안 리소그래피 머신에 대해 스트리밍된다. 데이터 경로 및 리소그래피 시스템은 공동 계류중인 미국 특허 출원 일련 번호 제61/179,761호, 제61/179,762호, 제 61/179,765호 및 제61/179,766호에 개시되며, 이들은 모두 본 출원의 소유자에게 양도되며, 모두 그 전체내용이 여기에 참조로써 포함된다.
반도체 디바이스들의 설계자들은 통상적으로, GDS-II 또는 Oasis와 같은 다층 벡터 데이터 포맷을 사용하여 디바이스들에 대한 레이아웃 설계들을 생성한다. 이러한 패턴 데이터는 실리콘 웨이퍼 또는 다른 기판으로부터 제조될 디바이스들의 피쳐들(트랜지스터들, 라인들, 패드들, 비아 홀들 등)의 형상들 및 사이즈들을 설명한다. 웨이퍼는 웨이퍼의 표면상에 패턴 데이터에 의해 정의된 피쳐들을 재생하기 위해 광 또는 하전 입자 빔들에 의해 노출된다.
현재 산업 표준은 300mm 웨이퍼이다. 도 5a는 필드들(302)로 분할된 웨이퍼(301)를 도시한다. 직사각형 필드들은 일반적으로 웨이퍼의 표면들 상에서 정의되며, 통상적으로 26mm x 33mm의 최대 디멘젼을 가진다. 각각의 필드는 다수의 반도체 디바이스들을 생성하기 위해 처리되지만(즉, 단일 필드를 노출하기 위한 패턴 데이터는 다수의 집적 회로 디바이스들을 만들기 위한 레이아웃 설계를 포함할 수 있음), 개별 디바이스들에 대한 레이아웃들은 필드 경계를 지나지 않는다. 26mm x 33mm의 최대 사이즈를 가지고, 단일 표준 웨이퍼 상에서 사용가능한 63개의 필드들이 존재한다. 더 작은 필드들이 가능할 것이며, 웨이퍼 당 더 많은 수의 필드들을 초래할 것이다. 패턴 데이터는 일반적으로 단일 필드의 피쳐들을 설명하며, 동일한 패턴 데이터가 일반적으로 전체 웨이퍼에 대해 사용되며, 따라서, 웨이퍼의 각 필드가 동일한 패턴으로 노출된다. 또한, 예컨대, 전체 필드들을 부분 필드들로 기록하고 웨이퍼 경계를 지남으로써, 부분적(불완전) 필드들을 기록하는 것이 가능하다.
리소그래피 머신의 바람직한 실시예에서, 머신은 13,000개의 서브빔들을 생성하고, 각각의 서브빔은 49개의 빔렛들로 분할되어, 637,000개의 빔렛들(즉, 13,000 x 49)이 된다. 빔렛 블랭커 어레이는 26x26mm의 영역 내에 637,000개의 홀들 및 13,000개의 광 다이오드들을 포함한다. 빔렛 블랭커 어레이 내의 각각의 광 다이오드는 49 (7x7)개 블랭커 홀들/빔렛들의 제어를 위한 멀티플렉싱된 제어 신호를 수신한다. 26mm의 거리에 걸쳐 13,000개의 서브 빔들은 (기계적 스캔에 직교하는) y-방향으로 폭 2μm인 그리고 x-방향의 필드만큼 긴, 스트라이프를 초래한다. 각각의 서브빔의 49개의 빔렛들은 단일 스트라이프를 기록한다.
도 5b는 x 방향으로 필드의 길이가 되는 몇몇 스트라이프들(304)로 분할되는 필드(302)의 개략도이다. 각각의 스트라이프에서의 빔렛들의 기록 방향은 라인들(306)에 의해 예시되는데, 이는 삼각형 형상 스캔 경로를 생성하는, 웨이퍼 스테이지가 x-방향으로 이동하는 동안의 y-방향으로의 빔렛 스캔 편향을 도시한다. 빔렛들이 통상적으로 한 방향으로 스캐닝하는 동안 기록만 하며, 리턴 스위프동안 턴오프된다는 것(시작하는 y 위치로 빔렛들을 리턴시킴)에 유의한다.
웨이퍼는 바람직하게는 앞뒤 x-방향 모두에서(즉, +x 및 -x 방향으로) 리소그래피 머신에 의해 기록된다(노출된다). (빔렛 스캔 편향기에 의한) y방향에서의 기록 방향은 일반적으로 한 방향이다.
필드의 사이즈(높이)가 전자/광학(EO) 슬릿의 사이즈(즉, 웨이퍼 상에 투사된 것과 같은 빔렛들의 완전한 어레이의 사이즈)보다 더 작도록 선택되는 경우(예를 들어, 26mm의 최대 사이즈보다 더 작음), 더 많은 필드들이 웨이퍼 상에 위치될 수 있지만, 빔렛들 모두가 웨이퍼 상에 기록하기 위해 사용되지는 않을 것이다. EO 슬릿은 더 많은 횟수로 웨이퍼를 스캔할 필요가 있을 것이며, 전체 스루풋이 감소할 것이다.
머신이 필드에 패턴들을 기록하는 경우, 빔렛 블랭커 어레이가 다음 필드로 진입하여 패턴들의 기록을 시작하는 일부 순간에, 머신은 동시에 2개의 필드들을 기록할 수 있어야 한다. 필드가 충분히 작은 경우, 머신은 동시에 3개의 필드들을 기록할 수 있어야 한다.
리소그래피 머신에서 웨이퍼들을 기록하기 위한 프로세스는 후속하는 단계들의 순서로 대략 설명될 수 있다. 웨이퍼(121)는 웨이퍼 위치지정 시스템(101)의 스테이지 상에 설치되고, 컬럼(102)은 진공 조건들에서 유지되고, 빔렛들이 교정된다. 웨이퍼는 기계적으로 정렬되고, 필드마다 정렬들(오프셋들)이 계산된다. 웨이퍼는 스테이지에 의해 +x 방향(x방향은 또한 여기서 기계적 스캔 방향이라고 지칭됨)으로 이동하고, 컬럼이 제1 필드를 기록하기 시작하며, 빔렛들은 x 방향에 직교하는 y 방향으로 스캐닝된다. 빔렛 블랭커 어레이의 홀들의 선두 행이 필드 경계를 통과하는 경우, 오프셋 보정들이 다음 필드를 위해 설치된다. 따라서, 제1 필드가 여전히 기록되는 동안, 리소그래피 시스템은 다음 필드를 기록하기 시작할 것이다. 행에서 마지막 필드를 기록한 후, 스테이지는 빔렛 블랭커 어레이 하의 웨이퍼 상에 필드들의 다음 행을 위치지정하도록 이동할 것이다. 스테이지가 -x 방향(즉, +x 방향의 역)으로 이동하는 동안 새로운 실행이 시작될 것이다. 스캔 편향의 방향은 바람직하게는 변경되지 않는다.
웨이퍼 상에 노출될 패턴을 설명하는 패턴 데이터 파일은 일반적으로 벡터 포맷으로, 통상적으로는 GDS-II 또는 OASIS 포맷과 같은 산업 표준 포맷으로 생성된다.
오프라인 전처리
전처리 단계는 표준 벡터 데이터 포맷을 벡터 데이터 및 도스 맵으로 변환한다. 전처리는 평탄화, 근접도 효과 보정, 레지스트 가열 보정, 및 다른 조작들 및 보정들을 포함할 수 있다.
평탄화 단계는 통상적으로 디바이스 설계의 다수의 층들을 설명하는 설계 데이터를 포함하고 계층적 데이터 구조들을 포함하는 표준 패턴 데이터 파일을 벡터 포맷인 단층 2차원 패턴 데이터로 변환한다. 벡터 데이터는 웨이퍼 상에 노출될 패턴들의 2차원 형상을 설명한다. 도스 맵은 웨이퍼 상의 상이한 영역들에 대한 노출 도스 값들을 포함할 수 있고, 일반적으로 전처리동안 계산된다. 도스 맵은 그리드의 셀당 하나의 도스 레이트를 포함하는 그리드로서 구현될 수 있고, 셀 사이즈는 통상적으로 웨이퍼를 패터닝하기 위한 원하는 임계 치수(critical dimension)와 동일하거나 더 작다. 도스 맵은 바람직하게는 오직 배경 도스 값들만을 포함하지만, 전경 도스 값들 또는 배경 및 전경 값들 모두를 포함할 수 있다. 또한, 도스 맵은 해당 형상의 도스를 설명하는, 각 2차원 형상에 대한 태그로서 패턴 데이터에서 구현될 수 있다.
근접도 효과 보정은 웨이퍼의 처리 이후 패턴 충실도(fidelity)를 개선하기 위해, 전처리동안 수행될 수 있다. 근접도 효과 보정들은 노출 빔들의 전자들/입자들의 산란을 고려하도록 도스 값 및/또는 기하학 구조 어느 하나를 수정함으로써 다루어질 수 있다. 기하학 구조 변경들은 피쳐의 하나 이상의 에지들을 시프팅함으로써, 예를 들어, 피쳐 형상의 코너들 근처에서 또는 패턴 데이터에 의해 설명된 라인의 길이에 따른 어느 곳에서, 통상적으로 CD의 1/3의 길이를 가지는 작은 셰리프(serif)들을 추가함으로써 수행될 수 있다. 레지스트 가열 보정은 사용되는 레지스트의 특성들의 결과로서 발생하는 효과들을 보상하기 위해 수행될 수 있다.
또한, 각 필드에 대한 데이터는 시스템의 하나의 채널에 의해 기록될, 통상적으로 2 미크론 폭의 스트라이프들로 분할될 수 있다. 이는 필드 도스 맵을 채널당 도스 맵으로 분할하고, 하나의 채널에 의해 기록되는 스트라이프 영역에 대한 다각형들을 감소시킴으로써 수행될 수 있다. 스트라이프 영역은 바람직하게는 스티칭 전략(stitching strategy) 및 디더링 개시 결함들을 고려하기 위해, 스트라이프의 경계들을 넘어서 확장한다. 중요 피쳐들이 단일 채널/스트라이프에 할당되는 "스마트 경계" 스티칭 전략이 사용되는 경우, 스트라이프 경계 상의 다각형들의 중요 피쳐들은 도스 맵의 분할 시 특정 스트라이프/채널에 할당된다.
전처리의 복잡도로 인해, 이러한 단계는 바람직하게는 오프라인으로 수행되고, 일반적으로 소프트웨어에 의해 수행되며, 일반적으로 각 설계에 대해 한번 수행된다(즉, 전처리 단계는 설계 자체가 변경되지 않는 한, 설계에 대해 다시 수행되지 않는다.
처리
도 6은 벡터 포맷인 입력 패턴 데이터를 마스크리스 리소그래피 머신의 빔렛들을 변조하기에 적절한, 공간적으로 매핑된 픽셀 값들의 어레이의 형태인 출력 패턴 데이터로 전환하기 위한 프로세스를 예시하는 간략화된 흐름도이다. 전처리된 입력 패턴 데이터(310)는 단계(312)에서 렌더링되어 렌더링된 입력 패턴 데이터(314)를 생성하는데, 이는 이후 제1 양자화 단계(316)에서 양자화되어 중간 패턴 데이터(318)를 생성한다. 양자화는 가장 근접한 중간 패턴 레벨로 라운딩하고, 양자화 에러를 폐기하거나 에러 확산 디더링을 사용하여 인접한 픽셀들에 대해 에러를 재분배함으로써 수행될 수 있다. 다음 단계에서, 중간 패턴 데이터(318)는 단계(320)에서 재-샘플링되어 재-샘플링된 패턴 데이터(322)를 생성하고, 이는 다시 제2 양자화 단계(324)(여기서 재-양자화라고 지칭됨)에서 양자화되고 선택적으로 디더링(여기서 재-디더링이라고 지칭됨)되어 출력 패턴 데이터(326)를 생성하고, 이는 타겟을 노출시키도록 빔렛들을 제어하기 위해 리소그래피 머신에 전송된다.
도 7은 도 6의 동작들을 수행하기 위한 아키텍처의 예를 도시한다. 전처리 시스템(104)은 제1 저장 매체(220), 예를 들어, 하드 디스크 드라이브에 저장되는 리소그래피 툴(109)에 입력 패턴 데이터(310)를 업로드한다. 입력 패턴 데이터는 저장소(220)로부터 판독되고 프로세서(221)에 의해 렌더링 및 양자화(선택적으로 디더링)되어, 제2 저장 매체(222), 예를 들어, 하드 디스크에 저장되는 중간 패턴 데이터(318)를 생성한다. 프로세스의 이들 단계들은 통상적으로 완전한 필드에 대한 패턴 데이터를 저장하는 일반적으로 웨이퍼, 저장소(220) 및 저장소(222)의 노출 시작에 앞서 수행된다. 중간 패턴 데이터는 결정론적 사이즈를 가지는 공간적으로 매핑된 픽셀 값들의 어레이의 형태(여기서, 비트맵으로 지칭됨)이며, 따라서, 중간 패턴 데이터를 저장하기 위해 필요한 저장 용량, 예를 들어, 저장소(222)가 미리 결정될 수 있다.
이후, 중간 패턴 데이터(318)는 저장소(222)로부터 판독되며, 좌표 변환 및 재-양자화(그리고 선택적으로 재-디더링)가 프로세서(223)에 의해 수행되어, 일반적으로 디지털 메모리, 예를 들어, RAM의 일부 형태인 저장 매체(224)에 저장되는 출력 패턴 데이터(326)를 생성한다. 이들 단계들은 일반적으로, 통상적으로 필드의 오직 일부분에 대한 패턴 데이터를 저장하는 저장소(224)에서 웨이퍼의 노출 동안 적어도 부분적으로, 실시간으로 수행된다. 출력 패턴 데이터는 저장소(224)로부터 판독되고, 데이터 전송 시스템(225)에 의해 컬럼(102)으로 전송된다.
오프라인, 인라인 및 실시간 처리
중간 패턴 데이터의 데이터 사이즈는 바람직하게는 설계에 대해 일정하게 유지되어, 픽셀 당 비트들의 수가 증가되는 경우, 픽셀들의 사이즈 역시 대응적으로 증가하며, 그 역도 성립한다. 예를 들어, 바이-레벨 B/W 중간 패턴 데이터에 대한 2.5 nm의 픽셀 사이즈가 충분한 정밀도를 제공되는 경우, 픽셀 멀티 레벨 중간 패턴 데이터 당 4비트가 사용되었다면, 픽셀 사이즈는 5 nm로 증가될 것이다. 예를 들어, 26 x 33 mm 웨이퍼 필드에 대해, 2.5 nm 바이-레벨(픽셀 당 1-비트) 패턴 데이터는 (인접 스트라이프들 사이의 어떠한 오버랩도 포함하지 않는) 대략 137 테라비트의 저장 공간을 요구할 것이다.
벡터 포맷 입력 패턴 데이터(및 선택적인 도스 맵)로부터 중간 패턴 데이터로 전환하기 위한 렌더링 및 양자화는 바람직하게는 패턴 데이터를 사용하여 처리되는 웨이퍼들의 배치(batch) 당 한번 수행되며, 오프라인, 인라인 또는 온라인으로 수행될 수 있다. 오프라인 전환은 통상적으로 리소그래피 머신과는 별도의 데이터 처리 시스템에 의해 소프트웨어로 수행된다. 오프라인 전환은 일반적으로 시간 제약이 존재하지 않으므로 처리 시간이 일반적으로 문제가 되지 않는다는 장점을 가진다. 그러나, 벡터 패턴 데이터를 비트맵 포맷으로 전환하는 것은 데이터의 사이즈를 상당히 증가시키고, 예를 들어, 하드 디스크 드라이브 또는 다른 자기 또는 광학적 저장 매체 상에, 데이터를 저장하기 위해 요구되는 저장 용량의 양을 증가시킨다. 매우 많은 수의 칩 설계들은 비트맵 포맷으로 저장되는 경우 많은 양의 저장 공간을 요구할 것이다. 비트맵 포맷으로의 전환 이후 이루어지는 칩 설계에 대한 임의의 변경들은 또한 재전환을 요구할 것이다.
또한, 중간 패턴 데이터로의 전환은, 통상적으로 리소그래피 머신의 일부분으로서 포함되는 데이터 처리 시스템에 의해 소프트웨어로 수행되는 리소그래피 머신에의 입력 패턴 데이터의 업로드 동안 "인라인"으로 또한 수행될 수 있다. 인라인 전환은, 상기 전환이 데이터가 사용되기 바로 직전까지 지연되어, 요구되는 오프라인 저장 용량을 감소시킨다는 장점을 가진다. 인라인 전환은 전환되는 패턴 당 약 1시간이 소요될 수 있다. 리소그래피 머신이 요구되는 데이터 처리 능력을 가지는 경우, 이 방법이 유리할 수 있다.
또한, 중간 패턴 데이터로의 전환은 노출이 시작되기 바로 직전 또는 시작된 이후 실시간으로 수행될 수 있다. 이는 하드디스크로부터, 리소그래피 머신의 블랭커 어레이로 비트맵 데이터를 스트리밍하는 패턴 스트리머 시스템으로의 업로드 동안 수행될 수 있다. 이는 빠른 업로드를 요구할 것이며, 통상적으로 업로드 및 전환을 위해 패턴 당 약 6분이 소요될 수 있다. 처리 시간은 이러한 옵션이 즉시 사용을 위한 패턴 데이터를 준비하기 위해 중요하다.
렌더링
다시 도 6을 참조하면, 입력 패턴 데이터(310)는 단계(312)에서 렌더링되어 렌더링된 입력 패턴 데이터(314)를 생성한다. 렌더링 프로세스는 벡터 포맷으로 설명되는 형상 정보를, 그리드의 정사각형들, 어레이의 영역들, 또는 각 픽셀에 대한 데이터와 같은 이미지의 영역 당 이미지 데이터를 포함하는 포맷으로 전환한다.
도 8a는 타겟 상에 노출될 피쳐를 나타내는 블랙 배경 상에 화이트 형상(402)을 도시하는 입력 패턴 데이터의 일부분(400)의 표현을 도시한다. 도 8b는 입력 패턴 데이터를 렌더링하기 위한 한 방법을 예시하기 위해 입력 그리드(403)와 오버레이되는 도 8a의 이미지 영역을 도시한다. 각 셀에 대해, 그리드는 타겟 상에 노출될 패턴의 한 엘리먼트와 관련된 값을 정의하며, 하기에서 픽셀이라고 지칭될 것이다. 패턴 데이터의 픽셀이 반드시 타겟 상에서 빔렛에 의해 노출되는 픽셀과 직접 관련되지는 않는다는 점에 유의한다. 각 픽셀에 대해, 피쳐에 의해 점유되는 픽셀의 일부분, 예를 들어, 블랙이 아닌 화이트인 도 8b의 그리드 정사각형의 일부분을 나타내는 값이 결정된다. 도 8c는, 또한 그레이 값들이라 지칭되며, 중간 패턴 데이터(314)를 형성하는 결과적인 값들의 어레이를 도시한다. 정사각형 입력 그리드가 도 8b 및 8c에 도시되지만, 그리드는 또한 직사각형 그리드, 서로 오프셋되는 행들을 가지는 직사각형 또는 정사각형 그리드, 삼각형 그리드 등과 같은 많은 다른 형태들을 취할 수 있다.
일 실시예에서, 또한 전경 도스라고도 지칭되는 최대 도스 및 또한 배경 도스라고도 지칭되는 최소 도스가 사용된다. 최소 도스는 제로일 수 있거나 넌-제로(non-zero)값일 수 있다. 피쳐(402) 내에 완전히 포함되는 각 픽셀(예를 들어, 도 8b의 그리드 정사각형(404))에는 전경 도스의 최대를 나타내는 값이 할당되고, 완전히 피쳐 외부에 있는 픽셀들(예를 들어, 그리드 정사각형(405))에는 배경 도스의 최소값을 나타내는 값이 할당된다. 피쳐에 의해 부분적으로 커버되는 픽셀들(예를 들어, 그리드 정사각형(406))에는 피쳐에 의해 커버되며, 최소 및 최대 도스 값들 사이에서 적절하게 스케일링되는 픽셀(예를 들어, 도 8c에 그리드 정사각형들(407))의 일부분에 비례하는 그레이 값이 할당된다. 실제로 일부 양자화는 디지털 컴퓨팅 시스템들에서 항상 발생하지만, 여기서 그레이 값들은 본래 양자화 에러가 없는 무한대의 분해능을 가진다. 픽셀들의 행들은 바람직하게는 한 방향으로(예를 들어, 최상부에서 최하부로) 계속적으로 처리되며, 각 행은 동일한 방향으로(예를 들어, 좌에서 우로) 처리되며, 처리는 또한 두 방향들, 예를 들어, 구불구불한(serpentine) 패턴으로 수행될 수 있다.
입력 패턴 데이터는 바람직하게는 도스 맵의 형태로, 바람직하게는 도스 정보에 의해 수반된다. 만약 존재한다면, 도스 정보는 바람직하게는 중간 패턴 데이터를 생성할 때 고려된다. 예를 들어, 도 8b의 각 픽셀에 대해 결정된 그레이 값은 피쳐에 의해 점유되고, 최소 및 최대 도스 값들 사이에서 스케일링되고, 픽셀에 의해 커버되는 영역에 대한 관련된 도스 값으로 곱해지는 픽셀의 일부분을 포함할 수 있다.
제1 양자화
도 6의 흐름도를 다시 참조하면, 렌더링 단계에 의해 결정되는 중간 패턴 데이터(314)는 이후 특정 비트들 수에 의해 정의되는 미리 결정된 분해능을 가지고 각각의 값의 저장을 가능하게 하도록 양자화된다. 양자화는 일반적으로 가장 근접한 n비트 중간 패턴 레벨로 라운딩됨으로써 수행된다. 예를 들어, 각각의 값이 4비트로 표현되는 경우, 양자화된 값들은, 예를 들어, 16개의 그레이 스케일 값들을 나타내는 16개의 상이한 값들 중 하나를 취할 수 있다. 양자화 에러는 이 단계에서 생성되며, 폐기되거나, 또는 선택적으로 에러 확산 디더링을 사용하여 인접 픽셀들에 대해 재분배될 수 있다. 제1 디더링을 포함하는 제1 양자화 단계(316)는 도 8d에서 도시되는 바와 같이 n 비트 중간 패턴 데이터(318)를 생성하도록 양자화 및 에러 확산을 수행하기 위해 사용된다. 양자화 이후, 하나의 픽셀 값에 대한 양자화 에러는 제1 디더링 프로세스에 의해 이웃 픽셀들로 전파되어 양자화 에러의 손실을 회피하고 중간 패턴 데이터의 정확도를 개선한다.
도 8e는 2차원 양자화 에러 전파 프로세스의 예를 예시한다. 이 예에서, 중간 패턴 데이터의 각각의 그레이 값은 16개의 가능한 그레이 레벨들 중 하나를 정의하는 4비트 값으로 양자화된다. 각 픽셀이 처리됨에 따라, 양자화 에러는 이웃 픽셀들로 확산된다. 이 예에서, 에러는 2차원으로, 즉 디더링 방향으로의 이웃 픽셀로 그리고 아직 디더링되지 않은 다음 행으로 확산된다. 도시된 예에서, 디더링 프로세스가 좌에서 우로 그리고 최상부에서 최하부로 진행한다는 점에 유의한다. 최상부 행 및 중간 행의 제1 픽셀은 이미 처리되었다. 중심 픽셀이 처리되고 있으며, 양자화 에러는 왼쪽으로(디더링 방향으로) 다음 픽셀에, 그리고 아래로(또한 디더링 방향으로) 다음 픽셀에 분배되고 있다. 디더링 기법들은 통상적으로는 인쇄시에 컬러 변동들 또는 그레이스케일들을 구현하기 위해 사용된다. 일부 잘 공지된 알고리즘들은 에러 확산(2x2 행렬) 및 Floyd Steinberg (2x3 행렬)이고, 디더링 기법들은 또한, 미국 특허 출원 제61/179,760호에 개시된다. 디더링은 통상적으로 한 방향으로, 예를 들어, 한 방향으로(예를 들어, 최상부에서 최하부로) 계속해서 픽셀들의 행들의 디더링 및 동일한 방향으로(예를 들어, 좌에서 우로) 각 행의 디더링이 수행되지만, 또한, 두 방향들로, 예를 들어, 구불구불한 패턴으로 수행될 수 있다. 디더링 알고리즘은 통상적으로, 일부 데이터를 "웜 업"할 필요가 있고, 스트라이프 폭은 더 양호한 결과를 위해 작은 마진들을 가지고 확장될 수 있다.
리소그래피 목적들을 위해, 디더링 프로세스에 대해 일부 개선들이 이루어질 수 있다. 에러 전파는 바람직하게는 패턴 데이터의 피쳐 에지들에 걸쳐 전파되지 않고, 대신 다른 방향으로 전파되지만, 또한, 어떤 도스도 요구되지 않거나 오직 배경 도스만 요구되는 경우 픽셀들에 대해 양자화 에러를 전파하는 것이 일반적으로 유용하지 않으므로, 폐기될 수도 있다. 또한, 이것을 CD 및 피치에 대한 적정한 값들의 견지에서 보아야 한다. 그레이 값에서 제로 값으로의 전이의 경우, 이는 더 많은 제로 픽셀들이 뒤따를 것임을 보장한다.
디더링 프로세스에 대한 또다른 개선은 처리되는 픽셀과는 특정 양을 초과하여 상이한 값을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 에러 확산을 제한하는 것이다. 피쳐의 에지, 피쳐의 내부 및 피쳐의 외부 상의 픽셀들이 서로 상당히 상이한 값들을 가질 수 있으므로, 이 방법은 에러가 에지, 피쳐의 내부 또는 외부에 머무르는 것을 보장한다.
또한, 더 복잡한 규칙들이 가능하다. 이들은 바람직하게는 전처리동안 계산되며, 이후 결과는 해당 픽셀에 대한 시프트 규칙의 결과(outcome)를 설명하는 각 픽셀에 대한 라벨로서 저장될 수 있다. 이후, 에러 확산은 처리되는 중인 픽셀과는 상이할 라벨을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 제한된다.
디더링 프로세스가 이웃 픽셀들로 양자화 에러를 전파하므로, 이는 또한 스캔 라인 당 서브픽셀을 다룬다. 양자화 에러를 정확한 방식으로 전파하기 위해, 또다른 스캔 라인으로의 에러 전파는, 스캔 라인들이 정렬되지 않는 경우, 예를 들어, 인접 행들에서의 픽셀들이 서로 오프셋되는 경우, 사소하지 않다(not trivial). 양자화 에러는 이웃 픽셀들 사이의 오버랩의 양에 기초하여 전파될 수 있고, 따라서, 더 많은 오버랩을 가지는 픽셀들은 전파되는 양자화 에러의 더 큰 부분을 수신한다. 대안적인 그리고 더 간단한 전략들은 오직 가장 큰 오버랩을 가지는 이웃에게만 에러를 전파하는 것이다.
렌더링(312) 및 제1 양자화(및 선택적으로 제1 디더링)(316)가 분리된 단계들로서 설명되지만, 두 프로세스들 모두 일반적으로 다음 픽셀이 처리되기 전에 각 픽셀에 대해 적용된다는 점에 유의한다.
재-샘플링
도 6의 흐름도를 다시 참조하면, 다음 단계에서, 중간 패턴 데이터(318)는 재-샘플링 동작(320)을 겪어서 재-샘플링된 패턴 데이터(322)를 생성한다. 재-샘플링된 패턴 데이터(322)는 바람직하게는 추가적인 좌표 변환을 요구하지 않고 리소그래피 머신의 빔렛 분해능에 매치된다. 예를 들어, 재-샘플링된 패턴 데이터의 픽셀 사이즈는 바람직하게는 타겟 표면상의 빔렛들의 원하는 픽셀 사이즈에 매치된다.
도 8f는 재-샘플링의 예를 예시한다. 도 8d의 양자화된 중간 패턴 데이터는 출력 그리드(410)에 오버레이되어 도시된다. 출력 그리드(410)는 바람직하게는 입력 그리드(403)보다 더 작은 픽셀들을 정의하고, 출력 그리드(410)는 바람직하게는 타겟 표면 상의 빔렛들의 원하는 픽셀 사이즈에 매칭된다. 예를 들어, 3.5 nm의 원하는 픽셀 사이즈에 대해, 출력 패턴 데이터의 각각의 픽셀은 타겟 상에 3.5 nm의 픽셀을 노출하기 위한 빔렛들에 대한 도스 값을 나타낸다. 재-샘플링된 패턴 데이터 및 출력 패턴 데이터의 픽셀은 바람직하게는 리소그래피 머신으로의 스트리밍을 위한 픽셀 사이즈와 동일하다.
출력 그리드(410)의 각 셀/픽셀에 대해, 해당 도스 값들에 의해 점유되는 출력 픽셀의 일부분들 및 재-샘플링된/출력 픽셀 내에 있는 중간 패턴 데이터의 도스 값들을 나타내는 값이 결정된다. 예를 들어, 도 8f에서, 밝은 그레이의 작은 영역을 가지는 픽셀(411)은 거의 블랙이며, 픽셀(412)은 완전히 밝은 그레이이고, 밝은 그레이의 작은 영역을 가지는 픽셀(413)은 거의 화이트이다. 재-샘플링된 픽셀들에 대한 가중된 "평균" 그레이 값들은 중간 그레이 값들(예를 들어, 블랙, 밝은 그레이, 화이트) 및 이들이 점유하는 픽셀의 일부분에 기초하여 결정된다. 도 8g는 재-샘플링된 패턴 데이터(322)를 형성하는 그레이 값들의 결과적인 어레이를 도시한다. 도 8f 및 8g에 정사각형 출력 그리드가 도시되지만, 그리드는 또한 직사각형 그리드, 오프셋 행들을 가지는 정사각형 또는 직사각형 그리드, 삼각형 그리드 등과 같은 많은 다른 형태들을 취할 수 있다.
렌더링 단계와 유사하게, 재-샘플링된 패턴 데이터의 그레이 값들은 본래 충분히 낮은 저 양자화 에러를 가지지만, 실제로 일부 양자화가 디지털 컴퓨팅 시스템들에서 항상 발생한다. 픽셀들의 행들은 바람직하게는 한 방향으로(예를 들어, 최상부에서 최하부로) 계속해서 처리되며, 각각의 행은 동일한 방향으로(예를 들어, 좌에서 우로) 처리되며, 처리는 또한 두 방향들로, 예를 들어, 구불구불한 패턴으로 수행될 수 있다.
보정들은 재-샘플링 단계의 일부로서 또는 재-샘플링 단계와 함께 적용된다. 재-샘플링은 바람직하게는 실시간으로 수행되고, 따라서 이들 보정들은 또한 실시간 보정들이다. 보정들은, 예를 들어, 빔-대-빔 도스 보정, 스트라이프 내의 시프팅, 및 스트라이프 내의 스케일링을 포함할 수 있다.
시프팅
스트라이프 내의 시프팅은, 입력 패턴 데이터의 원점에 대해 출력 그리드의 원점을 이동함으로써, x-방향(기계적 스캔 방향으로), y-방향(기계적 스캔 방향에 직교하여), 또는 두 방향 모두에서 적용될 수 있다. 이후, 각각의 재-샘플링된/출력 픽셀 내의 그레이 레벨의 값이 위와 같이 결정된다.
패턴이 웨이퍼 상에 기록되는 경우, 패턴을 기록하는 빔렛들이 모두 완벽하게 정렬될 가능성이 작다. 이러한 오정렬을 보정하고 빔렛들이 정렬된 스트라이프들을 기록하는 것을 가능하게 하기 위해, 패턴 데이터는 정렬 에러들을 보상하도록 조정된다. 이러한 조정은 소프트웨어 또는 하드웨어를 사용하여 이루어질 수 있으며, 패턴 데이터의 처리 동안 상이한 스테이지들에서 수행될 수 있다. 예를 들어, 보정들은, 입력, 중간 또는 출력 패턴 데이터에 대해 이루어질 수 있다.
빔렛들의 오프셋들은 x-방향(스테이지 이동 방향) 또는 y-방향(빔렛 스캔 편향 방향) 또는 두 방향 모두에서 발생할 수 있다. 오프셋들은 풀 픽셀 시프트 및/또는 서브픽셀 시프트에서 발생할 수 있다. 풀 픽셀 시프트는 래스터화 이후 다수의 픽셀들을 시프팅함으로써 달성될 수 있다. 서브픽셀 시프트는 래스터화 프로세스의 일부분으로서 달성될 수 있다.
글로벌 패턴 시프팅(즉, 채널 내의 모든 빔렛들의 시프트)이 (x- 및 y-방향에서의) 스트라이프 위치 보정 및 (x- 및 y-방향에서의) 필드 위치 보정을 위해 사용될 수 있다. 스트라이프 위치 보정을 위한 x 및 y 패턴 시프트의 예가 도 9에 도시된다. 도면의 왼쪽에, 의도된 위치에 오버레이되는 원하는 패턴을 가지는 스트라이프가 도시된다. 도면의 오른쪽에, 어떠한 보정도 이루어지지 않은 경우 기록될 오버레이된 패턴을 가지는 스트라이프가 도시된다. 알 수 있는 바와 같이, 글로벌 패턴 시프트는 채널의 모든 빔렛들로 하여금 위로 그리고 좌측으로 시프트된 위치에 기록하게 하기 위해 요구된다.
빔 오프셋들은 통상적으로 교정 이후 빈번하게(웨이퍼 또는 필드 당 한번) 수행된다. 빔렛들이 동일한 채널에서 다른 빔렛들에 대해 완벽하게 정렬되고, 따라서, 채널 내의 모든 빔렛들이 동일한 패턴 오프셋을 획득한다는 점이 가정될 수 있다.
패턴 시프팅을 위한 통상적인 요건들은 글로벌 시프팅을 위한 채널 당 개별 x 및 y 시프트 세팅들, 및 필드 당 한번의 파라미터 업데이트이다. 통상적인 최대 시프트 범위는 +200 nm 내지 -200 nm일 수 있으며, 0.1 nm의 시프트 정확도를 가진다. 패터닝된 빔 내의 모든 빔렛들이 동일한 오프셋 값들을 사용하는 것이 기대되므로, 이러한 보정은 글로벌 시프트들에 대해 채널마다 존재한다. 글로벌 패턴 시프트에 대해, 채널 패턴은 빔 인터리빙 전략들과는 완전히 독립적으로 시프팅된다.
스케일링
스케일링은 빔렛들의 스캔 편향의 변동들에 대해 보정하기 위해 사용될 수 있다. 빔렛들은 y-방향으로 각각의 스캔 동안 편향되고, 스트라이프의 한 측에서 다른 측으로 패턴을 기록한다. 편향 거리는 바람직하게는 스트라이프 폭 및 오버스캔 거리의 두배 모두를 커버한다. 리소그래피 머신에 의해 생성되는 빔들의 편향이 모든 빔들에 대해 완벽하게 균일하지 않은 경우, 빔렛들의 한 그룹은 다른 것들보다 더 강하게 편향될 것이며, 따라서, 편향 거리들은 빔렛들의 그룹들 간에 상이할 것이다. 스캔 편향 강도의 차이들은 어레이에 대해 발생하는 전압 강하들로 인해 스캔 편향 어레이의 표면에 걸쳐 발생할 것이다. 이들 전압 강하들은 어레이의 먼 종단들에서 더 약한 편향 필드를 초래할 것이며, 더 약한 편향 필드들을 겪는 빔렛들에 대해 편향 거리가 더 짧을 것이다.
스케일링은 스케일링 인자에 의해 중간 패턴 데이터를 확대 또는 축소함으로써, 출력 그리드(410)를 스케일링하고 이후 전술된 바와 같이 재-샘플링을 수행함으로써 적용될 수 있다. 이러한 스케일링 보정은 일반적으로 (기계적 스캔 방향에 직교하는) y-방향으로 스트라이프 내에 적용된다. 스트라이프의 중심은 일반적으로 스케일링 전후에 동일하게 유지된다. 패턴 스케일링의 예가 도 10에 도시된다. 도면의 좌측에 수평 점선들 사이에 패턴 피쳐의 의도된 스케일링을 가지고 오버레이되는 원하는 패턴을 가지는 2 미크론 폭의 스트라이프가 도시된다. 도면의 우측에, 어떠한 스케일링 보정도 이루어지지 않은 경우 기록될 오버레이된 패턴을 가지는 스트라이프가 도시된다. 알 수 있는 바와 같이, 패턴 스케일링 보정은 채널의 모든 빔렛들의 편향을 감소시켜서 정확한 스케일링을 가지고 피쳐를 기록하기 위해 요구된다.
스케일링은 리소그래피 머신의 빔렛 블랭커로 전송되는 데이터 신호의 비트레이트를 조정하여 상이한 개수의 픽셀들에 걸쳐 노출 패턴을 확산시킴으로써 달성될 수 있다. 동기화 고려사항들로 인해, 비트 레이트의 변경은 바람직하지 않다. 이를 회피하기 위해, 스케일링은 상이한 개수의 비트들/픽셀들에 걸쳐 패턴을 확산시킴으로써 수행될 수 있다. 빔렛들의 단일 그룹에 속하는 빔렛들은, 이들이 스캔 편향 어레이의 동일한 편향기 엘리먼트에 의해 편향되기 때문에, 동일한 편향 강도를 가진다고 가정된다. 따라서, 패턴 스케일링 인자는 동일한 그룹 내의 모든 빔렛들에 대해 동일하다.
패턴 스케일링은 채널 당 보정을 요구하며, 보정 파라미터는 바람직하게는 리던던시 스캔 리셔플(reshuffle)마다 한번 업데이트한다. 최대 범위는 통상적으로 1 내지 1.1(예를 들어, 2μm는 2.2 μm가 된다)이며, O.1nm/1 μm = 1/10,000의 정확도를 가진다. 빔렛들이 동일한 편향기 어레이를 공유하므로 편향 강도는 채널 내의 모든 빔렛들에 대해 동일하다고 가정되며, 이 편향기 내의 동일한 위치에서 더하거나 덜하다.
회전 및 왜곡
또한, 보정들은 타겟 상에서 형성되는 이전 패턴층들에 대한 패턴의 회전 또는 왜곡에 대해 보정하기 위해 이루어질 수 있다. 이는 출력 그리드(410)를 회전또는 왜곡시킴으로써 이루어질 수 있다. 왜곡 또는 회전은 상이한 리소그래피 툴에 의해 생성되거나 노출되는 또다른 층과의 매칭 또는 오버레이 성능을 개선하기 위해 사용될 수 있다. 왜곡은, 예를 들어, 필드 사이즈 조정, 필드 위치 조정, 필드 회전, 비대칭 필드 사이즈 조정, 및 비대칭 회전을 포함할 수 있다. 또한, 더 높은 정도의 보정들이 가능하다. 이러한 프로세스를 사용함으로써, 웨이퍼의 필드의 임의의 왜곡은 출력 그리드(410)를 적절하게 왜곡시킴으로써 보상될 수 있다.
도스 보정들
최대(전경) 도스 및 최소(배경) 도스는 전술된 바와 같은 렌더링 단계에서 사용될 수 있다. 빔렛-대-빔렛 도스 보정은 스트라이프마다 임계값(또는 균등값)을 개별적으로 조정함으로써, 제2 디더링 단계에서 적용될 수 있다. 리소그래피 머신에서의 제조 허용오차 변동들로 인해, 유효 도스는 빔렛마다 달라진다. 빔렛 스캔 편향 강도의 변동들은 또한 도스 세기의 변동을 초래할 수 있다. 이러한 변동들은 도스 보정 인자를 적용함으로써, 예를 들어, 도스 보정 인자에 의해 계산된 출력 패턴 데이터 값들을 곱함으로써 보정될 수 있다. 또한, 도스 보정은 픽셀 화이트 값들 및/또는 임계값들을 조정함으로써, 제2 디더링 프로세스에서 적용될 수 있다. 예를 들어, 빔렛이 90%의 도스 인자를 가지고 교정되는 경우, 그 세기는 100% / 90% = 111.1%이다. 따라서, 100이 디폴트인 경우 디더링을 위해 사용되는 화이트 값은 111.1일 것이며, 디폴트가 50인 경우 디더링 임계값은 55.6일 것이다. 도스 보정은 바람직하게는 빔렛마다 수행되며, 도스 보정 인자는 바람직하게는 웨이퍼 당 한번 업데이트된다. 도스 보정을 위한 통상적인 요건들/값들은 50% - 100%의 도스 맵, 0.2% 단계 사이즈의 패턴 도스 정확도, 80% - 100%의 빔 도스 보정 인자, 및 0.2% 단계 사이즈의 빔 도스 정확도이다. 결과적인 도스 레이트는 가장 근접한 값으로 라운딩되어야 한다.
디더링 프로세스를 위해 사용되는 도스는 중간 패턴 데이터로부터의 도스 레이트, 빔렛 당 도스 인자, 및 채널에 대한 스케일링 인자에 기초하여 계산될 수 있다. 도스 인자는 바람직하게는 빔렛마다 세팅된다. 또한, 디더링 모듈은 빔렛 바인딩에 대한 스캔 라인("서브빔 인덱스")을 알아야 한다. 디더링 프로세스는 도스 레벨들 또는 바람직하게는 스트라이프의 모든 픽셀에 대한 온/오프 상태를 초래한다. 추가 처리 전에, 선택적 마진 픽셀들은 제거된다. 소프트 에지들의 경우 스트라이프 경계들에서 완만한 페이드 인 및 페이드 아웃이 이미 존재하므로, 마진 픽셀들이 요구되지 않는다. 바이-레벨 출력 패턴 데이터를 생성하기 위한 디더링 프로세스에 대해, 임계값은 바람직하게는 항상 '화이트 값'의 절반인데, 왜냐하면, 화이트 값은 빔렛 도스 보정들로 인해 디폴트로부터 벗어날 것이기 때문이다.
제2 양자화
다시 도 6으로 돌아가면, 출력 패턴 데이터(322)가 제2 양자화 단계(324)에서 양자화되어 출력 패턴 데이터(326)를 생성한다. 출력 데이터는 바람직하게는 픽셀 당 1-비트 값들로 양자화되는 픽셀 도스 값들을 포함하는 블랙/화이트 비트맵이다. 에러 양자화의 확산은 선택적으로 또한 재-디더링으로서 지칭되는 제2 디더링 단계에서 수행될 수 있다(이는 여기서 재-디더링이라고 지칭되지만, 제1 디더링 단계가 반드시 수행되지 않을 수 있으므로, 전환 프로세스가 오직 디더링 단계로서의 재-디더링을 포함할 수 있다). 도 8h는 바이-레벨 출력 패턴 데이터로 전환된 도 8g의 출력 패턴 데이터를 도시한다.
도 8i는 제1 디더링에 대한 도 8e와 유사하게, 제2 디더링 단계에 대한 2차원 에러 전파 프로세스의 예를 예시한다. 이 예에서, 출력 패턴 데이터의 각각의 그레이 값은 16개의 가능한 그레이 레벨들 중 하나를 정의하는 4비트 값으로 양자화된다. 각 픽셀이 처리됨에 따라, 양자화 에러는 2차원으로 이웃 픽셀들에 확산된다. 도 8i에서, 디더링 프로세스는 도 8e에 도시된 디더링 방향과는 반대로, 우에서 좌로 그리고 최하부에서 최상부로 진행한다. 중간 행의 제3 픽셀 및 최하부 행은 이미 처리되었다. 중심 픽셀이 처리되고 있으며, 양자화 에러는 우측으로(디더링 방향으로) 다음 픽셀에 그리고 위로(역시 디더링 방향으로) 다음 행에 분배되고 있다.
제1 디더링과 유사하게, 제2 디더링은 통상적으로 한 방향으로 수행되는데, 예를 들어, 한 방향으로(예를 들어, 최상부에서 최하부로) 계속해서 그리드 정사각형들의 행들을 디더링하고, 그리고 동일한 방향으로(예를 들어, 좌에서 우로) 각각의 행을 디더링하며, 또한 두 방향으로, 예를 들어, 구불구불한 패턴으로 수행될 수 있다. 재-샘플링(320) 및 제2 양자화(그리고 선택적으로 제2 디더링)(324)는 분리된 단계들로서 설명되지만, 두 프로세스들 모두 일반적으로 다음 픽셀이 처리되기 전에 각각의 출력 픽셀에 대해 적용된다.
제2 디더링이 발생하는 방향은 제1 디더링에 대한 방향과 동일하도록(예를 들어, 둘 모두 좌에서 우 그리고 최상부에서 최하부로), 또는 완전히 반대가 되도록(예를 들어, 제1 디더링은 좌에서 우로 그리고 최상부에서 최하부로, 그리고 제2 디더링은 우에서 좌로 그리고 최하부에서 최상부로), 또는 부분적으로 반대가 되도록(예를 들어, 제1 디더링은 좌에서 우로 그리고 최상부에서 최하부로, 그리고 제2 디더링은 우에서 좌로 그리고 최상부에서 최하부로) 선택될 수 있다.
제1 및 제2 디더링 프로세스들은 바람직하게는 반대 방향들에서 수행된다. 도 11a는 패턴 데이터의 8x8 어레이의 예를 도시하며, 값들은 최상부 좌측 코너의 1,1에서 최하부 우측 코너의 8,8까지 배열된다. 도 11b는 좌에서 우로 그리고 최상부에서 최하부로, 중간 패턴 데이터의 제1 디더링에 대한 경로의 예를 도시한다. 제1 디더링은 최상부 좌측 코너에서 시작하고, 제1 행을 좌에서 우로, 이후 제2 행을 좌에서 우로, 그리고 계속해서 최하부 행까지 디더링한다. 도 11c는 출력 패턴 데이터의 제2 디더링에 대한 경로를 도시한다. 제2 디더링은 바람직하게는 제1 디더링에 대해 반대 방향으로 수행된다. 따라서, 이 예에서, 제2 디더링은 우에서 좌로 그리고 최하부에서 최상부로 수행될 것이다. 제2 디더링은 최하부 우측 코너에서 시작하여, 최하부 행을 우에서 좌로, 이후 그 다음 위의 행을 우에서 좌로, 그리고 계속하여 최상부 행까지 디더링한다.
반대방향들로의 디더링을 수행하는 것이 타겟에 대해 재생되는 패턴의 정확도의 작은 개선을 가져온다는 것이 경험적으로 발견되었다. 이러한 개선이 바람직하지만, 이는 일부 문제점들을 초래한다. 전술된 바와 같이, 산업 표준 300mm 웨이퍼는 일반적으로 도 5a에 도시된 바와 같이 고정된 사이즈의 직사각형 필드들로 분할되고, 패턴 데이터는 일반적으로 단일 필드의 피쳐들을 설명한다. 동일한 패턴 데이터는 일반적으로 전체 웨이퍼를 노출하기 위해 사용되며, 따라서, 웨이퍼의 각 필드는 동일한 패턴으로 노출된다. 필드들은 일반적으로 x-방향, 즉, 웨이퍼 스테이지 이동의 기계적 스캔 방향으로 필드의 길이가 진행되는 스트라이프들로 분할된다. 빔렛들은 기계적 스캔 방향에 직교하는 y-방향으로 편향되고, 따라서 스트라이프들은 도 5b에 도시된 바와 같이, x-방향으로의 웨이퍼 이동 및 y-방향으로의 빔렛 편향에 의해 그들의 표면에 걸쳐 노출된다.
빔렛들이 스캔함에 따라 빔렛들을 변조하기 위해 사용되는 출력 패턴 데이터는 일반적으로 웨이퍼의 노출 동안 실시간으로 생성된다. 출력 패턴 데이터는 일반적으로, 상기 출력 패턴 데이터가 생성되어 리소그래피 머신의 빔렛 블랭커 어레이에 스트리밍됨에 따라 메모리에 저장된다. 웨이퍼가 빔렛들 하에서 x-방향으로 이동됨에 따라, 데이터는 웨이퍼 필드를 노출하기 위해 요구되는 순서로 빔렛 블랭커 어레이로 스트리밍된다. 그러나 웨이퍼를 노출하기 위한 일반적인 방법은 하나의 레인 내에서 필드들의 노출 동안 한 방향으로 웨이퍼 스테이지를 이동시키는 것, 및 다음 레인 내에서 필드들의 노출 동안 하나의 반대 방향으로 웨이퍼 스테이지를 다시 이동시키는 것을 수반하고, 따라서, 웨이퍼 스테이지의 이동이 최소화된다. 이는 웨이퍼(301)의 레인들의 스캐닝을 도시하는 도 12a에 예시된다. 웨이퍼 스테이지가 우에서 좌로 x-방향으로 이동함에 따라, 레인 A내의 필드들은 이들이 리소그래피 머신의 빔렛들 하에 오게 될 때 좌에서 우로(경로(500)를 따라) 스캐닝된다. 레인 A 내의 모든 필드들이 노출되었을 때, 웨이퍼 스테이지는 빔렛들 하에서 레인 B를 위치시키기 위해 y-방향으로 위로 이동한다. 웨이퍼 스테이지는 이제 좌에서 우로, 반대 방향으로 이동하고, 따라서, 레인 B 내의 필드들은 이들이 빔렛들 하에 올 때 우에서 좌로(경로(501)를 따라) 스캐닝된다.
이는 빔렛들이 교번하는 레인들 내의 필드들을 스캐닝하는 방향이 각 레인에 대해 반대가 됨을 의미하는데, 예를 들어, 레인 A 내의 필드들이 좌에서 우로 스캐닝되는 경우, 레인 B 내의 필드들은 우에서 좌로 스캐닝된다. 각 레인에서 각 필드에 노출되는 패턴이 동일한 원점을 가지는 경우, 출력 패턴 데이터는 각 교번하는 레인에 대해 반대의 순서로 빔렛 블랭커에 제공되어야 한다. 이는 제2 디더링의 방향이 제1 디더링의 방향에 대해 반대가 되는 경우 문제가 된다. 이 문제를 다루기 위해 다양한 옵션들이 사용가능하다.
(1) 중간 패턴 데이터의 2개 세트들이 입력 패턴 데이터의 단일 세트로부터 생성될 수 있으며, 중간 패턴 데이터의 2개 세트들은 반대 방향으로 수행되는 제1 디더링 프로세스를 사용하여 생성된다. 이후, 중간 패턴 데이터의 2개 세트들은 웨이퍼의 레인마다 교번된다. 레인 A 내의 필드들의 노출 동안, 중간 패턴 데이터의 제1 세트는 출력 패턴 데이터를 생성하기 위해 사용되고, 레인 B 내의 필드들의 노출 동안, 중간 패턴 데이터의 제2 세트는 출력 패턴 데이터를 생성하기 위해 사용된다. 이는 재-디더링이 웨이퍼의 교번하는 레인들에 대해 반대 방향들로 수행되는 것을 초래하며, 따라서 데이터는 웨이퍼 스테이지가 각 방향으로 이동함에 따라 레인들을 노출하기 위해 정확한 순서로 빔렛 블랭커 어레이에 제공된다. 이러한 솔루션은 중간 패턴 데이터의 2개 세트들을 저장하는 것을 요구한다. 예를 들어, 도 7에 도시된 아키텍처에서, 이는 제2 저장 매체(222)의 용량을 두배로 하고, 프로세서(223)가 데이터의 2개 세트 중 어느 하나로부터 판독하여 출력 패턴 데이터를 생성하게 하기 위한 수단을 제공하는 것을 요구할 것이다. 26 x 33 mm 웨이퍼 필드에 대한 중간 패턴 데이터가 통상적으로 100 테라비트를 초과하는 데이터를 포함함에 따라, 이러한 추가적인 저장 용량은 리소그래피 시스템에 상당한 비용을 추가한다.
(2) 각 레인의 노출이 동일한 방향으로 발생할 수 있도록 웨이퍼 스테이지가 이동될 수 있다. 이는 도 12b에 예시된다. 웨이퍼 스테이지가 우에서 좌로 x-방향으로 이동함에 따라, 레인 A 내의 필드들은 이들이 리소그래피 머신의 빔렛들 하에 오게 될 때, 좌에서 우로(경로(500)를 따라) 스캐닝된다. 레인 A 내의 모든 필드들이 노출되는 경우, 웨이퍼 스테이지들이 y-방향으로 위로 그리고 x-방향으로 좌에서 우로 이동하여 레인 B의 가장 좌측 필드를 이용하여 빔렛들 하에 웨이퍼를 재위치시킨다. 이제 웨이퍼 스테이지는 우에서 좌로 동일한 방향으로 이동하고, 따라서, 레인 B의 필드들은 레인 A와 동일한 방향으로 우에서 좌로(경로(502)를 따라) 스캐닝된다. 이러한 접근법은 어떠한 추가적인 저장 용량도 요구하지 않지만, 웨이퍼 스테이지가 각 레인의 스캐닝 사이에 추가적인 이동을 수행할 것을 요구한다. 이는 웨이퍼의 노출에 대해 요구되는 전체 시간을 추가하며, 리소그래피 시스템의 스루풋을 감소시킨다. 그러나 이는 각 레인의 노출 사이의 위치지정 동안 신속하게(일반적인 스캐닝 이동보다 훨씬 더 빨리) 웨이퍼 스테이지를 이동시키도록 설계함으로써 최소화될 수 있다.
(3) 웨이퍼 스테이지는 각 레인의 노출 사이에 회전될 수 있고, 따라서, 각 레인은 동일한 방향으로 노출된다. 이는 웨이퍼 스테이지가 각 레인의 노출 이후 x-방향의 이동을 리턴시키는 요건을 회피한다. 그러나 웨이퍼 스테이지의 회전 및 각 레인의 노출에 대한 재정렬에 관련된 어려움들은 단점들이다.
(4) 레인들은 반대 방향들로 디더링 및 재-디더링함으로써 생성되는 데이터를 가지고 노출되는 일부 레인들, 및 동일한 방향으로 디더링 및 재-디더링함으로써 생성되는 데이터를 가지고 노출되는 교번 레인들을 이용하여 노출될 수 있다. 그러나 이는 교번하는 레인들에 대한 오버레이 균일도 및 임계 치수 균일도에 대해 변하는 규격들을 초래할 것이다. 픽셀 사이즈는 임계 치수 균일도 및 오버레이 균일도에 대한 규격들이 동일한 방향으로의 디더링 및 재-디더링을 가지는 레인들에 대해 수용가능함을 보장하기 위해 감소될 수 있다. 그러나 이러한 옵션은 반도체 디바이스들이 약간 상이한 성능 규격들을 가지는 상이한 레인들에서 필드들로부터 제조되는 것을 초래할 것이다.
(5) 각각의 교번하는 레인은 교번하는 레인들에서의 각 필드에서 노출되는 패턴이 상이한 원점을 가지도록 노출될 수 있는데, 예를 들어, 레인 A에서, 필드들은 수직(upright)이며, 레인 B에서 필드들이 180도 회전된다. 그러나 이는 웨이퍼의 모든 층들에 대해 동일한 교번하는 원점을 요구할 것이며, 이러한 이유로 선호되지 않는다.
본 발명은 전술된 특정 실시예들을 참조하여 설명되었다. 다양한 구성들 및 대안들이 설명되었으며, 이들은 당업자에 의해 알려질 바와 같이, 여기서 설명된 실시예들 중 임의의 것을 사용하여 사용될 수 있다는 점에 유의해야 한다. 또한, 이들 실시예들은 본 발명의 사상 및 범위에서 벗어나지 않고 당업자에게 잘 공지된 대안적인 형태들 및 다양한 수정들이 가능할 것이라는 점이 인지될 것이다. 따라서, 특정 실시예들이 설명되었지만, 이들은 단지 예들이며, 첨부된 청구범위에서 정의되는 본 발명의 범위에 대한 제한이 아니다.

Claims (62)

  1. 타겟을 노출하기 위한 복수의 노출 빔렛(beamlet)들을 생성하는 마스크리스(maskless) 리소그래피 머신에서 패턴 데이터에 따라 타겟을 노출하기 위한 방법으로서,
    벡터 포맷으로 입력 패턴 데이터를 제공하는 단계;
    중간 패턴 데이터를 생성하도록 상기 입력 패턴 데이터를 렌더링(rendering) 및 양자화하는 단계;
    출력 패턴 데이터를 생성하도록 상기 중간 패턴 데이터를 재-샘플링 및 재-양자화하는 단계;
    상기 리소그래피 머신에 상기 출력 패턴 데이터를 공급하는 단계; 및
    상기 출력 패턴 데이터에 기초하여 상기 리소그래피 머신에 의해 생성되는 상기 빔렛들을 변조하는 단계
    를 포함하는,
    타겟을 노출하기 위한 방법.
  2. 제1항에 있어서,
    상기 출력 패턴 데이터는 바이-레벨(bi-level) 비트맵 데이터를 포함하는,
    타겟을 노출하기 위한 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 출력 패턴 데이터는 B/W 비트맵 데이터로 구성되는,
    타겟을 노출하기 위한 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 중간 패턴 데이터는 멀티-레벨 비트맵 데이터를 포함하는,
    타겟을 노출하기 위한 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    패턴의 특정 부분을 나타내는 상기 중간 패턴 데이터는 상기 패턴의 동일한 부분을 나타내는 상기 출력 패턴 데이터보다 더 많은 양의 데이터를 포함하는,
    타겟을 노출하기 위한 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 입력 패턴 데이터를 생성하기 위해 벡터 패턴 데이터를 전처리하는 단계를 더 포함하는,
    타겟을 노출하기 위한 방법.
  7. 제6항에 있어서,
    전처리는 근접 효과 보정을 포함하는,
    타겟을 노출하기 위한 방법.
  8. 제6항 또는 제7항에 있어서,
    상기 전처리는 레지스트 가열(resist heating) 보정을 포함하는,
    타겟을 노출하기 위한 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    벡터 패턴 데이터를 렌더링하는 단계는 픽셀 셀들의 어레이를 정의하는 단계, 및 상기 입력 패턴 데이터에 의해 정의되는 피쳐들에 의해 상기 픽셀 셀들의 상대적인 커버리지에 기초하여 상기 픽셀 셀들에 값들을 할당하는 단계를 포함하는,
    타겟을 노출하기 위한 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 양자화는 상기 렌더링된 입력 패턴 데이터에 대한 에러 확산의 적용에 의한 디더링을 포함하는,
    타겟을 노출하기 위한 방법.
  11. 제10항에 있어서,
    상기 에러 확산은 상기 렌더링된 입력 패턴 데이터의 픽셀 내의 양자화 에러를 상기 중간 패턴 데이터의 하나 이상의 인접한 픽셀들에 분배하는 단계를 포함하는,
    타겟을 노출하기 위한 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 입력 패턴 데이터는 도스(dose) 맵 데이터를 더 포함하고, 상기 중간 패턴 데이터는 상기 벡터 포맷 입력 패턴 데이터 및 상기 도스 맵 데이터에 기초하여 형성되는,
    타겟을 노출하기 위한 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서,
    상기 재-샘플링은 출력 픽셀 셀들의 어레이를 정의하는 출력 그리드를 정의하는 단계, 및 상기 출력 픽셀 셀 내에 있는 중간 패턴 데이터 값들 및 상기 중간 값들에 의해 점유되는 상기 출력 픽셀 셀의 일부분들에 기초하여 각각의 출력 픽셀 셀에 값을 할당하는 단계를 포함하는,
    타겟을 노출하기 위한 방법.
  14. 제13항에 있어서,
    상기 출력 픽셀 셀들 내의 값들은 상기 출력 픽셀 셀들 내에 있는 평균 중간 패턴 데이터 값을 계산함으로써 결정되는,
    타겟을 노출하기 위한 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서,
    상기 재-샘플링은 상기 중간 패턴 데이터의 픽셀 사이즈를 변환하는 단계를 포함하는,
    타겟을 노출하기 위한 방법.
  16. 제15항에 있어서,
    상기 중간 패턴의 픽셀 사이즈는 상기 출력 패턴 데이터의 픽셀 사이즈보다 더 큰,
    타겟을 노출하기 위한 방법.
  17. 제13항에 있어서,
    상기 중간 패턴 데이터에 대해 수행되는 패턴 시프트를 더 포함하고, 상기 패턴 시프트는 출력 그리드에서의 시프트를 포함하는,
    타겟을 노출하기 위한 방법.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서,
    빔렛 오정렬(misalignment)을 보정하기 위해 상기 중간 패턴 데이터에 대해 수행되는 패턴 시프트를 더 포함하는,
    타겟을 노출하기 위한 방법.
  19. 제1항 내지 제18항 중 어느 한 항에 있어서,
    상기 중간 패턴 데이터에 대해 수행되는 패턴 시프트를 더 포함하고, 상기 패턴 시프트는 상기 리소그래피 머신의 기계적 스캔 방향 및 상기 기계적 스캔 방향에 직교하는 방향 모두에서 상기 패턴 데이터의 시프트를 포함하는,
    타겟을 노출하기 위한 방법.
  20. 제1항 내지 제19항 중 어느 한 항에 있어서,
    상기 중간 패턴 데이터에 대해 수행되는 패턴 시프트를 더 포함하고, 상기 패턴 시프트는 상기 패턴 데이터의 전체 스트라이프에 대한 패턴 데이터의 시프트를 포함하는,
    타겟을 노출하기 위한 방법.
  21. 제13항에 있어서,
    상기 중간 패턴 데이터에 대해 수행되는 패턴 스케일링 조정을 더 포함하고, 상기 패턴 스케일링은 상기 출력 그리드를 스케일링하는 단계를 포함하는,
    타겟을 노출하기 위한 방법.
  22. 제1항 내지 제21항 중 어느 한 항에 있어서,
    빔렛 스캔 편향에서의 변동들을 보정하기 위해 상기 중간 패턴 데이터에 대해 수행되는 패턴 스케일링 조정을 더 포함하는,
    타겟을 노출하기 위한 방법.
  23. 제1항 내지 제22항 중 어느 한 항에 있어서,
    상기 중간 패턴 데이터에 대해 수행되는 패턴 스케일링 조정을 더 포함하고, 상기 패턴 스케일링은 상기 패턴 데이터의 전체 스트라이프(stripe)에 대한 패턴 데이터의 스케일링을 포함하는,
    타겟을 노출하기 위한 방법.
  24. 제1항 내지 제23항 중 어느 한 항에 있어서,
    상기 중간 패턴 데이터에 대해 수행되는 도스 보정을 더 포함하는,
    타겟을 노출하기 위한 방법.
  25. 제24항에 있어서,
    상기 도스 보정은 빔렛마다 수행되는,
    타겟을 노출하기 위한 방법.
  26. 제24항 또는 제25항에 있어서,
    상기 도스 보정은 상기 패턴 데이터의 스트라이프에 대한 스케일링 인자 및 빔렛 당 도스 인자에 기초하여 결정되는,
    타겟을 노출하기 위한 방법.
  27. 제1항 내지 제26항에 있어서,
    상기 빔렛들 중 하나 이상의 위치의 변동을 보상하기 위해 상기 중간 패턴 데이터에 대해 수행되는 보정을 더 포함하는,
    타겟을 노출하기 위한 방법.
  28. 제27항에 있어서,
    웨이퍼의 노출 동안 상기 웨이퍼는 기계적 스캔 방향으로 이동되고, 상기 보정은 상기 기계적 스캔 방향 및 상기 기계적 스캔 방향에 실질적으로 직교하는 방향 모두에서 컴포넌트를 가지는 상기 중간 패턴 데이터의 시프트를 초래하는 상기 입력 패턴 데이터의 조정을 포함하는,
    타겟을 노출하기 위한 방법.
  29. 제24항 내지 제28항 중 어느 한 항에 있어서,
    상기 리소그래피 머신으로의 상기 바이-레벨 출력 패턴 데이터의 전송 시간의 변동을 보상하기 위해 상기 중간 패턴 데이터에 대해 수행되는 보정을 더 포함하는,
    타겟을 노출하기 위한 방법.
  30. 제13항에 있어서,
    상기 중간 패턴 데이터에 대해 수행되는 필드 왜곡 조정을 더 포함하고, 상기 왜곡 조정은 상기 출력 그리드를 왜곡하는 단계를 포함하는,
    타겟을 노출하기 위한 방법.
  31. 제30항에 있어서,
    상기 왜곡은 오버레이 성능을 개선하기 위한 것인,
    타겟을 노출하기 위한 방법.
  32. 제31항에 있어서,
    상기 왜곡은 상이한 리소그래피 툴과의 매칭을 개선하기 위한 것인,
    타겟을 노출하기 위한 방법.
  33. 제30항에 있어서,
    상기 왜곡은 필드 사이즈 조정, 필드 위치 조정, 필드의 회전, 비대칭 필드 사이즈 조정, 및/또는 비대칭 회전 중 적어도 하나를 포함하는,
    타겟을 노출하기 위한 방법.
  34. 제33항에 있어서,
    상기 왜곡은 더 높은 정도의 왜곡들을 추가로 포함하는,
    타겟을 노출하기 위한 방법.
  35. 제1항 내지 제34항 중 어느 한 항에 있어서,
    상기 재-양자화는 상기 재-샘플링된 중간 패턴 데이터에 대한 에러 확산의 적용에 의한 재-디더링을 포함하는,
    타겟을 노출하기 위한 방법.
  36. 제1항 내지 제35항 중 어느 한 항에 있어서,
    상기 양자화는 디더링 프로세스를 포함하고, 상기 재-양자화는 재-디더링 프로세스를 포함하고, 상기 디더링 및 재-디더링은 반대 방향들로 수행되는,
    타겟을 노출하기 위한 방법.
  37. 제36항에 있어서,
    상기 입력 패턴 데이터는 중간 패턴 데이터의 2개 세트들을 생성하도록 2번 디더링되며, 각각의 세트는 반대 방향들로 디더링되는,
    타겟을 노출하기 위한 방법.
  38. 제37항에 있어서,
    상기 중간 패턴 데이터의 2개 세트들은 상기 타겟의 교번하는 노출 레인들을 노출하기 위해 사용되며, 중간 패턴 데이터의 각각의 세트는 사용 전에 상기 디더링에 대해 반대 방향으로 재-디더링되는,
    타겟을 노출하기 위한 방법.
  39. 제36항에 있어서,
    상기 타겟은 상기 타겟의 각각의 노출 레인이 동일한 방향으로 노출되도록 이동되는,
    타겟을 노출하기 위한 방법.
  40. 제35항에 있어서,
    상기 에러 확산은 상기 출력 패턴 데이터의 하나 이상의 인접한 픽셀들로 상기 재-샘플링된 패턴 데이터의 픽셀 내의 양자화 에러를 분배하는 것을 포함하는,
    타겟을 노출하기 위한 방법.
  41. 제40항에 있어서,
    상기 에러 확산의 적용은:
    픽셀들의 어레이를 정의하는 단계;
    상기 픽셀들의 어레이를 부분들로 분할하는 단계 ? 각 부분은 상이한 빔렛에 의해 노출되도록 할당됨 ? ;
    각 부분에 대한 에러 확산 파라미터 값들을 결정하는 단계; 및
    상기 에러 확산 파라미터 값들을 사용하여 각 부분 내의 픽셀들에 값을 할당하는 단계
    를 포함하는,
    타겟을 노출하기 위한 방법.
  42. 제41항에 있어서,
    상기 에러 확산 파라미터 값들은 더 높은 레벨의 바이-레벨 출력 패턴 데이터 값에 대한 가중값 및 임계값을 포함하는,
    타겟을 노출하기 위한 방법.
  43. 제42항에 있어서,
    상기 에러 확산 파라미터 값들은 더 낮은 레벨의 바이-레벨 값에 대한 가중값을 더 포함하는,
    타겟을 노출하기 위한 방법.
  44. 제42항 또는 제43항에 있어서,
    상기 에러 확산 파라미터 값은 임계값이고, 한 부분 내의 픽셀 셀들에 바이-레벨 값을 할당하는 단계는 상기 부분에 대해 결정된 임계값과의 비교에 기초하는,
    타겟을 노출하기 위한 방법.
  45. 제39항 내지 제44항 중 어느 한 항에 있어서,
    상기 에러 확산의 적용은 추가적인 임계값과 동일하거나 그 미만인 멀티레벨 값을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 제한되는,
    타겟을 노출하기 위한 방법.
  46. 제39항 내지 제45항 중 어느 한 항에 있어서,
    상기 에러 확산의 적용은 상기 입력 패턴 데이터에서 설명되는 피쳐들 외부에 위치되는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 제한되는,
    타겟을 노출하기 위한 방법.
  47. 제39항 내지 제46항 중 어느 한 항에 있어서,
    상기 에러 확산의 적용은 처리되는 중인 상기 픽셀과는 특정량을 초과하여 상이한 값을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 제한되는,
    타겟을 노출하기 위한 방법.
  48. 제39항 내지 제47항 중 어느 한 항에 있어서,
    각 픽셀은 라벨을 가지며, 상기 에러 확산의 적용은 처리되는 중인 상기 픽셀과는 상이한 레벨을 가지는 하나 이상의 픽셀들로의 확산을 허용하지 않음으로써 제한되는,
    타겟을 노출하기 위한 방법.
  49. 제48항에 있어서,
    상기 라벨은 상기 중간 패턴 데이터와 함께 저장된 코드로 구성되는,
    타겟을 노출하기 위한 방법.
  50. 제49항에 있어서,
    상기 라벨은 전처리 동안 정의되고, 상기 벡터 포맷인 피쳐에 의해 커버되는 모든 픽셀들에 제1 레벨 값이 할당되고, 모든 다른 픽셀들에는 제2 레벨 값이 할당되는,
    타겟을 노출하기 위한 방법.
  51. 리소그래피 머신을 동작시키는 방법으로서,
    제1 단계에서 이미지 데이터가 상기 머신에 벡터 포맷으로 공급되고,
    제2 단계에서 상기 벡터 포맷은 비트맵 데이터 포맷으로 렌더링 및 양자화되고,
    제3 단계에서 상기 비트맵 데이터는 상기 데이터에 대한 실시간 보정들을 수행하기 위해 재-샘플링되고,
    제4 단계에서 상기 재-샘플링된 데이터가 재양자화되고, 및
    후속적으로, 제5 단계에서 상기 재양자화된 재-샘플링된 데이터가 상기 머신의 이미징 하드웨어에 출력 비트맵으로서 공급되는,
    리소그래피 머신을 동작시키는 방법.
  52. 제51항에 있어서,
    상기 제1 양자화 단계를 수행하기 위해 이용되는 데이터의 양은 상기 재-샘플링을 수행하는 것으로부터 초래되는 데이터의 양보다 더 큰,
    리소그래피 머신을 동작시키는 방법.
  53. 제51항 또는 제52항에 있어서,
    상기 재-샘플링은 패턴 시프트, 패턴 스케일링, 또는 필드 왜곡 보정 중 하나 이상을 포함하는,
    리소그래피 머신을 동작시키는 방법.
  54. 제51항 내지 제53항 중 어느 한 항에 있어서,
    상기 재-양자화는 도스 보정을 수행하는 단계를 포함하는,
    리소그래피 머신을 동작시키는 방법.
  55. 제51항 내지 제54항 중 어느 한 항에 있어서,
    디더링 프로세스가, 디더링 임계값을 적응시킴으로써 수행되는 도스 보정을 포함하여, 상기 양자화 단계와 함께 수행되는,
    리소그래피 머신을 동작시키는 방법.
  56. 제51항 내지 제55항 중 어느 한 항에 있어서,
    상기 재-샘플링과 함께 상기 중간 패턴 데이터의 픽셀 사이즈를 변환함으로써 상기 출력 비트맵의 픽셀 사이즈를 수정함으로써 노출 도스를 제어하는 단계를 더 포함하는,
    리소그래피 머신을 동작시키는 방법.
  57. 벡터 포맷인 입력 패턴 데이터를 공간적으로 매핑된 픽셀 값들의 어레이의 형태인 출력 패턴 데이터로 전환하기 위한 데이터 경로로서,
    중간 패턴 데이터를 생성하기 위해 상기 입력 패턴 데이터를 렌더링 및 양자화하기 위한 제1 처리 유닛;
    상기 중간 패턴 데이터를 저장하기 위한 제1 저장 유닛; 및
    출력 패턴 데이터를 생성하기 위해 상기 중간 패턴 데이터를 재-샘플링 및 재-양자화하기 위한 제2 처리 유닛
    을 포함하는,
    데이터 경로.
  58. 제57항에 있어서,
    상기 제1 처리 유닛은 상기 렌더링된 입력 패턴 데이터를 디더링하기 위한 소프트웨어 및/또는 하드웨어를 포함하는,
    데이터 경로.
  59. 제57항 또는 제58항에 있어서,
    상기 제2 처리 유닛은 상기 재-샘플링된 패턴 데이터를 재-디더링하기 위한 소프트웨어 및/또는 하드웨어를 포함하는,
    데이터 경로.
  60. 제57항 내지 제59항 중 어느 한 항에 있어서,
    상기 제1 저장 유닛의 용량은 상기 패턴 데이터의 전체 필드에 대한 상기 중간 패턴 데이터를 저장하기에 충분한,
    데이터 경로.
  61. 제57항 내지 제60항 중 어느 한 항에 있어서,
    상기 출력 패턴 데이터를 저장하기 위한 제2 저장 유닛을 더 포함하는,
    데이터 경로.
  62. 패턴 데이터에 따라 타겟을 노출하기 위한 시스템으로서,
    상기 타겟을 노출하기 위한 복수의 노출 빔렛들을 생성하고 출력 패턴 데이터에 기초하여 상기 빔렛들을 변조하는 마스크리스 리소그래피 머신;
    입력 패턴 데이터를 수신하고 상기 출력 패턴 데이터를 생성하기 위한 제57항 내지 제61항 중 어느 한 항에 따른 데이터 경로; 및
    상기 리소그래피 머신에 상기 출력 패턴 데이터를 공급하기 위한 패턴 스트리밍 시스템
    을 포함하는,
    타겟을 노출하기 위한 시스템.
KR1020117030536A 2009-05-20 2010-05-17 리소그래피 시스템을 위한 패턴 데이터 전환 KR101614460B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US17976509P 2009-05-20 2009-05-20
US17976009P 2009-05-20 2009-05-20
US61/179,760 2009-05-20
US61/179,765 2009-05-20
US25712209P 2009-11-02 2009-11-02
US61/257,122 2009-11-02

Publications (2)

Publication Number Publication Date
KR20120030438A true KR20120030438A (ko) 2012-03-28
KR101614460B1 KR101614460B1 (ko) 2016-04-21

Family

ID=43126596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117030536A KR101614460B1 (ko) 2009-05-20 2010-05-17 리소그래피 시스템을 위한 패턴 데이터 전환

Country Status (7)

Country Link
US (1) US8710465B2 (ko)
EP (1) EP2443647B1 (ko)
JP (1) JP5801289B2 (ko)
KR (1) KR101614460B1 (ko)
CN (1) CN102460633B (ko)
TW (1) TWI485530B (ko)
WO (1) WO2010134018A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140070452A (ko) * 2012-11-30 2014-06-10 캐논 가부시끼가이샤 묘화 장치, 및 물품의 제조 방법
KR20170046833A (ko) * 2015-10-21 2017-05-04 삼성전자주식회사 패턴 생성 방법 및 이를 수행하기 위한 패턴 발생기
US9746780B2 (en) 2014-10-24 2017-08-29 Samsung Display Co., Ltd. Maskless exposure device, maskless exposure method and display substrate manufactured by the maskless exposure device and the maskless exposure method
KR20180088600A (ko) * 2017-01-27 2018-08-06 아이엠에스 나노패브릭케이션 게엠베하 다중 빔 라이터용 개선된 도우즈 레벨 양자화
KR20190003909A (ko) * 2017-06-30 2019-01-10 삼성전자주식회사 Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101414127A (zh) * 2002-10-30 2009-04-22 迈普尔平版印刷Ip有限公司 电子束曝光系统
KR101605832B1 (ko) * 2009-05-20 2016-03-23 마퍼 리쏘그라피 아이피 비.브이. 리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기
CN104795303B (zh) * 2009-05-20 2017-12-05 迈普尔平版印刷Ip有限公司 用于处理图案数据的方法
KR101474894B1 (ko) * 2010-12-20 2014-12-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 프로그램가능 패터닝 디바이스를 제어하는 방법, 디바이스 제조방법, 및 리소그래피 장치
KR102072200B1 (ko) * 2011-04-22 2020-01-31 에이에스엠엘 네델란즈 비.브이. 리소그래피 머신들의 클러스터를 위한 네트워크 아키텍처 및 프로토콜
WO2012177282A1 (en) * 2011-06-23 2012-12-27 Intel Corporation Stochastic rasterization with selective culling
JP5836773B2 (ja) 2011-11-25 2015-12-24 キヤノン株式会社 描画装置、及び物品の製造方法
JP5886979B2 (ja) * 2011-11-29 2016-03-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置用の所望のデバイスパターンのベクタ形式表現を変換する装置および方法、プログラマブルパターニングデバイスにデータを供給する装置および方法、リソグラフィ装置、デバイス製造方法
JP5881851B2 (ja) 2011-12-06 2016-03-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、セットポイントデータを提供する装置、デバイス製造方法、セットポイントデータの計算方法、およびコンピュータプログラム
KR101633759B1 (ko) 2012-01-12 2016-06-27 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 세트포인트 데이터를 제공하는 장치, 디바이스 제조 방법, 세트포인트 데이터를 제공하는 방법, 및 컴퓨터 프로그램
US8893059B2 (en) 2012-02-06 2014-11-18 Kla-Tencor Corporation Pattern data system for high-performance maskless electron beam lithography
JP6128744B2 (ja) * 2012-04-04 2017-05-17 キヤノン株式会社 描画装置、描画方法、および、物品の製造方法
FR2994749B1 (fr) 2012-08-24 2015-07-24 Commissariat Energie Atomique Procede de preparation d’un motif a imprimer sur plaque ou sur masque par lithographie a faisceau d’electrons, systeme de conception de circuit imprime et programme d’ordinateur correspondants.
JP2014049467A (ja) * 2012-08-29 2014-03-17 Canon Inc 描画装置、それを用いた物品の製造方法
US9245714B2 (en) 2012-10-01 2016-01-26 Kla-Tencor Corporation System and method for compressed data transmission in a maskless lithography system
CN102956420B (zh) * 2012-10-30 2016-11-16 中国科学院上海应用物理研究所 电子射线源产生装置及产生低剂量率电子射线的方法
JP6215586B2 (ja) * 2012-11-02 2017-10-18 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
JP2014204012A (ja) * 2013-04-05 2014-10-27 キヤノン株式会社 描画装置、及び物品の製造方法
CN106896647B (zh) * 2013-10-22 2019-05-10 应用材料公司 用于基于网的处理的无掩模平版印刷
US9460260B2 (en) * 2014-02-21 2016-10-04 Mapper Lithography Ip B.V. Enhanced stitching by overlap dose and feature reduction
EP2950325B1 (en) * 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
US20150372096A1 (en) * 2014-06-20 2015-12-24 Ishiang Shih High Electron Mobility Transistors and Integrated Circuits with Improved Feature Uniformity and Reduced defects for Microwave and Millimetre Wave Applications
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
US9852876B2 (en) 2016-02-08 2017-12-26 Nuflare Technology, Inc. Multi charged particle beam writing apparatus and multi charged particle beam writing method
JP7221198B2 (ja) * 2016-09-08 2023-02-13 エーエスエムエル ネザーランズ ビー.ブイ. 荷電粒子マルチビームレットリソグラフィーシステムを使用し、一意的チップを製作すること
NL2019502B1 (en) * 2016-09-08 2018-08-31 Mapper Lithography Ip Bv Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system
US20180068047A1 (en) 2016-09-08 2018-03-08 Mapper Lithography Ip B.V. Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system
CN106444307B (zh) * 2016-09-30 2017-11-21 西安立芯光电科技有限公司 一种用于激光芯片制造中平边补偿对准的光刻方法
JP7126367B2 (ja) 2018-03-29 2022-08-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10483080B1 (en) * 2018-07-17 2019-11-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US10593509B2 (en) 2018-07-17 2020-03-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
EP4095882A1 (en) * 2021-05-25 2022-11-30 IMS Nanofabrication GmbH Pattern data processing for programmable direct-write apparatus

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3157308A (en) 1961-09-05 1964-11-17 Clark Mfg Co J L Canister type container and method of making the same
US3159408A (en) 1961-10-05 1964-12-01 Grace W R & Co Chuck
US4524308A (en) 1984-06-01 1985-06-18 Sony Corporation Circuits for accomplishing electron beam convergence in color cathode ray tubes
US5363213A (en) * 1992-06-08 1994-11-08 Xerox Corporation Unquantized resolution conversion of bitmap images using error diffusion
AU6449994A (en) 1993-04-30 1994-11-21 Board Of Regents, The University Of Texas System Megavoltage scanning imager and method for its use
EP0766405A1 (en) 1995-09-29 1997-04-02 STMicroelectronics S.r.l. Successive approximation register without redundancy
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US20020104970A1 (en) 1999-01-06 2002-08-08 Winter Stacey J. Raster shaped beam, electron beam exposure strategy using a two dimensional multipixel flash field
US6556702B1 (en) * 1999-01-06 2003-04-29 Applied Materials, Inc. Method and apparatus that determines charged particle beam shape codes
US7064869B2 (en) * 2001-06-22 2006-06-20 Eastman Kodak Company Method for halftoning a multi-channel digital color image having at least one group of similar color channels
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
AU2002342349A1 (en) * 2001-11-07 2003-05-19 Applied Materials, Inc. Maskless printer using photoelectric conversion of a light beam array
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US6768125B2 (en) * 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
US7116447B2 (en) * 2002-05-24 2006-10-03 Eastman Kodak Company Halftone dot-growth technique using a dot edge-detection scheme
EP2302459A3 (en) 2002-10-25 2011-04-06 Mapper Lithography Ip B.V. Lithography system
CN101414127A (zh) 2002-10-30 2009-04-22 迈普尔平版印刷Ip有限公司 电子束曝光系统
US7129502B2 (en) 2003-03-10 2006-10-31 Mapper Lithography Ip B.V. Apparatus for generating a plurality of beamlets
ATE524822T1 (de) 2003-05-28 2011-09-15 Mapper Lithography Ip Bv Belichtungsverfahren für strahlen aus geladenen teilchen
JP4664293B2 (ja) 2003-07-30 2011-04-06 マッパー・リソグラフィー・アイピー・ビー.ブイ. 変調器回路
JP2007517239A (ja) * 2003-11-12 2007-06-28 マイクロニック レーザー システムズ アクチボラゲット Slmスタンプ像の欠陥を修正する方法及び装置
US7075093B2 (en) * 2004-05-12 2006-07-11 Gorski Richard M Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation
US7294440B2 (en) * 2004-07-23 2007-11-13 International Business Machines Corporation Method to selectively correct critical dimension errors in the semiconductor industry
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7706607B2 (en) * 2005-06-23 2010-04-27 Microsoft Corporation Optimized color image encoding and decoding using color space parameter data
US7709815B2 (en) 2005-09-16 2010-05-04 Mapper Lithography Ip B.V. Lithography system and projection method
JP4745089B2 (ja) * 2006-03-08 2011-08-10 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法、描画データ作成方法及びプログラム
TWI432908B (zh) 2006-03-10 2014-04-01 Mapper Lithography Ip Bv 微影系統及投射方法
JP5339671B2 (ja) * 2006-06-26 2013-11-13 株式会社オーク製作所 描画システム
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
KR101481950B1 (ko) 2008-02-26 2015-01-14 마퍼 리쏘그라피 아이피 비.브이. 투사 렌즈 배열체
EP2260499B1 (en) 2008-02-26 2016-11-30 Mapper Lithography IP B.V. Projection lens arrangement
US8445869B2 (en) 2008-04-15 2013-05-21 Mapper Lithography Ip B.V. Projection lens arrangement
US8018623B2 (en) * 2008-02-29 2011-09-13 Eastman Kodak Company Multi-level halftoning providing reduced error diffusion artifacts
US8502176B2 (en) 2008-05-23 2013-08-06 Mapper Lithography Ip B.V. Imaging system
JP5743886B2 (ja) 2008-06-04 2015-07-01 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットを露光するための方法およびシステム
WO2010037832A2 (en) 2008-10-01 2010-04-08 Mapper Lithography Ip B.V. Electrostatic lens structure
US20100142838A1 (en) * 2008-12-05 2010-06-10 Micronic Laser Systems Ab Gradient assisted image resampling in micro-lithographic printing
CN104795303B (zh) 2009-05-20 2017-12-05 迈普尔平版印刷Ip有限公司 用于处理图案数据的方法
US9305747B2 (en) * 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus
JP6352529B2 (ja) 2015-04-03 2018-07-04 株式会社日立ハイテクノロジーズ 光量検出装置、それを用いた免疫分析装置および荷電粒子線装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140070452A (ko) * 2012-11-30 2014-06-10 캐논 가부시끼가이샤 묘화 장치, 및 물품의 제조 방법
US9746780B2 (en) 2014-10-24 2017-08-29 Samsung Display Co., Ltd. Maskless exposure device, maskless exposure method and display substrate manufactured by the maskless exposure device and the maskless exposure method
KR20170046833A (ko) * 2015-10-21 2017-05-04 삼성전자주식회사 패턴 생성 방법 및 이를 수행하기 위한 패턴 발생기
KR20180088600A (ko) * 2017-01-27 2018-08-06 아이엠에스 나노패브릭케이션 게엠베하 다중 빔 라이터용 개선된 도우즈 레벨 양자화
KR20190003909A (ko) * 2017-06-30 2019-01-10 삼성전자주식회사 Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
TW201107897A (en) 2011-03-01
TWI485530B (zh) 2015-05-21
EP2443647A2 (en) 2012-04-25
KR101614460B1 (ko) 2016-04-21
WO2010134018A2 (en) 2010-11-25
WO2010134018A4 (en) 2011-08-25
EP2443647B1 (en) 2016-10-05
US8710465B2 (en) 2014-04-29
JP2012527765A (ja) 2012-11-08
US20120286173A1 (en) 2012-11-15
CN102460633A (zh) 2012-05-16
WO2010134018A3 (en) 2011-06-23
CN102460633B (zh) 2014-12-17
JP5801289B2 (ja) 2015-10-28

Similar Documents

Publication Publication Date Title
KR101614460B1 (ko) 리소그래피 시스템을 위한 패턴 데이터 전환
USRE45552E1 (en) Lithography system and projection method
JP5801288B2 (ja) リソグラフ処理のための2レベルパターンを発生する方法およびその方法を使用するパターン発生器
JP6125562B2 (ja) デュアルパス走査
US7276714B2 (en) Advanced pattern definition for particle-beam processing
KR102311946B1 (ko) 오버랩 도즈 및 피처 감소에 의한 개선된 스티칭
US20060243918A1 (en) Raster Frame Beam System For Electron Beam Lithography
JP7026554B2 (ja) マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP2009529802A5 (ko)
JP2004303794A (ja) 露光装置
US10381196B2 (en) Charged particle beam writing apparatus and method for calculating irradiation coefficient
JP3310400B2 (ja) 電子ビーム露光方法および露光装置
KR20220159285A (ko) 프로그래밍 가능한 직접 기록 장치를 위한 패턴 데이터 처리

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right