KR20100089107A - Vacuum processing apparatus and vacuum transfer apparatus - Google Patents

Vacuum processing apparatus and vacuum transfer apparatus Download PDF

Info

Publication number
KR20100089107A
KR20100089107A KR1020107013829A KR20107013829A KR20100089107A KR 20100089107 A KR20100089107 A KR 20100089107A KR 1020107013829 A KR1020107013829 A KR 1020107013829A KR 20107013829 A KR20107013829 A KR 20107013829A KR 20100089107 A KR20100089107 A KR 20100089107A
Authority
KR
South Korea
Prior art keywords
vacuum
conveyance
chamber
transfer
area
Prior art date
Application number
KR1020107013829A
Other languages
Korean (ko)
Other versions
KR101192288B1 (en
Inventor
츠토무 히로키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100089107A publication Critical patent/KR20100089107A/en
Application granted granted Critical
Publication of KR101192288B1 publication Critical patent/KR101192288B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J5/00Manipulators mounted on wheels or on carriages
    • B25J5/02Manipulators mounted on wheels or on carriages travelling along a guideway
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(과제) 클러스터 툴에 있어서 플랫폼의 종방향 스페이스를 하방으로 연장하는 일 없이 반송 능력을 향상시킨다.
(해결 수단) 플랫폼(PF) 내에서, 제1 반송 로봇(16L)은, 좌측 가이드 레일(46L)상에서 슬라이드 이동할 수 있는 반송 본체(48L)와, 오프셋 방향(X방향)에서 슬라이드 이동할 수 있는 반송 기대(50L)와, 수평면 내에서 선회 이동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있고, 그리고 1매의 반도체 웨이퍼(W)를 지지할 수 있는 슬라이더형의 반송 아암(52L)을 갖고 있다. 제2 반송 로봇(16R)도, 각부의 운동 또는 이동의 방향이 좌우 대칭인 점을 제외하고 제1 반송 로봇(16L)과 동일한 구성 및 기능을 갖고 있다.
(Problem) In a cluster tool, conveyance capability is improved, without extending the longitudinal space of a platform below.
(Solution means) In the platform PF, the first transfer robot 16L is a transfer main body 48L that can slide on the left guide rail 46L, and a transfer that can slide in the offset direction (X direction). Slider-type carrier arm capable of pivoting in the base 50L, the horizontal plane, moving straight in the direction parallel to the radius of the pivot circle, and supporting one semiconductor wafer W ( 52L). The second transfer robot 16R also has the same configuration and function as the first transfer robot 16L except that the direction of movement or movement of each part is symmetrical.

Figure P1020107013829
Figure P1020107013829

Description

진공 처리 장치 및 진공 반송 장치{VACUUM PROCESSING APPARATUS AND VACUUM TRANSFER APPARATUS}VACUUM PROCESSING APPARATUS AND VACUUM TRANSFER APPARATUS}

본 발명은, 클러스터 툴(cluster tool) 방식의 진공 처리 장치 및 진공 반송 장치에 관한 것이다.TECHNICAL FIELD This invention relates to the vacuum processing apparatus and vacuum conveying apparatus of a cluster tool system.

진공 반송실을 갖는 진공 처리 장치의 일 형태로서, 클러스터 툴 방식이 잘 알려져 있다. 클러스터 툴 방식은, 프로세스의 일관화, 연결화 혹은 복합화를 도모하기 위해, 감압하에서 소정의 처리를 행하는 복수의 프로세스 챔버를 진공의 플랫폼의 주위에 배치하는 방식으로, 멀티 챔버 방식이라고도 칭해지며, 전형적으로는 반도체 제조 장치에서 채용되고 있다(예를 들면 특허문헌 1 참조).As one form of the vacuum processing apparatus which has a vacuum conveyance chamber, the cluster tool system is well known. The cluster tool method is also referred to as a multi-chamber method, in which a plurality of process chambers for performing a predetermined process under reduced pressure are arranged around a vacuum platform in order to achieve coherence, coupling, or complexation of processes. It is employ | adopted by the semiconductor manufacturing apparatus as a reference (for example, refer patent document 1).

일반적으로, 클러스터 툴에 있어서는, 1개의 피(被)처리체가 복수의 프로세스 챔버에 반송되어 동일한 종류 또는 다른 종류의 진공 처리를 연속적으로 받을 수 있도록 되어 있다. 반도체 디바이스 제조에서는, CVD(화학적 기상 성장), 스퍼터, 드라이 에칭, 드라이 클리닝 등이 클러스터 툴 내에서 행해지는 대표적인 진공 처리이다. Generally, in a cluster tool, one to-be-processed object is conveyed to a some process chamber and can receive the same kind or a different kind of vacuum process continuously. In semiconductor device manufacturing, CVD (chemical vapor growth), sputtering, dry etching, dry cleaning and the like are typical vacuum treatments performed in a cluster tool.

상기와 같은 복수의 프로세스 챔버에 걸치는 피처리체의 반송은 플랫폼을 통하여 행해지기 때문에, 플랫폼의 실내는 상시 감압 상태로 유지된다. 이러한 플랫폼으로 미처리된 피처리체를 대기 공간으로부터 반송하기 위해, 그리고 일련의 진공 처리를 끝낸 피처리체를 플랫폼으로부터 대기 공간으로 반출하기 위해, 플랫폼에는 게이트 밸브를 통하여 대기/진공 인터페이스의 로드락 챔버도 접속된다. 플랫폼의 실내에는, 각 프로세스 챔버 또는 로드락 챔버와의 사이에서 기판의 인수인도를 감압하에서 행하기 위한 진공 반송 장치가 형성된다. 이런 종류의 진공 반송 장치는, 각 프로세스 챔버 또는 로드락 챔버에 대하여 피처리체의 반입·반출을 행하기 위한 신축 가능한 반송 아암을 가지며, 액세스처에 따라서 반송 아암을 선회시킬 수 있도록 되어 있다.Since the object to be processed that spans the plurality of process chambers as described above is carried out through the platform, the interior of the platform is always maintained at a reduced pressure. The platform is also connected to the load lock chamber of the air / vacuum interface via a gate valve to return the unprocessed object from the air space to this platform and to carry out a series of vacuumed objects from the platform to the air space. do. In the interior of the platform, a vacuum conveying device is formed between the respective process chambers or the load lock chambers to take over the substrate under reduced pressure. This type of vacuum conveying apparatus has a stretchable conveying arm for carrying in and out of the object to be processed to each process chamber or load lock chamber, and is capable of pivoting the conveying arm depending on the access destination.

그러나, 클러스터 툴 방식의 진공 처리 장치에 있어서는, 피처리체 카세트의 투입, 내보내기가 행해지는 로드 포트측으로부터 보아 장치 전체의 폭 사이즈를 축소 내지 유지하면서, 플랫폼을 안쪽 깊이 방향으로 길게 연장함으로써, 그 긴 변을 따라서 프로세스 챔버를 증설하여, 장치 전체의 챔버 탑재 대수를 늘리는 레이아웃이 반도체 웨이퍼의 대형화에도 유리하게 대응할 수 있는 방법으로서 하나의 경향이 되고 있다(예를 들면 특허문헌 2 참조).However, in the cluster tool type vacuum processing apparatus, the platform is elongated by extending the platform in the inner depth direction while reducing or maintaining the width size of the entire apparatus as viewed from the load port side where the object cassette is inserted and exported. The layout in which the process chamber is expanded along the sides to increase the number of chambers mounted in the entire apparatus has become a trend as a method that can advantageously cope with an increase in the size of the semiconductor wafer (see Patent Document 2, for example).

이와 같이 프로세스 챔버의 탑재 대수가 많아지면, 진공 반송 장치의 부담이 커져, 처리 장치측의 전(全)처리 능력에 진공 반송 장치측의 반송 능력이 쫓아 가지 못하게 되는 것이 과제가 되고 있다.Thus, when the number of mounting process chambers increases, the burden of a vacuum conveying apparatus becomes large and it becomes a subject that the conveyance capability of the vacuum conveying apparatus side cannot be traced to the preprocessing capability of the processing apparatus side.

이 점에서, 플랫폼 내에 1대의 진공 반송 로봇을 형성하는 종래의 클러스터 툴에 있어서는, 플랫폼에 연결되는 복수의 프로세스 모듈에 대해서 각각의 챔버 내에 1개의 피처리체가 체재하는 체재 시간과 그 체재의 전후에 당해 피처리체 때문에 모듈의 기능이 막히는 부수적 비지(busy) 시간을 서로 더한 모듈 사이클 시간을 실질적으로 동일한 길이로 설정하고, 각 피처리체가 일순(一巡)하는 것과 동일한 순서로 2개의 반송 아암을 갖는 진공 반송 로봇이 그들 복수의 프로세스 모듈을 순회하며, 각각의 프로세스 모듈에 대한 액세스에서 처리완료된 피처리체를 한쪽 반송 아암으로 반출(픽; pick)하고 그와 교대로 후속의 다른 피처리체를 다른 한쪽 반송 아암으로 반입(플레이스; place)하는 방법이 채용되고 있다(예를 들면 특허문헌 3 참조).In this regard, in the conventional cluster tool for forming one vacuum transfer robot in the platform, the stay time of one object to be processed in each chamber and the time before and after the stay for each of the plurality of process modules connected to the platform. A vacuum having two conveying arms in the same order as each object to be treated is set to have substantially the same length of the module cycle time plus the additional busy time at which the function of the module is blocked due to the object to be treated. The transfer robot traverses their plurality of process modules, picks up the finished workpiece to one transfer arm in access to each process module and alternately picks up another subsequent workpiece to the other transfer arm. The method of carrying in (place; place) is employ | adopted (for example, refer patent document 3).

그러나, 그러한 순회식 픽&플레이스의 방법은, 각 프로세스 모듈의 처리 시간이 반송 시간에 비하여 충분히 길 때는 반송 로봇의 반송 동작에도 여유가 있어 유효하게 기능하지만, 처리 시간이 짧으면 반송 로봇의 대응이 어려워져 반송 효율이나 스루풋(throughput)은 낮아진다. 한편, 처리완료된 피처리체를 반출(픽)한 직후에 당해 프로세스 모듈에서 행해지는 후처리(예를 들면 퍼징(purging), 클리닝 등)가 길게 걸리면, 반송 로봇은 소지한 미처리된 피처리체에 대해서 플레이스 동작을 행하기 위해 그 후처리가 종료하기까지 당해 프로세스 모듈의 앞에서 기다리는 처지가 되어, 이 긴 대기 시간에 의해 시스템 전체의 스루풋은 크게 저하된다.However, such a circuit pick-and-place method has a sufficient function for the transfer operation of the transfer robot when the processing time of each process module is sufficiently long compared with the transfer time, but it is difficult to cope with the transfer robot if the processing time is short. Lower transfer efficiency and throughput are lowered. On the other hand, if post-treatment (for example, purging, cleaning, etc.) performed in the process module takes a long time immediately after the processed object is removed (picked), the transfer robot places a place on the untreated object to be carried. In order to perform the operation, the process waits in front of the process module until the post-processing ends, and the long wait time greatly reduces the throughput of the entire system.

또한, 상기와 같이 프로세스 모듈(프로세스 챔버)의 탑재 대수는 늘어나는 경향에 있어, 플랫폼 내의 기판 반송 동작을 1대의 진공 반송 로봇으로 전부 처리하는 것은 이제 한계에 와 있다. Further, as described above, the number of mounting of process modules (process chambers) tends to increase, and it is now limited to process all substrate transfer operations in the platform with one vacuum transfer robot.

본 발명자는, 클러스터 툴에 있어서 1대의 진공 반송 로봇을 이용하는 플랫폼의 반송 능력의 한계를 타파하기 위해, 플랫폼 내에서 2개의 이동대 구동 기구에 의해 좁은 공통의 반송 공간 내에 있는 2개의 이동대 내지 아암 기구를 수평 상태에서 서로 간섭하는 일 없이 상하 방향에 있어서 서로의 위치 교환을 가능하게 하도록 상하 방향 및 수평 방향에서 이동시키도록 한 진공 처리 장치를 특허문헌 4에서 제안하고 있다. MEANS TO SOLVE THE PROBLEM In order to overcome the limitation of the conveyance capability of the platform which uses one vacuum conveyance robot in a cluster tool, the present inventors found two movable stages or arms in a narrow common conveyance space by two movable stage drive mechanisms in a platform. Patent document 4 proposes a vacuum processing apparatus in which the mechanism is moved in the vertical direction and the horizontal direction so as to enable the positional exchange of each other in the vertical direction without interfering with each other in the horizontal state.

일본공개특허공보 평8―46013호Japanese Patent Application Laid-open No. Hei 8-46013 일본공개특허공보 2007―12720호Japanese Patent Laid-Open No. 2007-112720 일본공개특허공보 2006―190894호Japanese Patent Laid-Open No. 2006-190894 일본공개특허공보 2004―265947호Japanese Patent Laid-Open No. 2004-265947

상기 특허문헌 4에서 개시된 종래의 진공 처리 장치는, 플랫폼 내에서 실질상 2대의 반송 로봇을 동시 가동시키는 방식이며, 그에 따라 반송 효율 및 스루풋을 향상할 수 있지만, 그래도 아직 개선해야 할 과제가 몇 가지 있다.The conventional vacuum processing apparatus disclosed in the patent document 4 is a method of operating two conveying robots substantially simultaneously in the platform, whereby the conveying efficiency and throughput can be improved, but there are still some problems to be improved. have.

제1로, 각 이동대 구동 기구가, 하방에 기부(基部)를 갖고 수직(연직)면상에서 신축 선회 가능한 종(縱)다관절 로봇의 형태를 채용하기 때문에, 연직 방향에서 큰 스페이스를 요한다. 게다가, 이 이동대 구동 기구의 상방에서 2조의 이동대 내지 아암 기구를 위치 교환 가능하게 상하 방향에서 이동시키도록 되어 있다. 여기에서, 아암 기구의 정상(頂上) 위치는, 프로세스 챔버의 피처리체 반입출구에 대응한 높이로 설정된다. 이 때문에, 이동대 구동 기구(특히 기부)는, 프로세스 챔버보다도 낮은 위치 공간에서 가동하게 된다.First, since each movable stand drive mechanism adopts the form of the longitudinal articulated robot which has a base below and can expand and contract on a vertical (vertical) surface, a large space is required in the vertical direction. In addition, above the moving table drive mechanism, two sets of moving tables and arm mechanisms are moved in the up-down direction so as to exchange positions. Here, the normal position of the arm mechanism is set to the height corresponding to the object loading-in / out port of the process chamber. For this reason, the movable base drive mechanism (particularly the base) is operated in a position space lower than that of the process chamber.

그러나, 최근의 프로세스 모듈은 진공 배기계에 대용적의 APC(Automatic Pressure Control) 밸브를 상용하도록 되어 있어, 이 APC 밸브가 프로세스 챔버의 아래에서 플랫폼측으로 튀어나올 정도의 점유 스페이스를 필요로 한다. 이에 따라, 플랫폼은, 프로세스 챔버보다도 낮은 하방의 스페이스를 프로세스 모듈을 위해 비워두지 않으면 안되어, 반송 기구를 위해 이용할 수 없게 되고 있다.However, recent process modules are designed to use large-capacity Automatic Pressure Control (APC) valves in vacuum exhaust systems, which require enough space to protrude from the bottom of the process chamber to the platform side. As a result, the platform has to leave a lower space lower than the process chamber for the process module and cannot be used for the transport mechanism.

즉, 플랫폼에 상기와 같은 종다관절 로봇의 형태를 채용하는 이동대 구동 기구를 형성하는 구성은 채용이 곤란해지고 있다.That is, the structure which forms the movable platform drive mechanism which employ | adopts the form of a longitudinal articulated robot as mentioned above on a platform becomes difficult to employ | adopt.

제2로, 플랫폼 내에서 2개의 이동대의 높이 위치를 상호 교환 또는 치환할 때에, 각 이동대에 지지되어 있는 피처리체의 위를 다른 이동대가 통과하기 때문에, 피처리체에 파티클이 부착될 우려가 있다.Secondly, when exchanging or replacing the height positions of the two moving tables in the platform, particles may adhere to the processing object because other moving tables pass over the object to be supported by each moving table. .

제3으로, 이동대를 하강 이동시킬 때에, 가속도가 상향으로 작용하기 때문에, 피처리체에 대한 지지(hold)력이 약해져, 피처리체가 미끄러질(위치 어긋남) 우려가 있다. Thirdly, since the acceleration acts upward when the movable table is moved downward, the holding force to the target object is weakened, and there is a fear that the object is slipped (position shifted).

또한, 이동대 구동 기구(종다관절 로봇)는, 상기와 같이 큰 동작 스페이스를 요할 뿐만 아니라, 그 자체가 대규모인 것이어서, 비용적으로도 실시 곤란하다.In addition, the mobile stand drive mechanism (multi-joint robot) not only requires a large operating space as described above, but also has a large scale in itself and is difficult to implement costly.

본 발명은, 이러한 종래 기술의 문제점을 감안하여 이루어진 것으로서, 클러스터 툴에 있어서 플랫폼의 종방향 스페이스를 하방으로 연장하는 일 없이 기구 및 동작이 심플하고 효율적인 진공 반송 로봇에 의해 반송 능력을 크게 향상시키는 진공 처리 장치 및 진공 반송 장치를 제공한다.SUMMARY OF THE INVENTION The present invention has been made in view of the problems of the prior art, and in a cluster tool, a vacuum which greatly improves the carrying capacity by a vacuum transfer robot having a simple and efficient mechanism and operation without extending the longitudinal space of the platform downward. A processing apparatus and a vacuum conveying apparatus are provided.

본 발명의 진공 처리 장치는, 실내가 감압 상태로 유지되는 진공 반송실과, 상기 진공 반송실 주위에 인접하여 형성되고, 감압하의 실내에서 피처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과, 상기 진공 반송실의 주위에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치(留置)하는 1개 또는 복수의 로드락실과, 상기 로드락실과 어느 하나의 상기 진공 처리실과의 사이에서, 또는 상이한 상기 진공 처리실의 사이에서, 피처리체를 반송하기 위해 상기 진공 반송실 내에 형성되는 제1 및 제2 진공 반송 로봇을 갖고, 상기 제1 및 제2 진공 반송 로봇이, 상기 로드락실측으로부터 보아 상기 진공 반송실의 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성되고, 상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고, 상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능한 구성으로 했다.The vacuum processing apparatus of this invention is one or more vacuum processing chambers which are formed adjacent to the vacuum conveyance chamber in which a room is maintained in a pressure reduction state, and the to-be-processed object in the room under reduced pressure, and is processed. And 1 which is formed adjacent to the vacuum conveyance chamber, and which the room is selectively switched to a standby state or a reduced pressure state, and temporarily attracts a workpiece to be transferred between the atmospheric space and the vacuum conveyance chamber. 1st and 2nd formed in the said vacuum conveyance chamber for conveying a to-be-processed object between a dog or several load lock chamber and between the said load lock chamber and any one said vacuum processing chamber, or between said different vacuum processing chambers. The vacuum transfer robot has a vacuum transfer robot, and the first and second vacuum transfer robots are viewed from the load lock chamber side, and the left transfer area of the vacuum transfer chamber and It is comprised so that the inside of the said vacuum conveyance chamber may respectively move on the 1st and 2nd conveyance paths extended in an inner depth direction in a right conveyance area, respectively, The said 1st vacuum conveyance robot is all the said vacuum adjacent to the said left conveyance area Access to the processing chamber and to at least one of the vacuum processing chambers adjacent to the right conveying area and to at least one of the load lock chambers is possible for carrying in or out of the object, and the second vacuum conveying robot is provided. Is carried in or out of a workpiece to all the vacuum processing chambers adjacent to the right conveyance area, to the at least one vacuum processing chamber adjacent to the left conveyance area, and to the at least one load lock chamber. It was made to be accessible configuration for.

또한, 본 발명의 진공 반송 장치는, 실내가 감압 상태로 유지되는 진공 반송실 주위에, 상기 진공 반송실에 인접하여 형성되고, 감압하의 실내에서 피처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과, 상기 반송실에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치하는 1개 또는 복수의 로드락실을 배치하는 진공 처리 장치에 있어서, 상기 진공 반송실과 상기 진공 처리실 또는 상기 로드락실과의 사이에서 피처리체의 인수 인도를 행하기 위해 상기 진공 반송실 내에 형성되는 진공 반송 장치로서, 상기 로드락실측으로부터 보아 상기 진공 반송실 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 진공 반송 로봇을 구비하고, 상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고, 상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능한 구성으로 했다.Moreover, the vacuum conveying apparatus of this invention is formed in the circumference | surroundings of the vacuum conveyance chamber in which a room is maintained in a reduced pressure state, adjacent to the said vacuum conveyance chamber, and the one or more in which predetermined process is performed to a to-be-processed object in the room under reduced pressure. One or a plurality of vacuum processing chambers adjacent to the conveying chamber, the interior of which is selectively switched to a standby state or a reduced pressure state, and temporarily holding a workpiece to be transferred between the atmospheric space and the vacuum conveying chamber. A vacuum processing apparatus for arranging a load lock chamber, wherein the vacuum transfer apparatus is formed in the vacuum transfer chamber to transfer an object to be processed between the vacuum transfer chamber and the vacuum processing chamber or the load lock chamber. Viewed from the lock chamber side, respectively, in the depth direction in the vacuum conveyance chamber left conveyance area and the right conveyance area, respectively. First and second vacuum transfer robots configured to move in the vacuum transfer chamber on the first and second transfer paths, respectively, wherein the first vacuum transfer robot includes all of the above adjacent to the left transfer area; Access to the vacuum processing chamber and to at least one of the vacuum processing chambers adjacent to the right conveying area and to at least one of the load lock chambers is possible for carrying in or out of the object, and the second vacuum conveying is possible. The robot carries or unloads a target object to all the vacuum processing chambers adjacent to the right conveyance area, to the at least one vacuum processing chamber adjacent to the left conveyance area, and to the at least one load lock chamber. The configuration is accessible.

본 발명의 진공 처리 장치 또는 진공 반송 장치에 있어서는, 진공 반송실 내에서, 제1 진공 반송 로봇은 좌측 반송 에어리어를 주된 동작 에어리어로 하면서도 우측 반송 에어리어로 비어져 나오는 것이 가능한 한편으로, 제2 진공 반송 로봇은 우측 반송 에어리어를 주된 동작 에어리어로 하면서도 좌측 반송 에어리어로 비어져 나오는 것도 가능하게 되어 있다. 즉, 본 발명의 매우 적합한 일 형태에 있어서, 제1 진공 반송 로봇은, 좌측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 좌측 반송 에어리어로부터 우측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되며, 제2 진공 반송 로봇은, 우측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 우측 반송 에어리어로부터 좌측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성된다.In the vacuum processing apparatus or the vacuum conveying apparatus of this invention, in a vacuum conveyance chamber, while a 1st vacuum conveying robot makes it possible to protrude to the right conveyance area, making the left conveyance area a main operation area, on the other hand, a 2nd vacuum conveyance is carried out. The robot is also capable of being protruded to the left conveyance area while using the right conveyance area as the main operation area. That is, in a very suitable embodiment of the present invention, the first vacuum transfer robot can arbitrarily switch between a basic posture in which all of the left transfer area is accommodated in the left transfer area, and a posture projected from the left transfer area to the right transfer area. The 2nd vacuum conveyance robot is comprised so that the basic attitude | position which can be accommodated and moved in all in the right conveyance area, and the attitude | position coming out to the left conveyance area from the right conveyance area can be changed arbitrarily.

본 발명의 매우 적합한 일 형태에 있어서의 제1 및 제2 진공 반송 로봇은, 제1 및 제2 반송로상에서 각각 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 반송 본체와, 안쪽 깊이 방향과 교차하는 수평인 오프셋 방향에서 이동할 수 있도록 제1 및 제2 반송 본체에 각각 탑재된 제1 및 제2 반송 기대(pedestal)와, 수평면 내에서 선회 운동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있도록 제1 및 제2 반송 기대에 각각 탑재되고, 그리고 피처리체를 지지할 수 있도록 구성된 제1 및 제2 반송 아암을 각각 갖는다.The 1st and 2nd vacuum conveyance robot in one very suitable aspect of this invention is the 1st and 2nd conveyance main body comprised so that the inside of a vacuum conveyance chamber can be moved on a 1st and 2nd conveyance path, respectively, and an inner depth direction. The first and second conveyance pedestals mounted on the first and second conveyance bodies, respectively, so as to be able to move in a horizontal offset direction intersecting with each other, and can pivot in a horizontal plane, The first and second conveyance arms are respectively mounted on the first and second conveyance bases so as to be able to move straight in a parallel direction, and have first and second conveyance arms respectively configured to support the object to be processed.

본 발명의 매우 적합한 일 형태에 있어서는, 제1 및 제2 진공 반송 로봇이 좌측 및 우측 반송 에어리어를 주된 동작 에어리어로 하기 때문에, 제1 및 제2 반송 본체가 제1 및 제2 반송로상에서 각각 슬라이드 이동하도록 구성되어 있고, 또한 제1 및 제2 반송로상에서 서로 엇갈리면서 이동할 수 있도록 되어 있다. In a very suitable embodiment of the present invention, since the first and second vacuum transfer robots use the left and right transfer areas as the main operating areas, the first and second transfer bodies slide on the first and second transfer paths, respectively. It is comprised so that it may move, and it may move on the 1st and 2nd conveyance path, mutually staggered.

또한, 다른 매우 적합한 일 형태에 있어서는, 제1 진공 반송 로봇이 좌측 반송 에어리어로부터 우측 반송 에어리어로 비어져 나올 수 있도록 하기 위해, 제1 반송 기대가, 좌측 반송 에어리어 내에 수용되는 제1 복동(復動) 위치와 좌측 반송 에어리어로부터 우측 반송 에어리어로 비어져 나오는 제1 왕동(往動) 위치와의 사이에서 이동 가능하게 되어 있다. 또한, 제2 진공 반송 로봇이 우측 반송 에어리어로부터 좌측 반송 에어리어로 비어져 나올 수 있도록 하기 위해, 제2 반송 기대가, 우측 반송 에어리어 내에 수용되는 제2 복동 위치와 우측 반송 에어리어로부터 좌측 반송 에어리어로 비어져 나오는 제2 왕동 위치와의 사이에서 이동 가능하게 되어 있다. 이 경우, 제1 및 제2 반송 기대는, 오프셋 방향에서 슬라이드 이동 가능하게 제1 및 제2 반송 본체에 각각 탑재되는 것이 바람직하다.Moreover, in another very suitable aspect, in order that a 1st vacuum conveyance robot can protrude from a left conveyance area to a right conveyance area, the 1st conveyance expectation is the 1st double acting accommodation accommodated in a left conveyance area. It is possible to move between a position and a 1st sliding position protruding from a left conveyance area to a right conveyance area. Further, in order to allow the second vacuum transfer robot to protrude from the right transfer area to the left transfer area, the second transfer expectation via is moved from the second double acting position accommodated in the right transfer area and the right transfer area to the left transfer area. It is possible to move between the 2nd king positions which come out. In this case, it is preferable that the 1st and 2nd conveyance bases are respectively mounted in the 1st and 2nd conveyance main body so that a slide movement is possible in an offset direction.

본 발명에 있어서는, 제1 진공 반송 로봇은, 좌측 반송 에어리어에 인접하는 모든 진공 처리실에 액세스할 수 있을 뿐만 아니라, 우측 반송 에어리어에 인접하는 적어도 1개의 진공 처리실에도 액세스 가능하고, 또한 적어도 1개의 로드락실에도 액세스 가능하다. 한편, 제2 진공 반송 로봇은, 우측 반송 에어리어에 인접하는 모든 진공 처리실에 액세스할 수 있을 뿐만 아니라, 좌측 반송 에어리어에 인접하는 적어도 1개의 진공 처리실에도 액세스 가능하고, 또한 적어도 1개의 로드락실에도 액세스 가능하다.In the present invention, the first vacuum transfer robot can access not only all the vacuum processing chambers adjacent to the left transfer area, but also can access at least one vacuum processing chamber adjacent to the right transfer area and at least one rod. It is accessible to lock room. On the other hand, the second vacuum transfer robot not only has access to all the vacuum processing chambers adjacent to the right transfer area, but also has access to at least one vacuum processing chamber adjacent to the left transfer area and also has access to at least one load lock chamber. It is possible.

상기와 같은 제1 및 제2 진공 반송 로봇의 반송 기능을 조합하여 양자를 연속 동작시킴으로써, 프로세스 챔버의 어느 것에 대하여도 먼저 반송 로봇 한쪽이 저스트 인 타임(just in time)으로 피처리체를 반출하고, 후에 다른 한쪽(경우에 따라서는 재차 한쪽)이 저스트 인 타임으로 다른 피처리체를 반입할 수 있다.By combining the transfer functions of the first and second vacuum transfer robots as described above, both of them are continuously operated, so that one of the transfer robots first takes out the object to be processed in just in time for any of the process chambers, Later, the other side (in some cases, one side again) can bring in another to-be-processed object in just in time.

또한, 본 발명에 있어서는, 상기와 같이 제1 및 제2 진공 반송 로봇의 각부 즉 반송 본체, 반송 기대, 반송 아암이 수평 방향에서 슬라이드 동작 또는 선회 운동을 행하는 구성이며, 종(연직)방향의 굴신(屈伸)·신축 동작이나 선회 운동은 일절 행하지 않기 때문에, 종(연직)방향에서 큰 동작 스페이스를 필요로 하지 않는다. 이에 따라, 진공 반송실 종 방향 사이즈를 작게 할 수 있다. 또한, 진공 반송실 내에 있어서, 피처리체의 위를 반송 기구의 부재가 통과하는 일은 없기 때문에, 피처리체에 파티클이 부착될 가능성도 적다. 또한, 진공 반송실 내에 있어서, 피처리체에 종(연직)방향의 가속도(특히 상향의 가속도)를 부여하는 일이 없기 때문에, 반송 아암상에서 피처리체를 안정되게 지지할 수 있다.In addition, in this invention, each part of a 1st and 2nd vacuum conveyance robot, ie, a conveyance main body, a conveyance base, and a conveyance arm performs a slide operation or a rotational movement in a horizontal direction, and extends in a longitudinal (vertical) direction as mentioned above. (I) Since no stretching or swinging movements are performed, a large operating space is not required in the longitudinal (vertical) direction. Thereby, the vacuum conveyance chamber longitudinal direction size can be made small. Moreover, in a vacuum conveyance chamber, since the member of a conveyance mechanism does not pass on the to-be-processed object, there is little possibility that a particle adheres to a to-be-processed object. Moreover, in a vacuum conveyance chamber, since the acceleration (especially upward acceleration) of a longitudinal (vertical) direction is not given to a to-be-processed object, a to-be-processed object can be stably supported on a conveyance arm.

또한, 상기와 같이 반송 로봇의 각부를 수평 슬라이드/수평 선회형의 기구로 하는 경우는, 각부의 구동원(바람직하게는 전부의 구동원)을 진공 처리실의 밖에 배치하는 것이 용이하며, 그에 따라 전기 케이블류를 수용하는 관절 덕트 또는 플렉시블관을 진공 처리실 내에 둘러칠 필요가 없어져, 반송 로봇의 이동 범위나 슬라이드 스트로크를 크게 할 수 있다. In addition, in the case where each part of the transfer robot is a horizontal slide / horizontal swing type mechanism as described above, it is easy to arrange the drive source (preferably all of the drive sources) of each part outside the vacuum processing chamber, thereby providing electric cables. It is not necessary to enclose the joint duct or the flexible tube which accommodates the inside in a vacuum processing chamber, and the movement range and slide stroke of a conveyance robot can be enlarged.

본 발명의 진공 처리 장치 또는 진공 반송 장치에 의하면, 상기와 같은 구성 및 작용에 의해, 클러스터 툴에 있어서 플랫폼의 종방향 스페이스를 하방으로 연장하는 일 없이 기구 및 동작이 심플하고 효율적인 진공 반송 로봇에 의해 반송 능력을 크게 향상시킬 수 있다.According to the vacuum processing apparatus or the vacuum conveying apparatus of this invention, a mechanism and operation are simple and efficient by a vacuum conveying robot by extending the longitudinal space of a platform downward in a cluster tool by the above structure and operation | movement. The carrying capacity can be greatly improved.

도 1은 본 발명의 일 실시 형태에 있어서의 클러스터 툴 방식의 진공 처리 장치의 구성을 나타내는 대략 평면도이다.
도 2는 상기 진공 처리 장치에 있어서의 플랫폼(진공 반송실) 주위의 종방향의 레이아웃을 개략적으로 나타내는 대략 단면도이다.
도 3은 상기 진공 처리 장치의 플랫폼 내에 형성되는 제1 및 제2 진공 반송 로봇의 구성을 나타내는 사시도이다.
도 4는 실시 형태에 있어서의 플랫폼 내의 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 5는 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 6은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 7은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 8은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 9는 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 10은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 11은 플랫폼 내에서 동시에 가동하는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제1 패턴을 나타내는 대략 평면도이다.
도 12는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제2 패턴을 나타내는 대략 평면도이다.
도 13은 플랫폼 내에서 동시에 가동하는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제3 패턴을 나타내는 대략 평면도이다.
도 14는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제4 패턴을 나타내는 대략 평면도이다.
도 15는 실시 형태에 있어서의 아암 구동 기구의 구성을 나타내는 분해 사시도이다.
도 16은 상기 아암 구동 기구의 주요부의 구성을 나타내는 일부 단면 측면도이다.
도 17은 실시 형태의 반송 로봇에 있어서 반송 기대를 오프셋 방향에서 슬라이드 이동시키기 위한 구동 기구를 나타내는 사시도이다.
도 18은 도 17의 구동 기구에 이용되는 스플라인축의 단면 구조를 나타내는 단면도이다.
BRIEF DESCRIPTION OF THE DRAWINGS It is a rough plan view which shows the structure of the vacuum processing apparatus of the cluster tool system in one Embodiment of this invention.
FIG. 2 is a schematic cross-sectional view schematically showing the longitudinal layout around the platform (vacuum transfer chamber) in the vacuum processing apparatus.
3 is a perspective view showing the configuration of first and second vacuum transfer robots formed in the platform of the vacuum processing apparatus.
4 is a plan view schematically showing one step of wafer loading / exporting operations of the first and second vacuum transfer robots in the platform in the embodiment.
5 is a plan view schematically illustrating one step of wafer loading / exporting operations of the first and second vacuum transfer robots.
6 is a plan view schematically illustrating one step of a wafer loading / unloading operation of the first and second vacuum transfer robots.
7 is a plan view schematically illustrating one step of a wafer loading / unloading operation of the first and second vacuum transfer robots.
8 is a plan view schematically illustrating one step of wafer loading / exporting operations of the first and second vacuum transfer robots.
9 is a plan view schematically illustrating one step of a wafer loading / unloading operation of the first and second vacuum transfer robots.
10 is a plan view schematically illustrating one step of a wafer loading / unloading operation of the first and second vacuum transfer robots.
Fig. 11 is a schematic plan view showing a first pattern according to the mutual positional relationship of the first and second transfer robots operating simultaneously in the platform.
12 is a plan view schematically illustrating a second pattern according to the mutual positional relationship of the first and second transfer robots.
Fig. 13 is a schematic plan view showing a third pattern according to the mutual positional relationship of the first and second transfer robots simultaneously operating in the platform.
14 is a plan view schematically illustrating a fourth pattern according to the mutual positional relationship of the first and second transfer robots.
It is an exploded perspective view which shows the structure of the arm drive mechanism in embodiment.
It is a partial cross section side view which shows the structure of the principal part of the said arm drive mechanism.
It is a perspective view which shows the drive mechanism for slidingly moving a conveyance base in an offset direction in the conveyance robot of embodiment.
It is sectional drawing which shows the cross-sectional structure of the spline shaft used for the drive mechanism of FIG.

(발명을 실시하기 위한 최량의 형태)Best Mode for Carrying Out the Invention [

이하, 첨부 도면을 참조하여 본 발명의 매우 적합한 실시 형태에 대해서 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, the preferred embodiment of this invention is described with reference to an accompanying drawing.

도 1에, 본 발명의 일 실시 형태에 따른 클러스터 툴 방식의 진공 처리 장치의 전체 구성을 나타낸다. 이 진공 처리 장치는, 클린 룸 내에 설치되며, 장치 안쪽 깊이 방향(도면의 Y방향)으로 연장되는 한 쌍의 변이 다른 변보다도 약 2배 긴 오각형의 형상을 갖는 진공 플랫폼(진공 반송실)(PF) 주위에 인접하여 6개의 진공 프로세스 챔버(진공 처리실)(PC1, PC2, PC3, PC4, PC5, PC6)와 2개의 로드락 챔버(로드락실)(LLCa, LLCb)를 클러스터 형상으로 배치하고 있다.In FIG. 1, the whole structure of the vacuum processing apparatus of the cluster tool system which concerns on one Embodiment of this invention is shown. This vacuum processing apparatus is a vacuum platform (vacuum conveying chamber) which is installed in a clean room and has a pentagon-shaped shape in which a pair of sides extending in the device depth direction (Y direction in the drawing) is about twice as long as the other sides (PF) 6 vacuum process chambers (vacuum processing chamber) (PC 1 , PC 2 , PC 3 , PC 4 , PC 5 , PC 6 ) and two load lock chambers (LLC a , LLC b ) Is arranged in a cluster shape.

보다 상세하게는, 플랫폼(PF)에는, 도면의 시계 방향의 순서로, 좌측의 장변에 제1 및 제2 프로세스 챔버(PC1, PC2)가 게이트 밸브(GV1, GV2)를 통하여 각각 연결되고, 좌측 및 우측의 빗변에 제3 및 제4 프로세스 챔버(PC3, PC4)가 게이트 밸브(GV3, GV4)를 통하여 각각 연결되고, 우측의 장변에 제5 및 제6 프로세스 챔버(PC5, PC6)가 게이트 밸브(GV5, GV6)를 통하여 각각 연결되며, 밑변에 양(兩) 로드락 챔버(LLCa, LLCb)가 좌우로 나뉘어 게이트 밸브(GVa, GVb)를 통하여 각각 연결되어 있다.In more detail, in the platform PF, the first and second process chambers PC 1 and PC 2 are provided on the long side of the left side in the clockwise order of the drawing through the gate valves GV 1 and GV 2 , respectively. And third and fourth process chambers PC 3 and PC 4 are connected to the left and right hypotenuses through gate valves GV 3 and GV 4 . Are connected, respectively, the fifth and the long side of the right side 6. The process chamber (PC 5, PC 6) and the gate valves are each connected via a (GV 5, GV 6), the amount (兩) the base load lock chamber (LLC a , LLC b ) are divided into left and right sides and are connected via gate valves GV a and GV b , respectively.

각각의 프로세스 챔버(PC1∼PC6)는, 전용의 진공 배기 장치(10)에 접속되어 있으며(도 2), 실내가 가변의 압력으로 상시 감압 상태로 유지된다. 전형적으로는, 도 2에 나타내는 바와 같이 실내의 중앙부에 배치한 재치대(holding stage; 12)의 위에 피처리체 예를 들면 반도체 웨이퍼(W)를 올리고, 소정의 용력(用力)(처리 가스, 고주파 등)을 이용하여 소요의 매엽 처리, 예를 들면 CVD, ALD(Atomic Layer Deposition) 혹은 스퍼터 등의 진공 성막 처리, 열 처리, 반도체 웨이퍼 표면의 클리닝 처리, 드라이 에칭 가공 등을 행하도록 되어 있다.Each process chamber (PC 1 ~PC 6) is connected to the vacuum exhaust device 10 and a dedicated (Fig. 2), the interior is maintained at a constant reduced pressure state of the variable pressure. Typically, as shown in FIG. 2, the to-be-processed object, for example, the semiconductor wafer W is raised on the holding stage 12 arrange | positioned in the center part of a room, and predetermined | prescribed power (process gas, high frequency) Etc.) is used to perform required sheet treatment, for example, vacuum deposition such as CVD, ALD (Atomic Layer Deposition) or sputtering, heat treatment, cleaning of the semiconductor wafer surface, dry etching, and the like.

플랫폼(PF)은, 전용의 진공 배기 장치(14)에 접속되어 있으며(도 2), 실내가 통상은 일정한 압력으로 상시 감압 상태로 유지된다. 실내에는, 각각 독립적으로 웨이퍼 반송 동작을 행할 수 있는 2대의 진공 반송 로봇(16L, 16R)이 설치되어 있다. 이들 진공 반송 로봇(16L, 16R)의 구성 및 작용은, 뒤에 상세히 설명한다.The platform PF is connected to a dedicated vacuum exhaust device 14 (FIG. 2), and the room is normally maintained at a constant pressure at a constant pressure. In the room, two vacuum transfer robots 16L and 16R which can each independently perform a wafer transfer operation are provided. The configuration and operation of these vacuum transfer robots 16L and 16R will be described later in detail.

로드락 챔버(LLCa, LLCb)는, 각각 개폐 밸브를 통하여 전용의 진공 배기 장치(도시하지 않음)에 접속되어 있으며, 실내를 대기압 상태 및 진공 상태 어느 쪽으로도 수시 전환할 수 있도록 되어 있다. 플랫폼(PF)으로부터 보아 반대측으로 로드락 챔버(LLCa, LLCb)는 각각 도어 밸브(DVa, DVb)를 통하여 대기압하의 로더 반송실(LM)에 연결되어 있다. 로드락 챔버(LLCa, LLCb)의 실내의 중앙부에는 유치중인 반도체 웨이퍼(W)를 올리는 수도대(受渡台; 18)가 배치되어 있다.The load lock chambers LLC a and LLC b are each connected to a dedicated vacuum exhaust device (not shown) via an on / off valve, and can switch the room at any time to either an atmospheric pressure state or a vacuum state. On the opposite side from the platform PF, the load lock chambers LLC a , LLC b are connected to the loader conveyance chamber LM under atmospheric pressure through the door valves DV a , DV b , respectively. In the central portion of the interior of the load lock chambers LLC a and LLC b , a water tap 18 for raising a semiconductor wafer W is placed.

로더 반송실(LM)과 인접하여 로드 포트(LP) 및 오리엔테이션 플랫(orientation flat) 맞춤 기구(ORT)가 형성되어 있다. 로드 포트(LP)는, 외부 반송차(車)와의 사이에서 예를 들면 1배치(batch) 25매의 반도체 웨이퍼(W)를 수납 가능한 웨이퍼 카세트(CR)의 투입, 내보내기에 이용된다. 여기에서, 웨이퍼 카세트(CR)는 SMIF(Standard Mechanical Interface)나 FOUP(Front Opening Unified Pod) 등의 박스 또는 포드로서 구성되어 있다. 오리엔테이션 플랫 맞춤 기구(ORT)는, 반도체 웨이퍼(W)의 오리엔테이션 플랫 또는 노치(notch)를 소정의 위치 또는 방향으로 맞추기 위해 이용된다.The load port LP and the orientation flat alignment mechanism ORT are formed adjacent to the loader conveyance chamber LM. The load port LP is used for the input and export of the wafer cassette CR which can accommodate, for example, 25 semiconductor wafers W in one batch between the external carriers. Here, the wafer cassette CR is configured as a box or pod such as SMIF (Standard Mechanical Interface) or FOUP (Front Opening Unified Pod). The orientation flat alignment mechanism ORT is used to align the orientation flat or notch of the semiconductor wafer W in a predetermined position or direction.

로더 반송실(LM) 내에 형성되어 있는 대기 반송 로봇(20)은, 신축 가능한 한쌍의 반송 아암(22, 24)을 갖고, 리니어 모터(26)의 리니어 가이드(28) 상에서 수평 방향으로 이동 가능함과 함께, 승강·선회 가능하여, 로드 포트(LP), 오리엔테이션 플랫 맞춤 기구(ORT) 및 로드락 챔버(LLCa, LLCb)의 사이를 왕래하며 반도체 웨이퍼(W)를 매엽 단위(혹은 배치 단위)로 반송한다. 여기에서, 대기 반송 로봇(20)은, 각각의 웨이퍼 카세트(CR) 전(前)면에 형성되어 있는 LP 도어(25)의 열린 상태에 있어서 반도체 웨이퍼(W)를 로더 반송실(LM) 내로 반입한다. 리니어 가이드(28)는, 예를 들면 영구 자석으로 이루어지는 마그넷, 구동용 자기 코일 및 스케일 헤드 등으로 구성되며, 주(主)제어부(30) 또는 대기 반송계 컨트롤러(도시하지 않음)로부터의 커맨드에 따라서 대기 반송 로봇(20)의 직선 운동 제어를 행한다.The atmospheric transfer robot 20 formed in the loader conveyance chamber LM has a pair of telescopic transport arms 22 and 24 which can be moved horizontally on the linear guide 28 of the linear motor 26. In addition, it is possible to lift and turn, so that the semiconductor wafer W is interposed between the load port LP, the orientation flat alignment mechanism ORT, and the load lock chambers LLC a , LLC b , and the unit of wafer (or batch unit). Return to Here, the atmospheric transfer robot 20 moves the semiconductor wafer W into the loader transfer chamber LM in the open state of the LP door 25 formed on the front surface of each wafer cassette CR. Bring in The linear guide 28 is composed of, for example, a magnet made of a permanent magnet, a magnetic coil for driving, a scale head, and the like, and is used to command from a main controller 30 or a standby carrier controller (not shown). Therefore, linear motion control of the atmospheric transfer robot 20 is performed.

도 2에, 플랫폼(PF) 주위의 종방향의 레이아웃을 나타낸다. 도면 중, PCL, PCR은, 플랫폼(PF)의 좌변 및 우변에 각각 인접하여 배치되는 프로세스 챔버를 나타내고 있다. 프로세스 챔버(PCL, PCR)는, 소요의 진공 매엽 처리를 행하기 위한 하드웨어식을 유닛화한 프로세스 모듈(PML, PMR)에 포함되어 있다. 좌측의 프로세스 모듈(PML)에 있어서, 프로세스 챔버(PCL)의 아래에는 진공 배기 장치(10)를 구성하는 배기관(32), APC 밸브(34) 및 진공 펌프(예를 들면 터보 분자 펌프)(36)가 배치된다. 여기에서, APC 밸브(34)는, 횡방향 사이즈가 크고, 플랫폼(PF)의 아래로 튀어나와 있다. 우측의 프로세스 모듈(PMR)도, 좌측의 프로세스 모듈(PML)과 대략 동일한 레이아웃 및 사이즈를 갖고 있다.2 shows the longitudinal layout around the platform PF. In the figure, PC L and PC R represent process chambers disposed adjacent to the left side and the right side of the platform PF, respectively. The process chambers PC L and PC R are included in process modules PM L and PM R in which a hardware type for performing a required vacuum sheet processing is unitized. In the process module PM L on the left side, below the process chamber PC L , an exhaust pipe 32 constituting the vacuum exhaust device 10, an APC valve 34, and a vacuum pump (for example, a turbo molecular pump) 36 is disposed. Here, the APC valve 34 has a large transverse size and protrudes below the platform PF. The process module PM R on the right side also has substantially the same layout and size as the process module PM L on the left side.

플랫폼(PF)은, 종방향에서 프로세스 챔버(PCL, PCR)와 동일하거나 그것에 가까운 사이즈를 갖고 있다. 플랫폼(PF)의 바로 아래의 스페이스(38)는, 진공 배기 장치(14)를 구성하는 배기관(40) 및 진공 펌프(42)가 형성될 정도로 충분히 여유가 있어, 양측의 프로세스 모듈(PML, PMR)로부터 APC 밸브(34)가 내측으로 튀어나오는 것을 허용하고 있다. 또한, 이 스페이스(38)는, 플랫폼(PF) 및 프로세스 모듈(PML, PMR)의 메인터넌스에도 이용된다.The platform PF has a size equal to or close to the process chambers PC L and PC R in the longitudinal direction. The space 38 directly below the platform PF has a sufficient margin so that the exhaust pipe 40 and the vacuum pump 42 constituting the vacuum exhaust device 14 are formed, so that the process modules PM L , from PM R) and allows the APC valve 34 protrudes inward. The space 38 is also used for maintenance of the platform PF and the process modules PM L and PM R.

도 3에, 플랫폼(PF) 내에 형성되는 2대의 진공 반송 로봇(이하, 간단히 「반송 로봇」이라고 약칭함)(16L, 16R)의 구성을 나타낸다. 플랫폼(PF) 내의 반송 공간은 로드락 챔버(LLCa, LLCb)(도 1)측으로부터 보아 반송 기능적으로 좌우 반반으로 구획되며, 좌측의 반송 에어리어(TEL) 및 우측의 반송 에어리어(TER)의 저부(bottom)에는 안쪽 깊이 방향(Y방향)으로 연장되는 좌측 가이드 레일(46L) 및 우측 가이드 레일(46R)이 각각 깔려 있다. 제1(좌측) 반송 로봇(16L)은 좌측 가이드 레일(46L) 상에서 동작하고, 제2(우측) 반송 로봇(16R)은 우측 가이드 레일(46R) 상에서 동작하도록 되어 있다.3 shows the configuration of two vacuum transfer robots (hereinafter simply abbreviated as "conveyance robots") 16L and 16R formed in the platform PF. The conveyance space in the platform PF is divided into left and right halves functionally from the load lock chambers LLC a , LLC b (FIG. 1) side, and the conveyance area TE L on the left side and the conveyance area TE R on the right side are identified. ), The left guide rail 46L and the right guide rail 46R, which extend in the inner depth direction (Y direction), are laid on the bottom. 16 L of 1st (left) conveyance robots operate on the left guide rail 46L, and 16 R of 2nd (right) conveyance robots operate on the right guide rail 46R.

제1 반송 로봇(16L)은, 좌측 가이드 레일(46L) 상에서 플랫폼(PF) 내를 슬라이드 이동할 수 있도록 구성된 직방체 형상의 반송 본체(48L)와, 안쪽 깊이 방향(Y방향)과 직교하는 수평인 오프셋 방향(X방향)에서 슬라이드 이동할 수 있도록 반송 본체(48L)에 탑재된 직방체 형상의 반송 기대(50L)와, 수평면 내에서 선회 이동할 수 있음과 함께, 선회원의 반경과 평행인 방향에서 직진 이동할 수 있도록 반송 기대(50L)에 탑재되고, 그리고 1매의 반도체 웨이퍼(W)를 지지할 수 있도록 구성된 슬라이더형(비(非)굴신형)의 반송 아암(52L)을 갖고 있다.The first transfer robot 16L is a rectangular parallelepiped transfer body 48L configured to slide in the platform PF on the left guide rail 46L, and a horizontal offset perpendicular to the inner depth direction (Y direction). 50L of the rectangular parallelepiped shape mounted in the conveyance main body 48L so that it can slide in a direction (X direction), and it can turn in a horizontal plane, and can move linearly in the direction parallel to the radius of a ship member. It is mounted on the conveyance base 50L so that it has the slider arm (non-extension type | mold) conveyance arm 52L comprised so that it can support one semiconductor wafer W.

반송 본체(48L)는, 예를 들면 볼(ball)나사 기구(54L)에 의해 직진 구동된다. 이 볼나사 기구(54L)는, 그 이송 나사(56L)의 일단이, 플랫폼(PF)의 밖에 배치되는 모터(58L)에 결합되어 있다. 반송 본체(48L)에는, 이송 나사(56L)와 나사 결합하는 볼나사(도시하지 않음)가 부착되어 있다.The conveying main body 48L is driven straight by, for example, a ball screw mechanism 54L. 54 L of this ball screw mechanism is couple | bonded with the motor 58L arrange | positioned at the end of the feed screw 56L outside the platform PF. A ball screw (not shown) for screwing the feed screw 56L is attached to the conveyance main body 48L.

반송 기대(50L)는, 예를 들면, 반송 본체(48L)의 상면에 부착된 가이드 레일(60L) 및 볼나사 기구(62L)에 의해 오프셋 방향(X방향)에서 슬라이드 이동할 수 있도록 되어 있다. 볼나사 기구(62L)의 구동원 즉 모터(도 3에서는 도시하지 않음)는, 반송 본체(48L)에 부착하는 것도 가능하지만, 후술하는 바와 같이 플랫폼(PF)의 밖에 배치하는 것도 가능하다(도 17).50 L of conveyance bases are made to be able to slide in the offset direction (X direction) by the guide rail 60L and the ball screw mechanism 62L attached to the upper surface of 48 L of conveyance main bodies, for example. The drive source of the ball screw mechanism 62L, that is, the motor (not shown in FIG. 3) can also be attached to the transport main body 48L, but can also be disposed outside the platform PF as described later (FIG. 17). ).

반송 아암(52L) 및 아암본체(55L)의 구성은 뒤에 상술한다.(도 15∼도 16)The structure of the conveyance arm 52L and the arm main body 55L is explained in full detail later. (FIGS. 15-16).

제2 반송 로봇(16R)도, 각부의 운동 또는 이동의 방향이 좌우 대칭인 점을 제외하고 제1 반송 로봇(16L)과 동일한 구성 및 기능을 갖고 있다. 도면 중, 제2 반송 로봇(16R)의 각 요소에는, 그것과 대응하는 제1 반송 로봇(16L)의 요소와 동일한 숫자를 갖고 "L"을 "R"로 치환한 부호를 붙이고 있다.The second transfer robot 16R also has the same configuration and function as the first transfer robot 16L except that the direction of movement or movement of each part is symmetrical. In the figure, each element of the second transfer robot 16R has the same number as that of the element of the first transfer robot 16L corresponding thereto, and is denoted by replacing "L" with "R".

도 3에 있어서, 플랫폼(PF)의 주회(周回) 방향에서 소정의 간격을 두고 측면에 형성되어 있는 웨이퍼 반입출구(M1, M2, M3, M4, M5, M6, Ma, Mb)는, 게이트 밸브(GV1, GV2, GV3, GV4, GV5, GV6, GVa, GVb)(도 1)와 각각 접속한다.In FIG. 3, wafer in / out ports M 1 , M 2 , M 3 , M 4 , M 5 , M 6 , and M a which are formed on the side surfaces at predetermined intervals in the circumferential direction of the platform PF. , M b ) are connected to the gate valves GV 1 , GV 2 , GV 3 , GV 4 , GV 5 , GV 6 , GV a , and GV b (FIG. 1), respectively.

여기에서, 로드 포트(LP)에 투입된 웨이퍼 카세트(CR) 내의 1매의 반도체 웨이퍼(Wi)에 이 클러스터 툴 내에서 일련의 처리를 받게 하기 위한 기본적인 웨이퍼 반송 시퀀스를 설명한다. 주제어부(30)는, 이 웨이퍼 반송 시퀀스를 실행하기 위해, 장치 내의 각부를 직접적으로, 또는 국소 컨트롤러(도시하지 않음)를 통하여 간접적으로 제어한다.Here will be described a basic wafer transfer sequence to receive a series of processes in the cluster tool in one piece of a semiconductor wafer (W i) in the wafer cassette (CR) put into the load ports (LP). The main control part 30 controls each part in an apparatus directly or indirectly through a local controller (not shown) in order to execute this wafer conveyance sequence.

로더 반송실(LM) 내의 대기 반송 로봇(20)은, 로드 포트(LP)상의 웨이퍼 카세트(CR)로부터 1매의 반도체 웨이퍼(Wi)를 취출하여, 이 반도체 웨이퍼(Wi)를 오리엔테이션 플랫 맞춤 기구(ORT)로 반송하여 오리엔테이션 플랫 맞춤을 하게 하고, 그것이 끝난 후에 로드락 챔버(LLCa, LLCb)의 어느 한쪽 예를 들면 좌측 로드락 챔버(LLCa)로 이송한다. 이송처인 좌측 로드락 챔버(LLCa)는, 대기압 상태에서 반도체 웨이퍼(Wi)를 받아, 반입 후에 실내를 진공 흡인하여, 감압 상태에서 반도체 웨이퍼(Wi)를 플랫폼(PF)의 제1(좌측) 반송 로봇(16L)으로 건넨다.The atmosphere transportation robot 20 of the loader, the transport chamber (LM) is in from the wafer cassette (CR) on the load ports (LP) taking out one sheet of semiconductor wafer (W i), the semiconductor wafer (W i), the orientation flat It is conveyed to the alignment mechanism ORT to make orientation flat alignment, and after it is finished, it transfers to any one of load lock chambers LLC a , LLC b , for example, left load lock chamber LLC a . The first of the transfer destination from which the left load-lock chambers (LLC a) is at atmospheric pressure receiving a semiconductor wafer (W i), and vacuum-sucking the room after imported, the semiconductor wafer in a reduced pressure state (W i) to the platform (PF) ( Left) Handed over to the transfer robot 16L.

제1 반송 로봇(16L)은, 반송 아암(52L)을 복동 위치와 왕동 위치와의 사이에서 왕복 슬라이드 이동시켜, 반도체 웨이퍼(Wi)를 좌측 로드락 챔버(LLCa)로부터 취출하여, 1번째 프로세스 챔버(예를 들면 PC1)로 반입한다. 프로세스 챔버(PC1) 내에서는, 미리 설정된 레시피에 따라 소정의 프로세스 조건(가스, 압력, 전력, 시간 등)에서 제1 공정의 매엽 처리가 행해진다. The taken out from a first transport robot (16L), the carrier arm by the reciprocating sliding movement, and from a (52L) a double-acting position and wangdong position, the semiconductor wafer (W i) a load lock chamber left (LLC a), 1 beonjjae Import into the process chamber (eg PC 1 ). In the process chamber PC 1 , the sheet processing of the first process is performed under predetermined process conditions (gas, pressure, electric power, time, etc.) according to a preset recipe.

이 제1 공정의 매엽 처리가 종료한 후에, 제1 또는 제2 반송 로봇(16L, 16R)의 어느 쪽인가가, 반도체 웨이퍼(Wi)를 프로세스 챔버(PC1)로부터 반출하여, 그 반출한 반도체 웨이퍼(Wi)를 뒤이어 2번째 프로세스 챔버(예를 들면 PC2)로 반입한다. 이 2번째 프로세스 챔버(PC2)에서도, 미리 설정된 레시피에 따라 소정의 프로세스 조건에서 제2 공정의 매엽 처리가 행해진다.After the single wafer processing of the first process ends, and carried out of the first or the second is any one of the transport robot (16L, 16R), the semiconductor wafer (W i) of the process chamber (PC 1), the taken out one the semiconductor wafer (W i) is subsequently brought into the second process chamber (for example, PC 2). 2 in the second process chamber (PC 2), is a single wafer processing in the second step is performed at a predetermined process condition according to a predetermined recipe.

이 제2 공정의 매엽 처리가 종료하면, 제1 또는 제2 반송 로봇(16L, 16R)의 어느 쪽인가가, 반도체 웨이퍼(Wi)를 2번째 프로세스 챔버(PC2)로부터 반출하여, 그 반출한 반도체 웨이퍼(Wi)를, 다음 공정이 있을 때는 3번째 프로세스 챔버(예를 들면 PC3)로 반입하고, 다음 공정이 없을 때는 로드락 챔버(LLCa, LLCb)의 어느 쪽인가로 반송한다. 3번째 이후의 프로세스 챔버(예를 들면 PC5)에서 처리가 행해진 경우도, 제1 또는 제2 반송 로봇(16L, 16R)의 어느 쪽인가가, 다음 공정이 있을 때는 후단의 프로세스 챔버(예를 들면 PC6)로 반입하고, 다음 공정이 없을 때는 로드락 챔버(LLCa, LLCb)의 어느 쪽인가로 되돌린다.If termination is single wafer processing in the second step, the first or the second is any one of the transport robot (16L, 16R), and out the semiconductor wafer (W i) from the second process chamber (PC 2), the export one either returned to the semiconductor wafer (W i) a, then when when the process is brought into the third process chamber (e.g., PC 3), there is no next process load lock chamber (LLC a, LLC b) do. Even when the process is performed in the third and subsequent process chambers (for example, PC 5 ), when one of the first or second transfer robots 16L and 16R has a next step, g imported into the PC 6) and, when there is no next process is returned back to any one of the load lock chamber (LLC a, b LLC).

상기와 같이 하여 클러스터 툴 내의 복수의 프로세스 챔버(PC1, PC2‥)에서 일련의 처리를 받은 반도체 웨이퍼(Wi)가 로드락 챔버의 어느 쪽인가(예를 들면 LLCb)로 반입되면, 이 로드락 챔버(LLCb)의 실내는 감압 상태로부터 대기압 상태로 전환된다. 그런 후에, 로더 반송실(LM) 내의 대기 반송 로봇(20)이, 대기압 상태의 로드락 챔버(LLCb)로부터 반도체 웨이퍼(Wi)를 취출하여 해당 웨이퍼 카세트(CR)로 되돌린다. 또한, 로드락 챔버(LLCa, LLCb)에 있어서 체재 중인 반도체 웨이퍼(Wi)에 소망하는 분위기하에서 가열 또는 냉각 처리를 시행할 수도 있다.When imported into either (e.g. LLC b) a plurality of process chamber is a load lock chamber, the semiconductor wafer (W i) receiving the series of processes from (PC 1, PC 2 ‥) within the cluster tool, as described above, The interior of this load lock chamber LLC b is switched from a reduced pressure state to an atmospheric pressure state. After that, the atmosphere transportation robot 20 in the loader, the transport chamber (LM), to take out the semiconductor wafer (W i) from the load lock chamber (LLC b) the atmospheric pressure is returned back to the wafer cassette (CR). Also, in the load lock chamber (LLC a, LLC b) it may be performed for heating or cooling treatment in an atmosphere desired for the semiconductor wafer (W i) being stayed.

상기와 같이, 이 클러스터 툴 방식의 진공 처리 장치는, 감압하의 플랫폼(PF)을 통하여 1개의 반도체 웨이퍼(Wi)를 복수의 프로세스 챔버로 순차 전송함으로써, 당해 반도체 웨이퍼(Wi)에 일련의 진공 처리를 인라인으로 연속적으로 시행하는 것이 가능하며, 특히 진공 박막 형성 가공에서는 복수의 프로세스 챔버에서 상이한 성막 가공을 연속적으로 행하여 소망하는 박막을 인라인으로 적층 형성할 수 있다.As described above, the vacuum processing apparatus of a cluster tool system is, by sequentially transmitting the one semiconductor wafer (W i) through the reduced pressure under the platform (PF) to a plurality of process chambers, set in the art semiconductor wafer (W i) of the It is possible to continuously perform the vacuum treatment inline, and in particular, in the vacuum thin film forming process, different film forming processes can be performed continuously in a plurality of process chambers, whereby a desired thin film can be laminated and formed inline.

다음으로, 도 4∼도 10에 대해, 이 실시 형태에 있어서의 플랫폼(PF) 내의 제1 및 제2 반송 로봇(16L, 16R)의 기본적인 웨이퍼 반입/반출 동작을 설명한다. 일 예로서, 제1 반송 로봇(16L)이 제5 프로세스 챔버(PC5)로 반도체 웨이퍼(Wi)를 반입하는 동작과, 제2 반송 로봇(16R)이 제6 프로세스 챔버(PC6)로부터 다른 반도체 웨이퍼(Wj)를 반출하는 동작이 병행하여 동시에 행해지는 장면에 대해서 설명한다. 이 장면에서도, 또한 어떤 장면에서도, 반송 로봇(16L, 16R)의 적어도 한쪽이 가동할 때는, 주제어부(30)가 장치 내의 각부를 직접적으로, 또는 국소 컨트롤러(도시하지 않음)를 통하여 간접적으로 제어한다. Next, with reference to FIGS. 4-10, the basic wafer loading / unloading operation | movement of the 1st and 2nd conveyance robot 16L, 16R in the platform PF in this embodiment is demonstrated. By way of example, from a first transport robot (16L) to the fifth process chamber (PC 5) to the semiconductor wafer (W i) operation, and a second transport robot (16R) is a sixth process chamber (PC 6) which carry the in parallel to this operation exports the another semiconductor wafer (W j) will be described with respect to the scene is performed at the same time. In this scene and also in any scene, when at least one of the transfer robots 16L and 16R is operated, the main controller 30 controls each part in the apparatus directly or indirectly through a local controller (not shown). do.

먼저, 도 4에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 아암(52L)으로 반도체 웨이퍼(Wi)를 지지하면서 좌측 가이드 레일(46L) 상을 슬라이드 이동하여, 프로세스 챔버(PC5)의 대략 정면에서 정지한다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 공(空; 무부하)의 상태로 하여 우측 가이드 레일(46R) 상을 슬라이드 이동하여, 프로세스 챔버(PC6)의 대략 정면에서 정지한다.First, as shown in Fig. 4, a first transport robot (16L) is, while supporting the semiconductor wafer (W i) with the carrier arm (52L) to move the slide to the left side guide rails (46L), the process chamber (PC 5 Stop at approximately the front of). On the other hand, the second transfer robot 16R slides on the right guide rail 46R with the transfer arm 52R in an empty state, and stops at approximately the front of the process chamber PC 6 . do.

또한, 도 4에 있어서, 제1 및 제2 반송 로봇(16L, 16R)은 각각 기본 자세를 취하고 있다. 즉, 반송 기대(50L, 50R)가 반송 본체(48L, 48R) 상의 원(原)위치(복동 위치)에 있고, 반송 아암(52L, 52R)이 반송 기대(50L, 50R) 상에서 안쪽 깊이 방향(Y방향)과 병행하고 그리고 선회 반경이 최소가 되는 원위치(복동 위치)에 있는 것과 같은 자세를 취하고 있다. 이 기본 자세에 있어서, 제1 반송 로봇(16L)은, 우측 반송 에어리어(TER)로 비어져 나오는 일 없이, 좌측 반송 에어리어(TEL) 내를 안쪽 깊이 방향(Y방향)에서 자유롭게 이동할 수 있다. 또한, 제2 반송 로봇(16R)은, 좌측 반송 에어리어(TEL)로 비어져 나오는 일 없이, 우측 반송 에어리어(TER) 내를 안쪽 깊이 방향(Y방향)에서 자유롭게 이동할 수 있다. 따라서, 양 반송 로봇(16L, 16R)은 서로 엇갈리는 것도 가능하고, 한쪽이 다른 한쪽을 앞지르는 것도 가능하도록 되어 있다.In addition, in FIG. 4, the 1st and 2nd conveyance robot 16L, 16R has taken the basic posture, respectively. That is, the conveyance base 50L, 50R is in the original position (double acting position) on the conveyance main body 48L, 48R, and the conveyance arms 52L, 52R have an inner depth direction (on the conveyance base 50L, 50R) ( In parallel with the Y direction) and in the same position as the turning radius (minimum position). In this basic position, the first transfer robot 16L can move freely in the left transfer area TE L in the inner depth direction (Y direction) without deviating from the right transfer area TE R. . Moreover, the 2nd conveyance robot 16R can move freely in the inside conveyance area TE R in an inner depth direction (Y direction), without protruding to the left conveyance area TE L. Therefore, both the transfer robots 16L and 16R can be mutually crossed, and one side can also cross the other.

상기와 같이 하여 프로세스 챔버(PC5)의 대략 정면에서 정지한 후, 제1 반송 로봇(16L)은, 상기의 기본 자세로부터, 도 5에 나타내는 바와 같이, 반송 기대(50L)를 반송 본체(48L) 상에서 우측 반송 에어리어(TER)로 비어져 나오도록 우측으로 소정의 스트로크만큼 슬라이드 이동시킨다. 한편, 제2 반송 로봇(16R)은, 프로세스 챔버(PC6)의 앞에서, 상기의 기본 자세로부터, 반송 본체(48R) 상에서 반송 기대(50R)를 좌측 반송 에어리어(TEL)로 비어져 나오도록 좌측으로 소정의 스트로크만큼 이동시킨다.After stopping in the substantially front of the process chamber PC 5 as mentioned above, the 1st conveyance robot 16L conveys the conveyance base 50L as shown in FIG. 5 from said basic attitude, 48 L of conveyance main bodies. ) And slides to the right by a predetermined stroke so as to protrude to the right conveyance area (TE R ). On the other hand, the second transport robot (16R), the front of the process chamber (PC 6), so that the from the basic position, out becomes empty, the conveying forward (50R) on the carrier body (48R) in the left conveying area (TE L) It moves to the left by a predetermined stroke.

다음으로, 도 6에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 기대(50L) 상에서 반송 아암(52L)을 도면의 반시계 방향으로 소정 각도(약 90°)만큼 선회 운동시켜, 반도체 웨이퍼(Wi)를 지지하고 있는 아암 선단부(엔드 이펙터)를 웨이퍼 반입출구(M5)(도 3)를 향하게 한다. 제2 반송 로봇(16R)도, 반송 기대(50R) 상에서 반송 아암(52R)을 도면의 시계 방향으로 소정 각도(약 90°)만큼 선회 운동시켜, 공(무부하) 상태의 아암 선단부(엔드 이펙터)를 웨이퍼 반입출구(M6)(도 3)를 향하게 한다. 이 직후에, 게이트 밸브(GV5, GV6)가 각각 열린다. Next, as shown in FIG. 6, 16 L of 1st conveyance robots rotate the conveyance arm 52L by the predetermined angle (about 90 degrees) counterclockwise on the conveyance base 50L, and semiconductor the wafer (W i) a support arm and the leading end (end effector) that orients the wafer transfer port (M 5) (Fig. 3). The second transfer robot 16R also pivots the transfer arm 52R on the transfer base 50R by a predetermined angle (about 90 °) in the clockwise direction of the drawing, and the arm tip portion (end effector) in a ball (no-load) state. To the wafer entry / exit M 6 (FIG. 3). Immediately after this, the gate valves GV 5 and GV 6 are opened, respectively.

다음으로, 도 7에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 아암(52L)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 제5 프로세스 챔버(PC5) 내에 반도체 웨이퍼(Wi)를 반입하여, 재치대(12)의 위에서 반도체 웨이퍼(Wi)를 건넨다. 여기에서, 프로세스 챔버(PC5)에 구비되어 있는 리프트핀(lift pin) 기구(도시하지 않음)가, 재치대(12)의 위에서 반도체 웨이퍼(Wi)를 핀 선단에 올려놓아 받고, 이어서 반도체 웨이퍼(Wi)를 내려 재치대(12) 상에 올려놓는다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 반송 아암(52R)의 엔드 이펙터를 제6 프로세스 챔버(PC6) 안에 삽입하고, 재치대(12)의 위에서 리프트핀 기구(도시하지 않음)로부터 반도체 웨이퍼(Wj)를 받는다.Next, as shown in FIG. 7, the first transfer robot 16L moves the transfer arm 52L straight forward by a predetermined stroke (moves), and the semiconductor wafer ( 5 ) is placed in the fifth process chamber PC 5 . import W i) to, the mounting table (geonnenda the semiconductor wafer (W i) of the above 12). Here, the process chamber, the lift, which is provided with a (PC 5) pin (lift pin) mechanism (not shown), received by placing the semiconductor wafer (W i) from the top of the mounting table 12 at the pin tip, and then the semiconductor down the wafer (W i) and place on the mounting table 12. On the other hand, the second transfer robot 16R moves the transfer arm 52R straight forward by a predetermined stroke, and inserts the end effector of the transfer arm 52R into the sixth process chamber PC 6 . The semiconductor wafer W j is received from a lift pin mechanism (not shown) on the mounting table 12.

이어서, 도 8에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 공(무부하) 상태가 된 반송 아암(52L)을 후방으로 직진 이동(복동)시켜 플랫폼(PF) 내로 되돌려, 프로세스 챔버(PC5)로 반도체 웨이퍼(Wi)를 반입하는 동작을 완료한다. 제2 반송 로봇(16R)은, 반도체 웨이퍼(Wj)를 지지하고 있는 반송 아암(52R)을 후방으로 직진 이동(복동)시켜 플랫폼(PF) 내로 되돌려, 프로세스 챔버(PC6)로부터 반도체 웨이퍼(Wj)를 반출하는 동작을 완료한다.Subsequently, as shown in FIG. 8, the 1st conveyance robot 16L moves back (backwards) the conveyance arm 52L which became empty (no load) state, and returns it to the platform PF, and process chamber PC 5) completes the operation to bring the semiconductor wafer (W i). The second transfer robot 16R moves (backwards) the transfer arm 52R supporting the semiconductor wafer W j back to the platform PF and returns the semiconductor wafer (from the process chamber PC 6 ). W j ) to complete the export operation.

이후는, 반송 레시피에 따라서, 제1 및 제2 반송 로봇(16L, 16R)은 각각 다음 목적지로 향하며, 소요 웨이퍼 반입/반출 동작을 행한다. 예를 들면, 이후에, 제1 반송 로봇(16L)은 제3 프로세스 챔버(PC3)로부터 다른 반도체 웨이퍼(Wk)를 반출하는 동작이 프로그램되고, 제2 반송 로봇(16R)은 반도체 웨이퍼(Wj)를 좌측 로드락 챔버(LLCb)로 반입하는 동작이 프로그램되어 있는 것으로 한다. After that, the first and second transfer robots 16L and 16R respectively move to the next destination according to the transfer recipe, and perform the required wafer loading and unloading operations. For example, afterwards, the first transfer robot 16L is programmed to carry out another semiconductor wafer W k from the third process chamber PC 3 , and the second transfer robot 16R is programmed to perform a semiconductor wafer ( It is assumed that the operation of bringing W j ) into the left load lock chamber LLC b is programmed.

이 경우는, 도 9에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 기대(50L)를 우측 반송 에어리어(TER)로 비어져 나오게 한 채, 반송 본체(48L)를 제3 프로세스 챔버(PC3)에 접근하도록 안쪽 깊이 방향(Y방향)으로 이동시키고, 그것과 동시에 반송 아암(52L)을 도면의 반시계 방향으로 소정 각도(약 120°)만큼 선회 운동시켜, 아암 선단부(엔드 이펙터)를 웨이퍼 반입출구(M3)(도 3)를 향하게 한다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 도면의 시계 방향으로 소정 각도(약 90°)만큼 선회 운동시킨다.In this case, as shown in Figure 9, a first transport robot (16L), the holding is released, becomes empty, the transport path expected (50L) carrying area (TE R) right of the conveying unit (48L) to the third process chamber ( 3 ) and the conveyance arm 52L is rotated by a predetermined angle (about 120 °) in the counterclockwise direction as shown in the drawing so as to approach (PC 3 ) to the inner depth direction (Y direction). ) Is pointed at the wafer entry / exit M 3 (FIG. 3). On the other hand, the second transfer robot 16R pivots the transfer arm 52R by a predetermined angle (about 90 °) in the clockwise direction of the drawing.

다음으로, 도 10에 나타내는 바와 같이, 제1 반송 로봇(16R)은, 반송 아암(52L)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 반송 아암(52L)의 엔드 이펙터를 제3 프로세스 챔버(PC3) 안에 삽입한다. 한편, 제2 반송 로봇(16R)은, 반송 기대(50L)를 반송 본체(48R) 상의 원위치로 되돌리고, 반도체 웨이퍼(Wj)를 지지하고 있는 반송 아암(52R)의 엔드 이펙터를 우측 로드락 챔버(LLCb)의 정면 즉 웨이퍼 반입출구(Mb)(도 3)를 향하게 한다. Next, as shown in FIG. 10, the 1st conveyance robot 16R moves (removes) the conveyance arm 52L forward by a predetermined stroke, and moves the end effector of the conveyance arm 52L to a 3rd process. Insert into chamber (PC 3 ). Meanwhile, the second transfer robot 16R returns the transfer base 50L to the original position on the transfer main body 48R and moves the end effector of the transfer arm 52R supporting the semiconductor wafer W j to the right of the load lock chamber. It faces toward the front of (LLC b ), ie, the wafer in / out port M b (FIG. 3).

이후는, 도시 생략하지만, 제1 반송 로봇(16L)은, 반도체 웨이퍼(Wk)를 받은 반송 아암(52L)을 후방으로 소정의 스트로크만큼 직진 이동(복동)시켜, 제3 프로세스 챔버(PC3)로부터 반도체 웨이퍼(Wk)를 반출하는 동작을 완료시킨다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 우측 로드락 챔버(LLCb) 내의 수도대(18)로 반도체 웨이퍼(Wj)를 건네고, 공(무부하) 상태가 된 반송 아암(52R)을 후방으로 직진 이동(복동)시켜, 우측 로드락 챔버(LLCb)로 반도체 웨이퍼(Wj)를 반입하는 동작을 완료시킨다.Although not shown in the figure, the first transfer robot 16L moves the transfer arm 52L, which has received the semiconductor wafer W k , straight back (backwards) by a predetermined stroke, and thus the third process chamber PC 3. ), The operation of carrying out the semiconductor wafer W k is completed. On the other hand, the second transfer robot 16R moves (moves) the transfer arm 52R straight forward by a predetermined stroke, and moves the semiconductor wafer W j to the water stand 18 in the right load lock chamber LLC b . ), The conveyance arm 52R which became empty (no load) is moved straight (backward), and the operation | movement which carries in the semiconductor wafer W j to the right load lock chamber LLC b is completed.

그 후도, 제1 및 제2 반송 로봇(16L, 16R)은, 반송 레시피에 따라 서로 간섭하는 일 없이 각각에 예정된 웨이퍼 반송 동작을 병행하여 행한다.After that, the first and second transfer robots 16L and 16R perform the wafer transfer operation scheduled for each other without interfering with each other according to the transfer recipe.

이 실시 형태에 있어서, 제1 및 제2 반송 로봇(16L, 16R)이 플랫폼(PF) 내에서 동시 가동할 때의 상호 위치 관계에는, 도 11∼도 14에 나타내는 바와 같이 4가지 패턴이 있다.In this embodiment, there are four patterns in the mutual positional relationship when the first and second transfer robots 16L and 16R simultaneously operate in the platform PF.

제1 패턴은, 도 11에 나타내는 바와 같이, 제1 반송 로봇(16L)이 리어(rear) 반송 에어리어(RE) 내에서 가동하고, 제2의 반송 로봇(16R)이 프론트(front) 반송 에어리어(FE) 내에서 가동하는 케이스이다. 또한, 플랫폼(PF) 내의 반송 공간을 안쪽 깊이 방향(Y방향)에서 대략 반반으로 구획한 경우에, 로드락 챔버(LLCa, LLCb)측으로부터 보아 앞쪽 절반의 반송 공간을 프런트 반송 에어리어(FE)로 하고, 안쪽 절반의 반송 공간을 리어 반송 에어리어(RE)로 하고 있다.In the first pattern, as shown in FIG. 11, the first transfer robot 16L operates in the rear transfer area RE, and the second transfer robot 16R moves to the front transfer area ( FE) is a case operating in. In addition, when the conveyance space in the platform PF is divided into approximately half in the inner depth direction (Y direction), the conveyance space of the front half is viewed from the load lock chambers LLC a , LLC b side and the front conveyance area FE. ) And the inside half conveyance space is made into the rear conveyance area RE.

이 제1 패턴에 있어서, 제1 반송 로봇(16L)은, 그 각부(반송 본체(48L), 반송 기대(50L), 반송 아암(52L))를 동작시킴으로써, 제2, 제3, 제4 및 제5 프로세스 챔버(PC2, PC3, PC4, PC5)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다. 한편, 제2 반송 로봇(16R)은, 그 각부(반송 본체(48R), 반송 기대(50R), 반송 아암(52R))를 동작시킴으로써, 제1, 제6 프로세스 챔버(PC1, PC6) 및 우측 로드락 챔버(LLCb)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다.In this 1st pattern, 16 L of 1st conveyance robots operate each part (conveyance main body 48L, conveyance base 50L, conveyance arm 52L), and it is 2nd, 3rd, 4th, and The fifth process chambers PC 2 , PC 3 , PC 4 , and PC 5 can be arbitrarily accessed to carry in / out of the semiconductor wafer W. On the other hand, the second transport robot (16R) is that each part (conveying body (48R), the conveying forward (50R), the carrier arm (52R)) by operating the first, the sixth process chamber (PC 1, PC 6) And the right side load lock chamber LLC b can be arbitrarily accessed to carry in / out of the semiconductor wafer W. FIG.

제 2 패턴은, 도 12에 나타내는 바와 같이, 제1 패턴과는 반대로, 제1 반송 로봇(16L)이 프론트 반송 에어리어(FE) 내에서 가동하고, 제2 반송 로봇(16R)이 리어 반송 에어리어(RE) 내에서 가동하는 케이스이다. 이 경우, 제1 반송 로봇(16L)은, 제1, 제6 프로세스 챔버(PC1, PC6) 및 좌측 로드락 챔버(LLCa)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다. 또한, 제2 반송 로봇(16R)은, 제2, 제3, 제4 및 제5 프로세스 챔버(PC2, PC3, PC4, PC5)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다. As shown in FIG. 12, in the second pattern, the first transport robot 16L moves in the front transport area FE, and the second transport robot 16R moves in the rear transport area as opposed to the first pattern. It is a case operating in RE). In this case, the first transfer robot 16L arbitrarily accesses the first and sixth process chambers PC 1 and PC 6 and the left load lock chamber LLC a to carry in / out of the semiconductor wafer W. Can be. In addition, the second transfer robot 16R arbitrarily accesses the second , third , fourth , and fifth process chambers PC 2 , PC 3 , PC 4 , and PC 5 to carry in / carry out of the semiconductor wafer W. Can be done.

제3 패턴은, 도 13에 나타내는 바와 같이, 제1 및 제2 반송 로봇(16L, 16R)이 함께 프론트 반송 에어리어(FE) 내에서 가동하는 케이스이다. 이 경우, 제1 반송 로봇(16L)은 좌측 로드락 챔버(LLCa)에만 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행하는 것이 가능하고, 제2 반송 로봇(16R)은 우측 로드락 챔버(LLCb)에만 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행하는 것이 가능하다. As shown in FIG. 13, the third pattern is a case in which the first and second transfer robots 16L and 16R move together in the front transfer area FE. In this case, the first transfer robot 16L can access only the left load lock chamber LLC a to carry in / out of the semiconductor wafer W, and the second transfer robot 16R can move the right load lock chamber ( It is possible to carry in / out of the semiconductor wafer W by accessing only LLC b ).

제4 패턴은, 도 14에 나타내는 바와 같이, 제1 및 제2 반송 로봇(16L, 16R)이 함께 리어 반송 에어리어(RE) 내에서 가동하는 케이스이다. 이 경우, 양 반송 로봇(16L, 16R)의 어느 쪽도 기본 자세에서 각각의 반송로(46L, 46R)(도 1∼도 11) 상을 이동하는 것밖에 할 수 없다. 단, 상기한 바와 같이 서로 동일한 방향에서도, 반대 방향에서도 이동 가능하며, 서로 엇갈릴 수도 있다. As shown in FIG. 14, the fourth pattern is a case in which the first and second transfer robots 16L and 16R move together in the rear transfer area RE. In this case, both of the transfer robots 16L and 16R can only move on the respective transfer paths 46L and 46R (FIGS. 1 to 11) in the basic position. However, as described above, they can move in the same direction or in opposite directions, and can be staggered.

상기한 바와 같이, 이 클러스터 툴의 진공 처리 장치에 있어서는, 플랫폼(PF) 주위에 인접하여 복수(6개)의 프로세스 챔버(PC1∼PC6) 및 복수(2개)의 로드락 챔버(LLCa, LLCb)가 배치됨과 함께, 플랫폼(PF) 내에 제1 및 제2 반송 로봇(16L, 16R)이 형성되어 있다.As described above, in the vacuum processing apparatus of the cluster tool, a plurality of (six) process chambers PC 1 to PC 6 and a plurality of (two) load lock chambers (LLC) are adjacent to the platform PF. a , LLC b ) are disposed, and first and second transfer robots 16L and 16R are formed in the platform PF.

제1 반송 로봇(16L)은, 플랫폼(PF)의 좌측 반송 에어리어(TEL)를 주된 동작 에어리어로 하면서도, 우측 반송 에어리어(TER)로 비어져 나오는 것도 가능하며, 우측 로드락 챔버(LLCb)를 제외한 모든 챔버(PC1∼PC6, LLCa)에 웨이퍼 반입/반출을 위한 액세스를 행할 수 있다. 한편, 제2 반송 로봇(16R)은, 플랫폼(PF)의 우측 반송 에어리어(TER)를 주된 동작 에어리어로 하면서도, 좌측 반송 에어리어(TEL)로 비어져 나오는 것도 가능하며, 좌측 로드락 챔버(LLCa)를 제외한 모든 챔버(PC1∼PC6, LLCb)에 웨이퍼 반입/반출을 위한 액세스를 행할 수 있다. 16 L of 1st conveyance robots can also be protruded to the right conveyance area TE R , making the left conveyance area TE L of the platform PF the main operation area, and the right load lock chamber LLC b All chambers (PC 1 to PC 6 , LLC a ) except for) can be accessed for wafer loading and unloading. On the other hand, while the 2nd conveyance robot 16R makes the right conveyance area TE R of the platform PF the main operation area, it can also be protruded to the left conveyance area TE L , and the left load lock chamber ( All chambers (PC 1 to PC 6 , LLC b ) except for LLC a ) can be accessed for wafer loading and unloading.

각각의 반송 로봇(16L, 16R)은, 1회의 액세스에서, 반도체 웨이퍼(W)의 반입 또는 반출 중 어느 하나만을 행하는 것으로, 소위 픽&플레이스 동작에 의해 어느 반도체 웨이퍼(Wi)를 반출하고 그와 교대로 다른 반도체 웨이퍼(Wj)를 반입하는 것과 같은 일은 할 수 없다. 그러나, 상기 4개의 병렬 가동 패턴(도 11∼도 14)을 적절하게 조합하여, 양 반송 로봇(16L, 16R)을 연속 동작시킴으로써, 프로세스 챔버(PC1∼PC6)의 어느 것에 대하여도 먼저 반송 로봇(16L, 16R) 한쪽이 저스트 인 타임으로 어떤 반도체 웨이퍼(Wi)를 반출하고, 후에 다른 한쪽(경우에 따라서는 재차 한쪽)이 저스트 인 타임으로 다른 반도체 웨이퍼(Wj)를 반입할 수 있다.Each of the transport robot (16L, 16R) is, in one access, to be performed which of the import or export of the semiconductor wafer (W) only, and exported either the semiconductor wafer (W i) by a so-called pick and place operation that It is not possible to carry out the same operation as bringing in another semiconductor wafer W j alternately with. However, any degree with respect to the first transport by suitably combining the four parallel operation pattern (Fig. 11 to Fig. 14), a continuous operation the amount of the transport robot (16L, 16R), the process chamber (PC 1 ~PC 6) robot (16L, 16R) that of a different one of the just-in-time out which the semiconductor wafer (W i), and after (is again one as the case may be) can be taken to another semiconductor wafer (W j) with just-in-time have.

이 실시 형태에 있어서의 웨이퍼 반송 방식이 특히 유리하게 기능하는 것은, 프로세스 챔버(PC1∼PC6) 중 어느 하나에서 처리가 끝난 반도체 웨이퍼(Wi)를 반출한 후에 바로 그와 교대로 미처리된 반도체 웨이퍼(Wj)를 반입할 수 없는 경우이다. 전형적으로는, 어떤 프로세스 챔버(예를 들면 PC4)에 있어서 본래의 진공 매엽 처리의 직후에 웨이퍼 없는 상태에서 행해지는 후처리(예를 들면 클리닝 처리)가 장시간을 요하는 경우이다. 이 경우, 이 실시 형태에서는, 프로세스 챔버(PC4)로부터 처리가 끝난 반도체 웨이퍼(Wi)를 반출한 반송 로봇 예를 들면 좌측 반송 로봇(16L)은, 그 후처리가 종료하기까지 프로세스 챔버(PC4)의 앞에서 기다리고 있을 필요는 없이, 바로 반도체 웨이퍼(Wi)를 다음 공정의 처리가 행해져야 하는 다른 프로세스 챔버(예를 들면 PC5)로 반입하거나, 혹은 다음 공정이 없을 때는 좌측 로드락 챔버(LLCa)로 전송할 수 있다. 그리고, 프로세스 챔버(PC4)에서 후처리가 종료한 직후에, 우측 반송 로봇(16R)(혹은 좌측 반송 로봇(16L))이 저스트 인 타임으로 프로세스 챔버(PC4)에 액세스하여 미처리된 반도체 웨이퍼(Wj)를 반입할 수 있다.The wafer transfer system is advantageously functions particularly in this embodiment, directly to the unprocessed and alternately taken out after the process chamber (PC 1 ~PC 6) the semiconductor wafer (W i) after the treatment of any of the It is a case where the semiconductor wafer W j cannot be carried in. Typically, the post-treatment (for example, cleaning process) performed in the absence of a wafer immediately after the original vacuum sheet processing in a certain process chamber (for example, PC 4 ) requires a long time. In this case, in this embodiment, until the process chamber, the semiconductor wafer (W i) to a transport robot for example, the left side transport robot (16L) taken out after the processing from the (PC 4) is, after processing has been completed the process chamber ( without the need to wait in front of the PC 4), when just the semiconductor wafer (W i) as the other process chamber (e.g., to be the processing of the following step performed imported into the PC 5), or there is no next process left loadlock Can be transferred to the chamber LLC a . Then, immediately after the post-processing is completed in the process chamber PC 4 , the right transfer robot 16R (or the left transfer robot 16L) accesses the process chamber PC 4 at just in time to process the unprocessed semiconductor wafer. You can import (W j ).

또한, 플랫폼(PF)의 안쪽 깊이 사이즈를 더욱 길게 하여 좌우 장변에 각각 3대 이상의 프로세스 챔버(PC)를 배치하는 클러스터 툴로 한 경우는, 플랫폼(PF)에 있어서의 진공 반송 장치의 동작 스페이스가 안쪽 깊이 방향에서 크게 확대되기 때문에, 2대의 반송 로봇(16L, 16R)을 상기와 같이 동작시키는 본 발명의 이점은 한층 더 현저해진다.In addition, when the inner depth size of the platform PF is further increased, and the cluster tool which arrange | positions three or more process chambers PC in each of the left and right long sides is used, the operating space of the vacuum conveying apparatus in the platform PF is inside. Since it is greatly enlarged in the depth direction, the advantage of this invention which operates two conveyance robots 16L and 16R as mentioned above becomes further remarkable.

다음으로, 이 실시 형태의 반송 로봇(16L(16R))에 탑재되는 반송 아암(52L(52R))을 구동하기 위한 아암 구동 기구(64)에 대해서 설명한다.Next, the arm drive mechanism 64 for driving the conveying arm 52L (52R) mounted in the conveyance robot 16L (16R) of this embodiment is demonstrated.

도 15 및 도 16에 나타내는 바와 같이, 이 아암 구동 기구(64)는, 반송 기대(50L(50R))와 아암 본체(55L(55R))에 걸쳐 형성된다. 보다 상세하게는, 반송 기대(50L(50R))측에 선회 구동용 모터(68), 직진 구동용 모터(70) 및 제1 기어 기구(72)가 형성됨과 함께, 아암 본체(55L(55R))측에 제2 기어 기구(74) 및 볼 나사 기구(76)가 형성되고, 반송 기대(50L(50R))와 아암 본체(55L(55R))와의 사이에 연결봉(78)이 연직으로 형성된다.As shown to FIG. 15 and FIG. 16, this arm drive mechanism 64 is formed over conveyance base 50L (50R) and the arm main body 55L (55R). More specifically, the swing drive motor 68, the straight drive motor 70, and the first gear mechanism 72 are formed on the transport base 50L (50R) side, and the arm body 55L (55R) is provided. The 2nd gear mechanism 74 and the ball screw mechanism 76 are formed in the side, and the connecting rod 78 is formed vertically between the conveyance base 50L (50R) and the arm main body 55L (55R). .

반송 기대(50L(50R))에 있어서, 제1 기어 기구(72)는, 동축(coaxial) 원통 구조의 내부 수평 회전축(80) 및 외부 수평 회전축(82)을 갖고 있다. 도 16에 나타내는 바와 같이, 내부 수평 회전축(80)과 외부 수평 회전축(82)과의 사이 및 내부 수평 회전축(80)과 심축(心軸; 84)과의 사이에는 각각 축받침(86)이 형성되어 있다.In the conveyance base 50L (50R), the 1st gear mechanism 72 has the internal horizontal rotating shaft 80 and the external horizontal rotating shaft 82 of a coaxial cylindrical structure. As shown in FIG. 16, bearings 86 are formed between the inner horizontal rotating shaft 80 and the outer horizontal rotating shaft 82 and between the inner horizontal rotating shaft 80 and the core 84, respectively. It is.

외부 수평 회전축(82)의 일단에 스퍼 기어(spur gear; 88)가 고착되고, 그 축방향 외측으로 내부 수평 회전축(80)의 일단에 스퍼 기어(90)가 고착되어 있다. 이들 스퍼 기어(88, 90)에는, 선회 구동용 모터(68) 및 직진 구동용 모터(70)에 접속되어 있는 스퍼 기어(92, 94)가 각각 나사맞춤되어 있다.A spur gear 88 is fixed to one end of the outer horizontal rotation shaft 82, and a spur gear 90 is fixed to one end of the inner horizontal rotation shaft 80 outwardly in the axial direction thereof. In these spur gears 88 and 90, spur gears 92 and 94 connected to the swing drive motor 68 and the straight drive motor 70 are respectively screwed in.

외부 수평 회전축(82)의 타단에는 베벨 기어(bevel gear; 96)가 고착되고, 그 축방향 외측으로 내부 수평 회전축(80)의 타단에는 베벨 기어(98)가 고착되어 있다. 이들 베벨 기어(96, 98)에는, 연결봉(78)의 하단에 부착된 베벨 기어(100, 102)가 각각 나사맞춤되어 있다.A bevel gear 96 is fixed to the other end of the outer horizontal rotating shaft 82, and a bevel gear 98 is fixed to the other end of the inner horizontal rotating shaft 80 in the axial direction outward. Bevel gears 100 and 102 attached to the lower end of the connecting rod 78 are screwed to these bevel gears 96 and 98, respectively.

연결봉(78)은, 동축 원통 구조의 내부 수직 회전축(104) 및 외부 수직 회전축(106)을 갖고 있다. 도 16에 나타내는 바와 같이, 내부 수직 회전축(104)과 외부 수직 회전축(106)과의 사이, 내부 수직 회전축(104)과 심축(108)과의 사이, 외부 수직 회전축(106)과 반송 기대(50L(50R))의 천정판과의 사이에는 각각 축받침(110)이 형성되어 있다. 외부 수직 회전축(106)의 일단(하단)에는 상기 베벨 기어(100)가 고착되고, 그 축방향 외측으로 내부 수직 회전축(104)의 일단(하단)에는 상기 베벨 기어(102)가 고착되어 있다.The connecting rod 78 has an inner vertical rotating shaft 104 and an outer vertical rotating shaft 106 of a coaxial cylindrical structure. As shown in FIG. 16, between the inner vertical rotation axis 104 and the outer vertical rotation axis 106, between the inner vertical rotation axis 104 and the core shaft 108, the outer vertical rotation axis 106 and the conveyance base 50L A bearing 110 is formed between the ceiling plates of 50R), respectively. The bevel gear 100 is fixed to one end (lower end) of the outer vertical rotation shaft 106, and the bevel gear 102 is fixed to one end (lower end) of the inner vertical rotation shaft 104 outwardly in the axial direction thereof.

외부 수직 회전축(106)의 타단(상단)은 아암 본체(55L(55R))의 저판(底板)에 고착되어 있고, 그 축방향 외측(상방) 즉 아암 본체(55L(55R)) 안에서 내부 수직 회전축(104)의 타단(상단)에는 베벨 기어(112)가 고착되어 있다. 베벨 기어(112)에는, 제2 기어 기구(74)의 베벨 기어(114)가 나사맞춤되어 있다. The other end (upper end) of the outer vertical rotation shaft 106 is fixed to the bottom plate of the arm main body 55L (55R), and the inner vertical rotation axis in the axial outer side (upper), that is, the arm main body 55L (55R). Bevel gear 112 is fixed to the other end (upper end) of 104. Bevel gears 112 of the second gear mechanism 74 are screwed into the bevel gears 112.

아암 본체(55L(55R)) 내에서, 제2 기어 기구(74)는, 원통형의 수평 회전축(116)을 갖고 있다. 도 16에 나타내는 바와 같이, 수평 회전축(116)과 심축(118)과의 사이에는 축받침(120)이 형성되어 있다.In the arm main body 55L (55R), the 2nd gear mechanism 74 has the cylindrical horizontal rotating shaft 116. As shown in FIG. As shown in FIG. 16, the bearing 120 is formed between the horizontal rotating shaft 116 and the core shaft 118. As shown in FIG.

수평 회전축(116)의 일단에는 상기 베벨 기어(114)가 고착되고, 타단에는 스퍼 기어(122)가 고착되어 있다. 이 스퍼 기어(122)에는, 볼나사 기구(74)의 이송 나사(124)의 일단에 고착된 스퍼 기어(126)가 나사맞춤되어 있다.The bevel gear 114 is fixed to one end of the horizontal rotation shaft 116, and the spur gear 122 is fixed to the other end. The spur gear 126 fixed to one end of the feed screw 124 of the ball screw mechanism 74 is screwed into this spur gear 122.

반송 아암(52L(52R))은 1개의 판체로 이루어지며, 그 기단부(基端部)에 이송 나사(124)와 나사 결합하는 볼나사(128)가 부착되어 있다. 또한, 이송 나사(124)와 평행하게 연장되는 가이드 레일(130)이 형성되어 있고, 이 가이드 레일(130) 상에서 접동하는 가이드부(132)도 반송 아암(52L(52R))의 기단부에 부착되어 있다.The conveying arm 52L (52R) consists of one board body, The ball screw 128 which screw-engages with the feed screw 124 is attached to the base end part. In addition, a guide rail 130 extending in parallel with the feed screw 124 is formed, and the guide portion 132 sliding on the guide rail 130 is also attached to the proximal end of the transfer arm 52L (52R). have.

이러한 구성의 아암 구동 기구(64)에 있어서는, 선회 구동용 모터(68)를 작동시키면, 그 회전 구동력이 스퍼 기어(92)→스퍼 기어(88)→외부 수평 회전축(82)→베벨 기어(96)→베벨 기어(100)를 통하여 외부 수직 회전축(106)으로 전달되어, 외부 수직 회전축(106)이 회전함으로써 이것과 일체로 아암 본체(55L(55R))가 선회한다. 모터(68)의 회전 방향 및 회전량을 제어함으로써, 아암 본체(55L(55R))의 선회 방향(시계 방향/반시계 방향) 및 선회 각도를 제어할 수 있다.In the arm drive mechanism 64 of such a structure, when the turning drive motor 68 is operated, the rotation drive force will become spur gear 92 → spur gear 88 → external horizontal rotation shaft 82 → bevel gear 96. → The bevel gear 100 is transmitted to the external vertical rotational shaft 106, and the arm main body 55L (55R) rotates integrally with this by rotating the external vertical rotational shaft 106. By controlling the rotation direction and the rotation amount of the motor 68, the turning direction (clockwise / counterclockwise direction) and the turning angle of the arm main body 55L (55R) can be controlled.

또한, 직진 구동용 모터(70)를 작동시키면, 그 회전 구동력이 스퍼 기어(94)→스퍼 기어(90)→내부 수평 회전축(80)→베벨 기어(98)→베벨 기어(102)→내부 수직 회전축(104)→베벨 기어(112)→베벨 기어(114)→수평 회전축(116)→스퍼 기어(122)→스퍼 기어(126)를 통하여 볼나사 기구(76)의 이송 나사(124)로 전달되어, 이송 나사(124)가 회전함으로써 반송 아암(52L(52R))이 아암 길이 방향 즉 선회원의 반경 방향에서 슬라이드 이동한다. 모터(70)의 회전 방향 및 회전량을 제어함으로써, 반송 아암(52L(52R))의 이동 방향(전진/후진) 및 스트로크를 제어할 수 있다.In addition, when the linear drive motor 70 is operated, the rotational driving force is spur gear 94 → spur gear 90 → inner horizontal rotary shaft 80 → bevel gear 98 → bevel gear 102 → inner vertical. Transfer to the feed screw 124 of the ball screw mechanism 76 via the rotating shaft 104 → bevel gear 112 → bevel gear 114 → horizontal rotating shaft 116 → spur gear 122 → spur gear 126. As the feed screw 124 rotates, the transfer arm 52L (52R) slides in the arm longitudinal direction, that is, in the radial direction of the linear member. By controlling the rotation direction and rotation amount of the motor 70, the movement direction (forward / reverse) and the stroke of the conveyance arm 52L (52R) can be controlled.

이 실시 형태에서는, 반송 로봇(16L, 16R)의 각부 즉 반송 본체(48L(48R)), 반송 기대(50L(50R)), 반송 아암(52L(52R))이 모두 수평 방향에서 슬라이드 동작 또는 선회 운동을 행하는 구성이며, 종(연직)방향의 굴신·신축 동작이나 선회 운동은 일절 행하지 않기 때문에, 종(연직)방향에서 큰 동작 스페이스를 필요로 하지 않는다. 이에 따라, 플랫폼(PF)의 종방향 사이즈를 작게 할 수 있어, 상기와 같이 옆의 프로세스 모듈(PM)에 구비되는 APC 밸브(34)를 플랫폼 바로 아래의 스페이스(38)(도 2)로 튀어나오게 하는 레이아웃이 가능하게 되어 있다. 또한, 플랫폼(PF) 내에 있어서, 반도체 웨이퍼(W)의 위를 반송 기구의 부재가 통과하는 일은 없기 때문에, 반도체 웨이퍼(W)에 파티클이 부착될 우려가 해소된다. 또한, 플랫폼(PF) 내에 있어서, 반도체 웨이퍼(W)에 종(연직)방향의 가속도(특히 상향의 가속도)를 부여하는 일이 없기 때문에, 반송 아암(52L(52R)) 상에서 반도체 웨이퍼(W)를 안정되게 유지할 수 있다.In this embodiment, each part of conveyance robot 16L, 16R, ie, conveyance main body 48L (48R), conveyance base 50L (50R), and conveyance arm 52L (52R), slides or rotates in a horizontal direction. It is the structure which performs a movement, and since it does not perform any extending | stretching and stretching | movement movement in the longitudinal (vertical) direction, and a turning movement at all, it does not require a large operating space in a longitudinal (vertical) direction. As a result, the longitudinal size of the platform PF can be reduced, and the APC valve 34 provided in the side process module PM as shown above is popped into the space 38 directly below the platform (FIG. 2). Layout to come out is enabled. Moreover, in the platform PF, since the member of the conveyance mechanism does not pass on the semiconductor wafer W, the possibility that a particle adheres to the semiconductor wafer W is eliminated. In addition, in the platform PF, since the acceleration (especially the upward acceleration) in the longitudinal (vertical) direction is not imparted to the semiconductor wafer W, the semiconductor wafer W is formed on the transfer arm 52L (52R). Can be kept stable.

또한, 이 실시 형태와 같이 반송 로봇(16L, 16R)의 각부를 수평 슬라이드/수평 선회형의 기구로 통일하는 구성에 있어서는, 각부의 구동원을 플랫폼(PF)의 밖에 배치하는 것이 용이하며, 그에 따라 전기 케이블류를 수용하는 관절 덕트 또는 플렉시블관을 플랫폼(PF) 내에 둘러칠 필요가 없어져, 반송 로봇(16L, 16R)의 이동 범위나 슬라이드 스트로크를 크게 취할 수 있다는 이점도 있다.Moreover, in the structure which unites each part of the conveyance robot 16L, 16R by the horizontal slide / horizontal swing type mechanism like this embodiment, it is easy to arrange the drive source of each part outside the platform PF, and accordingly There is no need to surround the joint duct or the flexible pipe which accommodates the electric cables in the platform PF, and there is an advantage that the movement range and the slide stroke of the transfer robots 16L and 16R can be largely taken.

예를 들면, 도 17 및 도 18에 나타내는 바와 같이, 반송 본체(48L(48R)) 상에서 반송 기대(50L(50R))를 오프셋 방향(X방향)에서 슬라이드 이동시키기 위한 기구에 있어서, 반송 기대(50L(50R))측의 볼나사 기구(62L(62R))와 구동원인 전기 모터(140)와의 사이에, 스플라인축(142), 스퍼 기어(144), 스퍼 기어(146), 수평 회전축(148), 베벨 기어(150), 베벨 기어(152)로 이루어지는 전동 기구(154)를 개재시킴으로써, 전기 모터(140)를 플랫폼(PF)의 밖에 배치할 수 있다.For example, as shown to FIG. 17 and FIG. 18, in the mechanism for sliding the conveyance base 50L (50R) in an offset direction (X direction) on the conveyance main body 48L (48R), a conveyance base ( The spline shaft 142, the spur gear 144, the spur gear 146, and the horizontal rotating shaft 148 between the ball screw mechanism 62L (62R) on the 50L (50R) side and the electric motor 140 as a driving source. ), The electric motor 140 can be disposed outside the platform PF by interposing the transmission mechanism 154 composed of the bevel gear 150 and the bevel gear 152.

도 17에 있어서, 스퍼 기어(146), 수평 회전축(148) 및 베벨 기어(150)는 일체적인 기어 기구(156)이며, 반송 본체(48L(48R))에 연결되어 있다. 도 18에 나타내는 바와 같이, 스플라인축(142)의 외주에는 축방향으로 연장되는 홈(158)이 형성되어 있고, 스퍼 기어(144)는 이 홈(158)을 따라서 기어 기구(156)와 함께 축 방향에서 이동할 수 있도록 되어 있다.In FIG. 17, the spur gear 146, the horizontal rotating shaft 148, and the bevel gear 150 are integral gear mechanisms 156 and are connected to the conveying main body 48L (48R). As shown in FIG. 18, the outer periphery of the spline shaft 142 is provided with the groove 158 extended in an axial direction, and the spur gear 144 is a shaft with the gear mechanism 156 along this groove 158. Can move in the direction.

이상 본 발명의 매우 적합한 실시 형태에 대해서 설명했지만, 본 발명은 상기한 실시 형태에 한정되는 것은 아니며, 그 기술적 사상의 범위 내에서 여러 가지 변형·변경이 가능하다.As mentioned above, although highly suitable embodiment of this invention was described, this invention is not limited to said embodiment, A various deformation | transformation and a change are possible within the range of the technical idea.

예를 들면, 반송 로봇(16L, 16R)에 있어서의 각부의 슬라이드 이동은 반드시 직선일 필요는 없고, 필요에 따라서 구부리는 것도 가능하다.For example, the slide movement of each part in conveyance robot 16L, 16R does not necessarily need to be a straight line, but can also bend as needed.

또한, 상기한 실시 형태에서는, 오각형의 플랫폼(PF)의 밑변에 2개의 로드락 챔버(LLCa, LLCb)를 좌우에 배치하여, 제1 반송 로봇(16L)은 좌측의 로드락 챔버(LLCa)에만 액세스할 수 있고, 제2 반송 로봇(16R)은 우측의 로드락 챔버(LLCb)에만 액세스할 수 있도록 구성했다. 그러나, 예를 들면 플랫폼(PF)의 밑변을 이등변 삼각형의 2개의 빗변으로 변형하여(플랫폼(PF)을 육각형으로 함) 그들 2개의 빗변에 로드락 챔버(LLCa, LLCb)를 접속하여, 양 반송 로봇(16L, 16R) 모두가 양 로드락 챔버(LLCa, LLCb) 어느 것에도 액세스할 수 있도록 하는 것도 가능하다.In addition, in the above-described embodiment, two load lock chambers LLC a , at the base of the pentagonal platform PF are provided. LLC b ) is arranged left and right, so that the first transfer robot 16L can access only the load lock chamber LLC a on the left side, and the second transfer robot 16R can access only the load lock chamber LLC b on the right side. It was configured to be accessible. However, for example, the base side of the platform PF is deformed into two hypotenuses of an isosceles triangle (the platform PF is hexagonal) and the load lock chambers LLC a , LLC b ), both the transfer robots 16L and 16R are connected to both load lock chambers LLC a ,. LLC b ) it is also possible to make access to anything.

또한, 도시 생략하지만, 플랫폼(PF) 내의 스페이스에 여유가 있으면, 반송 로봇(16L, 16R)의 쌍방 또는 한쪽에 반송 아암(52L(52R))을 복수개 구비하는 구성도 가능하다. 그 경우는, 예를 들면 프로세스 챔버에 대한 1회의 액세스로, 한쪽 반송 아암을 이용하여 처리가 끝난 반도체 웨이퍼를 반출(픽)하고 그와 교대로 다른 한쪽 반송 아암을 이용하여 미처리된 반도체 웨이퍼를 반입(플레이스)하는, 소위 픽&플레이스 동작도 가능하다.In addition, although not shown in figure, if there is room in the space in platform PF, the structure provided with two or more conveying arms 52L (52R) in both or one of conveyance robot 16L, 16R is also possible. In that case, for example, with one access to the process chamber, the processed semiconductor wafer is taken out (picked) using one transfer arm, and the unprocessed semiconductor wafer is carried in using the other transfer arm. The so-called pick & place operation is also possible.

본 발명에 있어서의 피처리체는, 반도체 웨이퍼에 한정하지 않으며, 예를 들면 FPD 기판이라도 좋고, 클러스터 툴의 진공 처리 장치에서 임의의 처리를 받는 임의의 피처리체라도 좋다.The to-be-processed object in this invention is not limited to a semiconductor wafer, For example, it may be an FPD board | substrate, and the arbitrary to-be-processed object which receives arbitrary processes by the vacuum processing apparatus of a cluster tool may be sufficient.

PF : 플랫폼(진공 반송실)
PC1∼PC6 : 프로세스 챔버
LLCa, LLCb : 로드락 챔버
GV1∼GV6, GVa, GVb : 게이트 밸브
16a : 제1 진공 반송 로봇
16b : 제2 진공 반송 로봇
46L : 좌측 가이드 레일
46R : 우측 가이드 레일
48L(48R) : 반송 본체
50L(50R) : 반송 기대
52L(52R) : 반송 아암
55L(55R) : 아암 본체
64 : 아암 반송 기구
PF: Platform (vacuum return room)
PC 1 to PC 6 : process chamber
LLC a , LLC b : Load Lock Chamber
GV 1 to GV 6 , GV a , GV b : gate valve
16a: first vacuum transfer robot
16b: second vacuum transfer robot
46L: left guide rail
46R: right guide rail
48L (48R): conveying body
50L (50R): expectation of return
52L (52R): Carrying Arm
55L (55R): Arm Body
64: arm conveying mechanism

Claims (15)

실내가 감압 상태로 유지되는 진공 반송실과,
상기 진공 반송실 주위에 인접하여 형성되고, 감압하의 실내에서 피(被)처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과,
상기 진공 반송실 주위에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치(留置)하는 1개 또는 복수의 로드락실과,
상기 로드락실과 어느 하나의 상기 진공 처리실과의 사이에서, 또는 상이한 상기 진공 처리실의 사이에서, 피처리체를 반송하기 위해 상기 진공 반송실 내에 형성되는 제1 및 제2 진공 반송 로봇
을 갖고,
상기 제1 및 제2 진공 반송 로봇이, 상기 로드락실측으로부터 보아 상기 진공 반송실의 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성되고,
상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고,
상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능한 진공 처리 장치.
A vacuum conveyance chamber in which the room is kept at a reduced pressure,
One or a plurality of vacuum processing chambers formed adjacent to the vacuum transfer chamber and subjected to a predetermined treatment to the processing target object in a room under reduced pressure;
One or more which are formed adjacent to the said vacuum conveyance chamber, the room is selectively switched to a standby state or a reduced pressure state, and temporarily attracts the to-be-processed object transferred between an atmospheric space and the said vacuum conveyance chamber. With load lock rooms,
First and second vacuum transfer robots formed in the vacuum transfer chamber for transferring the object to be processed between the load lock chamber and any one of the vacuum processing chambers or between different vacuum processing chambers.
With
The said vacuum conveyance chamber is respectively carried out by the said 1st and 2nd vacuum conveyance robot on the 1st and 2nd conveyance paths extended in an inner depth direction in the left conveyance area and the right conveyance area of the said vacuum conveyance chamber, respectively, when seen from the said load lock chamber side. Configured to move you around,
The first vacuum transfer robot has features for all the vacuum processing chambers adjacent to the left transfer area, at least one of the vacuum processing chambers adjacent to the right transfer area, and for at least one of the load lock chambers. Access for import or export of Riche,
The second vacuum transfer robot has features for all the vacuum processing chambers adjacent to the right transfer area, at least one vacuum processing chamber adjacent to the left transfer area, and at least one load lock chamber. Accessible vacuum processing apparatus for import or export of liche.
제1항에 있어서,
상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 좌측 반송 에어리어로부터 상기 우측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되고,
상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 우측 반송 에어리어에서 상기 좌측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되는 진공 처리 장치.
The method of claim 1,
The first vacuum transfer robot is configured to be able to arbitrarily switch between a basic posture in which all of the left transfer area is accommodated and movable, and a posture coming out from the left transfer area to the right transfer area,
And the second vacuum transfer robot is configured to be able to arbitrarily switch between a basic posture in which all of the inside of the right transfer area can move and a posture coming out of the right transfer area to the left transfer area.
제1항에 있어서,
상기 제1 및 제2 진공 반송 로봇이,
상기 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 반송 본체와,
상기 안쪽 깊이 방향과 교차하는 수평인 오프셋 방향에서 이동할 수 있도록 상기 제1 및 제2 반송 본체에 각각 탑재된 제1 및 제2 반송 기대(pedestal)와,
수평면 내에서 선회 운동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있도록 상기 제1 및 제2 반송 기대에 각각 탑재되고, 그리고 피처리체를 지지할 수 있도록 구성된 제1 및 제2 반송 아암
을 각각 갖는 진공 처리 장치.
The method of claim 1,
The first and second vacuum transfer robot,
First and second transport bodies configured to move in the vacuum transport chamber on the first and second transport paths, respectively;
First and second conveying pedestals mounted on the first and second conveying bodies, respectively, so as to be movable in a horizontal offset direction intersecting with the inner depth direction;
First and second mounted on the first and second conveyance bases to be able to pivot in a horizontal plane and to move straight in a direction parallel to the radius of the turning circle, and configured to support a workpiece 2 bounce arms
Vacuum processing apparatus each having.
제3항에 있어서,
상기 제1 및 제2 반송 본체는, 상기 제1 및 제2 반송로상에서 각각 슬라이드 이동하는 진공 처리 장치.
The method of claim 3,
The said 1st and 2nd conveyance main body is a vacuum processing apparatus which slides on the said 1st and 2nd conveyance path, respectively.
제3항에 있어서,
상기 제1 및 제2 반송 본체는, 상기 제1 및 제2 반송로상에서 서로 엇갈리면서 이동할 수 있는 진공 처리 장치.
The method of claim 3,
The said 1st and 2nd conveyance main body is a vacuum processing apparatus which can move on the said 1st and 2nd conveyance path, mutually alternately.
제3항에 있어서,
상기 제1 반송 기대는, 상기 좌측 반송 에어리어 내에 수용되는 제1 복동(復動) 위치와 상기 좌측 반송 에어리어로부터 상기 우측 반송 에어리어로 비어져 나오는 제1 왕동(往動) 위치와의 사이에서 이동 가능하고,
상기 제2 반송 기대는, 상기 우측 반송 에어리어 내에 수용되는 제2 복동 위치와 상기 우측 반송 에어리어로부터 상기 좌측 반송 에어리어로 비어져 나오는 제2 왕동 위치와의 사이에서 이동 가능한 진공 처리 장치.
The method of claim 3,
The said 1st conveyance expectation is movable between the 1st double-acting position accommodated in the said left conveyance area, and the 1st sliding position protruding from the said left conveyance area to the said right conveyance area. and,
The said 2nd conveyance expectation is a vacuum processing apparatus which can move between the 2nd double acting position accommodated in the said right conveyance area, and the 2nd reciprocating position which protrudes from the said right conveyance area to the said left conveyance area.
제3항에 있어서,
상기 제1 및 제2 반송 기대는, 상기 오프셋 방향에서 슬라이드 이동 가능하게 상기 제1 및 제2 반송 본체에 각각 탑재되는 진공 처리 장치.
The method of claim 3,
The said 1st and 2nd conveyance base is respectively mounted to the said 1st and 2nd conveyance main body so that a slide movement is possible in the said offset direction.
제3항에 있어서,
상기 제1 및 제2 반송 아암은, 각각 1개의 피처리체를 지지할 수 있는 엔드 이펙터를 갖는 진공 처리 장치.
The method of claim 3,
The said 1st and 2nd conveyance arm is a vacuum processing apparatus which has the end effector which can respectively support one to-be-processed object.
제3항에 있어서,
상기 제1 및 제2 반송 아암은, 각각 복수의 피처리체를 지지할 수 있는 엔드 이펙터를 갖는 진공 처리 장치.
The method of claim 3,
The said 1st and 2nd conveyance arm is a vacuum processing apparatus which has the end effector which can support a some to-be-processed object, respectively.
제3항에 있어서,
상기 반송 본체는, 상기 진공 처리실의 저면보다도 높은 위치에 형성되는 진공 처리 장치.
The method of claim 3,
The conveying main body is formed at a position higher than a bottom of the vacuum processing chamber.
제1항에 있어서,
상기 제1 및 제2 진공 반송 로봇에 이용되는 모든 구동원이 상기 진공 반송실 밖에 형성되는 진공 처리 장치.
The method of claim 1,
And all driving sources used for the first and second vacuum transfer robots are formed outside the vacuum transfer chamber.
제1항에 있어서,
적어도 1개의 상기 진공 처리실에 있어서, 처리가 끝난 피처리체가 반출된 직후에, 실내에 피처리체가 없는 상태에서 소정의 후처리가 행해지는 진공 처리 장치.
The method of claim 1,
The vacuum processing apparatus in which at least one said vacuum processing chamber WHEREIN: The predetermined post-processing is performed in the state in which there is no to-be-processed object indoors immediately after the process target object is carried out.
실내가 감압 상태로 유지되는 진공 반송실 주위에, 상기 진공 반송실에 인접하여 형성되고, 감압하의 실내에서 피처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과, 상기 반송실에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치하는 1개 또는 복수의 로드락실을 배치하는 진공 처리 장치에 있어서, 상기 진공 반송실과 상기 진공 처리실 또는 상기 로드락실과의 사이에서 피처리체의 인수 인도를 행하기 위해 상기 진공 반송실 내에 형성되는 진공 반송 장치로서,
상기 로드락실측으로부터 보아 상기 진공 반송실의 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 진공 반송 로봇을 구비하고,
상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고,
상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능한 진공 반송 장치.
One or a plurality of vacuum processing chambers which are formed adjacent to the vacuum conveying chamber around the vacuum conveying chamber in which the room is maintained in a reduced pressure state and are subjected to a predetermined process to the object to be processed in a room under reduced pressure, and are adjacent to the conveying chamber. And a chamber, wherein the room is selectively switched to a standby state or a reduced pressure state, and in which the one or a plurality of load lock chambers for temporarily holding the object to be transferred between the waiting space and the vacuum transfer chamber are arranged. A vacuum conveying apparatus formed in said vacuum conveying chamber for carrying out delivery of a target object between said vacuum conveying chamber and said vacuum processing chamber or said load lock chamber,
First and second structures configured to move in the vacuum conveyance chamber, respectively, on the first and second conveyance paths extending in the inner depth direction in the left conveyance area and the right conveyance area of the vacuum conveyance chamber, respectively, as viewed from the load lock chamber side. Equipped with a vacuum transfer robot,
The first vacuum transfer robot has features for all the vacuum processing chambers adjacent to the left transfer area, at least one of the vacuum processing chambers adjacent to the right transfer area, and for at least one of the load lock chambers. Access for import or export of Riche,
The second vacuum transfer robot has features for all the vacuum processing chambers adjacent to the right transfer area, at least one vacuum processing chamber adjacent to the left transfer area, and at least one load lock chamber. Accessible vacuum conveying device for import or export of liche.
제13항에 있어서,
상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 좌측 반송 에어리어로부터 상기 우측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되고,
상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 우측 반송 에어리어로부터 상기 좌측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되는 진공 반송 장치.
The method of claim 13,
The first vacuum transfer robot is configured to be able to arbitrarily switch between a basic posture in which all of the left transfer area is accommodated and movable, and a posture coming out from the left transfer area to the right transfer area,
The said 2nd vacuum conveyance robot is comprised so that the basic attitude | position which can be accommodated and moved in all in the said right conveyance area, and the attitude | position coming out to the left conveyance area from the said right conveyance area can be changed arbitrarily.
제13항에 있어서,
상기 제1 및 제2 진공 반송 로봇이,
상기 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 반송 본체와,
상기 안쪽 깊이 방향과 교차하는 수평인 오프셋 방향에서 이동할 수 있도록 상기 제1 및 제2 반송 본체에 각각 탑재된 제1 및 제2 반송 기대와,
수평면 내에서 선회 운동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있도록 상기 제1 및 제2 반송 기대에 각각 탑재되고, 그리고 피처리체를 지지할 수 있도록 구성된 제1 및 제2 반송 아암
을 각각 갖는 진공 반송 장치.
The method of claim 13,
The first and second vacuum transfer robot,
First and second transport bodies configured to move in the vacuum transport chamber on the first and second transport paths, respectively;
First and second conveyance bases mounted on the first and second conveyance bodies, respectively, so as to be movable in a horizontal offset direction intersecting the inner depth direction;
First and second mounted on the first and second conveyance bases to be able to pivot in a horizontal plane and to move straight in a direction parallel to the radius of the turning circle, and configured to support a workpiece 2 bounce arms
Vacuum conveying apparatus each having a.
KR1020107013829A 2008-12-18 2009-12-16 Vacuum processing apparatus and vacuum transfer apparatus KR101192288B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2008-321942 2008-12-18
JP2008321942A JP5139253B2 (en) 2008-12-18 2008-12-18 Vacuum processing device and vacuum transfer device
PCT/JP2009/006919 WO2010070896A1 (en) 2008-12-18 2009-12-16 Vacuum processing apparatus and vacuum transfer apparatus

Publications (2)

Publication Number Publication Date
KR20100089107A true KR20100089107A (en) 2010-08-11
KR101192288B1 KR101192288B1 (en) 2012-10-17

Family

ID=42268575

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107013829A KR101192288B1 (en) 2008-12-18 2009-12-16 Vacuum processing apparatus and vacuum transfer apparatus

Country Status (5)

Country Link
US (1) US8380337B2 (en)
JP (1) JP5139253B2 (en)
KR (1) KR101192288B1 (en)
CN (1) CN102246286A (en)
WO (1) WO2010070896A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150053966A (en) * 2012-09-10 2015-05-19 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing system and method of processing substrates
KR101527901B1 (en) * 2013-10-10 2015-06-10 피에스케이 주식회사 Apparatus for treating substrate and method for transfering substrate
KR20190142211A (en) * 2018-06-15 2019-12-26 도쿄엘렉트론가부시키가이샤 Vacuum processing apparatus, vacuum processing system and vacuum processing method
KR20200033288A (en) * 2017-07-19 2020-03-27 도쿄엘렉트론가부시키가이샤 Inspection system

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5168300B2 (en) * 2010-02-24 2013-03-21 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
IT1403040B1 (en) * 2010-12-10 2013-09-27 Steelco Spa PERFECT WASHING SYSTEM
WO2012098871A1 (en) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 Vacuum processing apparatus
JP5964548B2 (en) * 2011-02-24 2016-08-03 株式会社ディスコ Wafer processing equipment
JP5883232B2 (en) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 Substrate processing equipment
CN103594403B (en) * 2012-08-15 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 Film magazine transmits device and has its semiconductor devices
JP2014093489A (en) * 2012-11-06 2014-05-19 Tokyo Electron Ltd Substrate processing device
TWI624897B (en) 2013-03-15 2018-05-21 應用材料股份有限公司 Multi-position batch load lock apparatus and systems and methods including same
CN103624766A (en) * 2013-04-27 2014-03-12 张家港诺信自动化设备有限公司 Machine vision parallel sorting robot
JP6213079B2 (en) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 EFEM
JP6246569B2 (en) * 2013-11-20 2017-12-13 シロキ工業株式会社 Work conveying apparatus and work conveying method
US20160319422A1 (en) 2014-01-21 2016-11-03 Applied Materials, Inc. Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
KR102173658B1 (en) * 2016-11-30 2020-11-03 주식회사 원익아이피에스 Substrate processing system
JP7344887B2 (en) * 2018-02-15 2023-09-14 ラム リサーチ コーポレーション Mobile substrate transfer chamber
JP7154986B2 (en) * 2018-12-11 2022-10-18 平田機工株式会社 Substrate transfer device and substrate transfer system
KR102132993B1 (en) * 2020-04-27 2020-07-10 (주)볼타오토메이션 Apparatus for preventing deformation of chamber by heat and pressure
JP7522579B2 (en) 2020-04-30 2024-07-25 ニデックインスツルメンツ株式会社 Transport System
KR102620088B1 (en) * 2023-05-12 2024-01-02 에이피티씨 주식회사 Device for transferring substrates

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (en) * 1990-08-29 1997-08-25 株式会社日立製作所 Vacuum processing apparatus and operating method thereof
JPH0846013A (en) 1994-05-23 1996-02-16 Tokyo Electron Ltd Multichamber treatment system conveyer
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
KR20020088419A (en) * 2000-04-05 2002-11-27 동경 엘렉트론 주식회사 Treating device
US6487799B2 (en) * 2000-05-24 2002-12-03 Darrell Burk Removable hitch attachment for earth-moving equipment
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
WO2004046416A1 (en) * 2002-11-15 2004-06-03 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
JP4283559B2 (en) 2003-02-24 2009-06-24 東京エレクトロン株式会社 Conveying apparatus, vacuum processing apparatus, and atmospheric pressure conveying apparatus
JP2006073835A (en) * 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd Substrate transport device and substrate treatment equipment employing it
JP4353903B2 (en) 2005-01-07 2009-10-28 東京エレクトロン株式会社 Cluster tool processing system
JP4841183B2 (en) * 2005-06-28 2011-12-21 東京エレクトロン株式会社 Substrate processing apparatus, transfer apparatus, and control method of transfer apparatus
JP4694436B2 (en) * 2006-07-28 2011-06-08 株式会社ダイヘン Transfer robot
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150053966A (en) * 2012-09-10 2015-05-19 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing system and method of processing substrates
KR101527901B1 (en) * 2013-10-10 2015-06-10 피에스케이 주식회사 Apparatus for treating substrate and method for transfering substrate
KR20200033288A (en) * 2017-07-19 2020-03-27 도쿄엘렉트론가부시키가이샤 Inspection system
US11067624B2 (en) 2017-07-19 2021-07-20 Tokyo Electron Limited Inspection system
KR20190142211A (en) * 2018-06-15 2019-12-26 도쿄엘렉트론가부시키가이샤 Vacuum processing apparatus, vacuum processing system and vacuum processing method

Also Published As

Publication number Publication date
US8380337B2 (en) 2013-02-19
KR101192288B1 (en) 2012-10-17
WO2010070896A1 (en) 2010-06-24
US20110238201A1 (en) 2011-09-29
JP2010147207A (en) 2010-07-01
CN102246286A (en) 2011-11-16
JP5139253B2 (en) 2013-02-06

Similar Documents

Publication Publication Date Title
KR101192288B1 (en) Vacuum processing apparatus and vacuum transfer apparatus
JP6480488B2 (en) Substrate transfer method
US20230330839A1 (en) Dual arm robot
JP6594304B2 (en) Processing equipment
JP6006643B2 (en) Vacuum processing equipment
US10086511B2 (en) Semiconductor manufacturing systems
JP5706085B2 (en) Semiconductor component processing tool distributed in a straight line
US8016542B2 (en) Methods and apparatus for extending the reach of a dual scara robot linkage
TWI408766B (en) Vacuum processing device
KR101429827B1 (en) Conveying system
JP2009538541A5 (en)
JP2024120941A (en) SUBSTRATE TRANSFER APPARATUS, SUBSTRATE TRANSFER METHOD, AND SUBSTRATE PROCESSING SYSTEM
US11538705B2 (en) Plasma processing system and operating method of the same
KR102583167B1 (en) Conveyance apparatus, conveyance method and conveyance system
KR20210119185A (en) Transfer Robot and Substrate Processing apparatus having the same
US20240071802A1 (en) Operations of robot apparatuses within rectangular mainframes
TWI474418B (en) Substrate transport, substrate processing system and method for transporting substrates

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150917

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181004

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 8