KR20100068251A - 버퍼링을 갖는 이송 시스템 - Google Patents

버퍼링을 갖는 이송 시스템 Download PDF

Info

Publication number
KR20100068251A
KR20100068251A KR1020107005424A KR20107005424A KR20100068251A KR 20100068251 A KR20100068251 A KR 20100068251A KR 1020107005424 A KR1020107005424 A KR 1020107005424A KR 20107005424 A KR20107005424 A KR 20107005424A KR 20100068251 A KR20100068251 A KR 20100068251A
Authority
KR
South Korea
Prior art keywords
transfer
foup
port
buffering station
processing tool
Prior art date
Application number
KR1020107005424A
Other languages
English (en)
Inventor
미츠히로 안도
Original Assignee
무라텍 오토메이션 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 무라텍 오토메이션 가부시키가이샤 filed Critical 무라텍 오토메이션 가부시키가이샤
Publication of KR20100068251A publication Critical patent/KR20100068251A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G37/00Combinations of mechanical conveyors of the same kind, or of different kinds, of interest apart from their application in particular machines or use in particular manufacturing processes
    • B65G37/02Flow-sheets for conveyor combinations in warehouses, magazines or workshops
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)

Abstract

제조 설비용 워크플로우 셀이 제공된다. 워크플로우 셀은 반도체 프로세싱 툴과 상기 반도체 프로세싱 툴에 가장 가까운 프론트 오프닝 유니파이드 포드(FOUP)를 유지하는 버퍼링 스테이션을 포함한다. 버퍼링 스테이션은 제조 설비의 메인 스토커로부터 FOUP를 수납한다. 버퍼링 스테이션은 메인 스토커에 FOUP 부분을 저장하도록 구성된다. 워크플로우 셀은 반도체 프로세싱 툴과 버퍼링 스테이션을 접속시키는 이송 기구도 포함한다. 일실시형태에 있어서, 이송 기구는 다이렉트 툴 로드 메카니즘이다. 워크플로우를 가진 제조 설비와 이송 컨테이너를 이송시키는 방법도 제공된다.

Description

버퍼링을 갖는 이송 시스템{TRANSPORT SYSTEM WITH BUFFERING}
반도체 제조 설비에 있어서의 프로세싱 툴(processing tool)과 로드 포트(load port)에 대하여 프론트 오프닝 유니파이드 포드(front opening unified pod, FOUP)와 스탠다드 메카니컬 인터페이스(standard mechanical interface, SMIF) 등의 컨테이너를 운반하는 것은 비용이 많이 든다. 프로세싱 툴 사이에서 FOUP 및 SMIF 포드를 운반하는 하나의 방법은 오토메이티드 머티리얼 처리 시스템(automated material handling system, AMHS)이다. AMHS 또는 이송 시스템은 제조 설비내에서 컨테이너 또는 반도체 웨이퍼나 플랫 패널의 카세트를 이동시킨다. 제조 설비내에서의 컨테이너 이동은 각 툴 베이(tool bay)내에서 및/또는 툴 베이 사이에서 이루어질 수 있다. 제조 설비는 흔히 컨테이너를 저장하는 스토커(stocker)를 포함한다. 가능한 한 프로세싱 툴로부터 프로세싱 툴로 직접 컨테이너를 운반함으로써 AMHS 트래픽에 있어서의 지연을 감소시키는 것이 바람직하다. AMHS의 모든 부분에 있어서의 불충분한 처리 능력은 불충분한 콤포넌트가 다른 부분에 직렬로 연결되어 있기 때문에 AMHS의 다른 부분이 포텐셜 아래의 처리량을 갖게 할 수 있다. 컨테이너는 흔히 프로세스 스텝이 완료된 후 스토커로 운반되어 나중에 제거되고, 툴이 준비된 경우에 다른 툴로 운반된다. 종래 스토커의 한정된 처리량은 스토커로부터 컨테이너를 운반 및 제거하는 시스템의 전체 처리 용량을 제한한다. 따라서, AMHS의 전체 처리 용량은 스토커 처리량으로 한정된다. 양수인은 발명의 명칭이 "Direct Loading Tool"인 미국 특허 출원 제11/064,880호에 개시된 다이렉트 툴 로딩 시스템(Direct Tool Loading System)을 포함하는 다양한 고처리량 시스템을 제조한다. 또한, 다이렉트 툴 로딩 시스템은 종래 스토커와 미스매칭(mismatching)되는 처리량을 생성할 수 있다. 참조된 미국 특허 출원에 개시된 바와 같이, 다이렉트 툴 로딩 시스템은 플로어기반 컨테이너 이송 시스템(예컨대, 프로세싱 툴 로딩 높이 이하의 높이에서 컨테이너를 이송하는 컨테이너 이송 시스템)이다. 고처리량 스토커와 수직 컨테이너 이송 시스템의 조합은 다이렉트 로드 시스템에 있어서 스루풋 포텐셜(throughput potential)을 이용할 필요가 있다. 종래 스토커의 한도는 AMHS 자체가 한정된 처리량을 갖기 때문에 일부 AMHS에 있어서 쉽사리 명확하게 되지 않을 수 있다.
AMHS 또는 이송 시스템의 한 형태는 오버헤드 이송(overhead transport, OHT) 시스템이다. 종래 OHT 시스템에 있어서, 다른 것들 중 OHT 차량은 제조 설비 플로어로부터 거의 900밀리미터의 높이에서 로드 포트의 키네마틱 플레이트(kinematic plate)상으로 FOUP를 낮춘다. OHT 시스템은 최신 천장 장착 트랙과 케이블 호이스트 차량(cable hoist vehicle)을 사용하여 FOUP를 이들 로드 포트로 이송한다. 수평 이동, 케이블 호이스트 익스텐션(cable hoist extension), 및 일방향 동작의 조합은 프로세싱 툴 사이에서 신속하게 FOUP를 이송하기 위해 조화되어야 한다. OHT 시스템내에서의 최적 효율을 위해 OHT 차량은 프로세싱 툴이 로딩 또는 언로딩될 필요가 있는 경우에 즉시 이용 가능해야 한다. 양수인의 다이렉트 툴 로딩 시스템은 고처리량 인트라-베이 툴(high throughput intra-bay tool) 이송 능력을 위해 AMHS 솔루션을 제공한다. 다이렉트 툴 로딩 시스템은 툴에 대하여 직접 고처리량 컨베이어 AMHS의 익스텐션 등의 처리량을 위해, 그리고 개별 로드 포트 컨베이어 로드/언로드 메카니즘, 높은 병렬 컨베이어 인터페이스로 인해 몇가지 장점을 제공한다. 소정 시간에 있어서, 다수의 컨테이너는 컨베이어로 낙하되거나 컨베이어로부터 상호 간섭 없이 픽업되는 프로세스에 있을 수 있다. 스루풋 포텐셜을 모두 사용하기 위해 AMHS는 팹 구성(fab configuration)의 변화에 합치되는 유연한 구성으로 인터베이 AMHS(interbay AMHS)에 효과적으로 접속된 수직 이송 시스템과 고처리량 스토커의 조합을 필요로한다.
따라서, 제조 설비에 있어서의 향상된 고처리량 컨테이너 이송 시스템과 저장 용량이 필요하다.
대체적으로 말하면, 본 발명은 제조 설비내의 이송 시스템을 위한 구조를 제공함으로써 이러한 필요를 충족시킨다. 본 발명은 방법, 시스템, 또는 장치를 포함하는 다양한 방식으로 구현될 수 있다는 것을 이해해야 한다. 이하, 본 발명의 몇가지 실시형태에 대해 설명한다.
일실시형태에서는 제조 설비용 워크플로우 셀(work flow cell)이 제공된다. 워크플로우 셀은 반도체 프로세싱 툴과 이 반도체 프로세싱 툴에 가장 가까운 프론트 오프닝 유니파이드 포드(FOUP)를 유지하는 버퍼링 스테이션을 포함한다. 버퍼링 스테이션은 제조 설비의 메인 스토커로부터 FOUP를 수신한다. 버퍼링 스테이션은 메인 스토커내의 일부 FOUP를 저장하도록 구성된다. 또한, 워크플로우 셀은 반도체 프로세싱 툴과 버퍼링 스테이션을 접속하는 이송 기구를 포함한다. 일실시형태에 있어서, 이송 기구는 다이렉트 툴 로드 메카니즘이다. 또한, 워크플로우를 갖는 제조 설비가 제공된다.
다른 실시형태에서는 반도체 프로세싱 설비내의 이송 컨테이너의 이동 방법이 제공된다. 이 방법은 제 1 컨트롤 시스템의 방향 하의 프로세싱 툴에 가장 가깝게 위치된 버퍼링 스테이션으로 이송 컨테이너를 이송하는 단계를 포함한다. 버퍼링 스테이션은 개별 워크플로우 셀의 일부이다. 이 방법은 제 1 컨트롤 시스템과 독립적인 대응하는 제 2 컨트롤 시스템에 따라 개별 워크플로우 셀과 버퍼링 스테이션을 통해 이송 컨테이너를 이동시키는 단계를 포함한다. 이 이동 단계는 버퍼링 스테이션내에 있어서의 개별 워크플로우 셀의 프로세싱 툴을 위한 이송 컨테이너를 정렬하는 단계를 포함한다. 이송 컨테이너는 바닥에 기초한 이송 기구를 통해 프로세싱 툴로 전달되고, 이송 컨테이너의 버퍼링 스테이션으로의 전달 부분와 이송 컨테이너의 이송 기구로의 전달 포트(delivery port)는 프로세싱 툴의 앞쪽으로 연장된 평면을 따라 정렬된다.
본 발명의 다른 실시형태 및 장점은 본 발명의 예시를 목적으로 도시된 첨부 도면과 관련되어 후술되는 상세한 설명으로부터 명백하게 될 것이다.
본 발명의 실시형태는 본 발명의 예시만을 목적으로 도시된 첨부 도면과 관련되어 후술되는 상세한 설명으로부터 명백하게 될 것이다.
도 1 내지 도 3은 본 발명의 일실시형태에 의한 다이렉트 툴 로딩 장치의 예시적인 실시형태를 도시한다.
도 4는 본 발명의 일실시형태에 의한 제조 구조에 내장된 미니 스토커(mini stocker)를 도시한 단순 개략도이다.
도 5는 본 발명의 일실시형태에서의 소터(sorter)와 관련되어 사용되는 미니 스토커를 도시한 단순 개략도이다.
도 6은 본 발명의 일실시형태에서의 툴 사이의 미니 스토커의 배치를 도시한 단순 개략도이다.
도 7은 본 발명의 일실시형태에 의한 스토리지의 사용을 위해 서로 인접한 복수의 미니 스토커를 도시한 단순 개략도이다.
도 8은 본 발명의 일실시형태에 의한 미니 스토커를 더 상세히 도시한 단순 개략도이다.
도 9는 본 발명의 일실시형태에 의한 도 8에 도시된 미니 스토커의 상면도이다.
도 10은 본 발명의 일실시형태에 의한 툴 사이의 미니 스토커의 배치를 도시한 단순 개략도이다.
도 11은 본 발명의 일실시형태에서 이동 가능한 모듈식 미니 스토커의 단순 개략도이다.
도 12는 본 발명의 일실시형태에 의해 상세히 설명한 미니 스토커를 사용한 설계 레이아웃을 도시한 단순 개략도이다.
본 발명은 반도체 제조 동작에 포함되는 반도체 기판의 처리를 위한 워크플로우 셀에 관하여 설명된다. 그러나, 이것은 본 발명을 그 세부 특징의 일부 또는 전부가 없어도 실시할 수 있다는 것은 당업자에게 자명하게 될 것이다. 다른 경우에 있어서, 공지의 프로세스 동작은 본 발명의 불필요한 모호함을 회피하기 위해 상세히 설명되지 않는다.
여기서 설명하는 실시형태는 미니 스토커 또는 버퍼링 스테이션이 제공되어 제조 설비를 통해 반도체 기판 등의 워크피스를 더 효율적으로 이동시키는 반도체 제조 설비용 워크플로우 셀을 제공하는 시스템을 위해 제공된다. 일실시형태에 있어서, 버퍼링 능력을 가진 미니 스토커는 제품상에서 프로세싱 동작을 수행하는 툴에 가장 가까이 배치된다. 반도체 제조에 관하여, 워크피스는 프론트 오프닝 유니파이드 포드(FOUP)에 저장되는 반도체 기판이 될 수 있다. FOUP는 다이렉트 툴 로드 메카니즘 등의 이송 기구를 통해 미니 스토커와 프로세싱 툴 사이에서 이송된다. 다이렉트 툴 로드 메카니즘은 그 전체가 참조를 목적으로 여기에 포함된 미국 특허 제7,410,340호에 더 설명되어 있다. 후술하는 바와 같이, 미니 스토커는 프로세싱 툴로의 이송을 위해 정확한 배향으로 FOUP를 배향시킬 수 있다. 또한, 미니 스토커는 적절한 위치에 제공될 수 있고, 프로세싱 툴과 정렬되어 다이렉트 툴 로딩 메카니즘 등의 컨베이어상에서 FOUP의 이송을 가능하게 할 수 있다. 일실시형태에 있어서, 워크플로우 셀은 효과적으로 재료를 이동시키기 위해 제조 설비용 재료 처리 시스템과 관련하여 동작하는 재료 이송 기능을 포함한다.
도 1 내지 도 3은 본 발명의 일실시형태에 의한 다이렉트 툴 로딩 장치의 예시적인 실시형태를 나타낸다. 도 1 내지 도 3은 수직 이동 가능한 FOUP 어드밴스 플레이트 어셈블리(FOUP advance plate assembly)(122)를 구비한 로드 포트(load port)(100) 및 바닥 장착 컨베이어(160)를 포함하는 본 발명의 일실시형태를 나타낸다. 컨베이어(160)와 로드 포트(100)는 자체적으로 툴로부터 외측으로 연장된 종래의 로드 포트(10)보다 더 툴(101)로부터 외측으로 연장되어 있지 않다(예컨대, X2). 컨베이어(160)가 FOUP 어드밴스 플레이트 어셈블리(122)보다 더 툴(101)로부터 외측으로 연장되는 것은 본 발명의 범위내에 있는 것이다. "컨베이어"라는 용어는 재료, 패키지, 또는 아이템을 어느 장소로부터 다른 장소로 이송하는 기계 장치 등의 이송 장치를 의미한다. 예시만을 목적으로 롤러, 에어 트랙, 레일웨이, 벨트 또는 공지의 다른 수단에 의해 물품이 컨베이어(160)를 따라 이동될 수 있다.
로드 포트(100)는 다른 것들 중 키네마틱 플레이트(112), 포트 도어(114), 장착 플레이트(116) 및 FOUP 어드밴스 플레이트 어셈블리(122)를 포함한다. 장착 플레이트(116)는 BOLTS 인터페이스 또는 제안된 SEMI BOLTS-Light 인터페이스(명세서에서 후술됨)를 통해 툴(101)에 바람직하게 고정되고, 개구를 갖는다. 키네마틱 플레이트(112)는 3개의 키네마틱 핀(118)과 액티브 컨테이너 홀드 다운 메카니즘(active container hold down mechanism)(SEMI 표준 E15.1에 따름)을 바람직하게 포함한다. 포트 도어(114)는 개구 위치와 폐쇄 위치 사이에서 이동한다. 예시만을 목적으로 포트 도어(114)는 프론트 오프닝 인터페이스 메카니컬 스탠다드(Front Opening Interface Mechanical Standard, FIMS) 도어 어셈블리를 포함한다. 이 실시형태에 있어서, FIMS 도어(114)는 한쌍의 진공컵(115)과 한쌍의 래치 키(latch key)(117)를 포함한다. 래치 키(117)가 FOUP 도어를 개방 및 폐쇄한다. 진공컵(115)은 두 개의 도어가 서로 결합되면 FOUP 도어와 포트 도어 사이의 영역으로부터 공기를 뺀다. FIMS 도어(114)는 도 1에 도시된 예로 한정되지 않고, 다른 특징을 포함할 수 있다. 또한, 로드 포트(100)가 포트 도어(114)를 구비하지 않는 것은 본 발명의 범위내에 있는 것이다.
FOUP 어브댄스 플레이트 어셈블리(122)는 키네마틱 플레이트(112)를 수평으로 이동시키는 드라이브(126)를 포함한다. 키네마틱 플레이트(112)는 FOUP의 저면을 지지하고, 장착 플레이트(116)내의 개구에 대하여 FOUP를 정렬한다. 드라이브(126)는 제 1 위치(도 2a 내지 도 2d 참조)와 제 2 위치(도 2e 및 도 2f 참조) 사이에서 키네마틱 플레이트(112)를 이동시킨다. 제 1 위치에서 OHT 시스템은 키네마틱 플레이트(112)로부터 FOUP(2)를 로딩 또는 언로딩할 수 있다. 또한, 제 1 위치는 컨베이어 또는 다른 이송 장치로부터 FOUP(2)를 배치 및 제거하기 위한 로드/언로드 위치에 키네마틱 플레이트(112)를 배치한다. FOUP 어드밴스 플레이트 어셈블리(122)는 z-드라이브(120)가 FOUP 어드밴스 플레이트(122)를 컨베이어(160)로 낮추기 전에 키네마틱 플레이트(112)를 제 1 위치로 이동시킬 수 있거나, 또는 FOUP 어드밴스 플레이트 어셈블리(122)가 수직으로 이동하는 동안 키네마틱 플레이트(112)가 수평으로 이동할 수 있다.
키네마틱 플레이트(112)가 전혀 수평으로 이동하지 않는 것도 본 발명의 범위내에 있는 것이다. 예컨대, FOUP 어드밴스 플레이트 어셈블리(122)가 수직으로 들어 올려진 후 포트 도어(114)는 FOUP 도어를 향하여 수평으로 이동하여 FOUP 도어를 결합 해제 및 제거할 수 있다. 또는, 컨테이너가 기계적으로 개방 가능한 도어를 구비하지 않으면 포토 도어는 전혀 필요하지 않을 수 있다. 이러한 경우에 있어서, 컨테이너는 툴이 물품에 액세스할 수 있는 높이로 컨베이어로부터 들어 올려질 수 있다.
도 2a는 일실시형태에 있어서 한쌍의 서포트(124)가 FOUP 어드밴스 플레이트 어셈블리(122)를 z-드라이브 메카니즘(z-drive mechanism)(120)에 접속시키는 것을 나타낸다. 본 발명은 도 2a에 도시된 서포트(124)로 한정되지 않는다. 실질적으로, FOUP 어드밴스 플레이트 어셈블리(122)를 z-드라이브 메카니즘(120)에 접속시키는 것이면 어떤 서포트 메카니즘(support mechanism)이라도 충분할 것이다. 예시만을 목적으로 싱글 서포트는 FOUP 어드밴스 플레이트 어셈블리(122)를 z-드라이브 메카니즘(120)에 접속시킬 수 있다. 서포트(124)는 현재 기술내에서 공지된 구조에 의해 FOUP 어드밴스 플레이트 어셈블리(122) 및 z-드라이브 메카니즘(120)에 접속될 수 있다. z-드라이브 메카니즘(120)은 현재 기술내에서 공지된 모든 드라이브 어셈블리를 포함할 수 있다.
로드 포트(100)는 종래 로드 포트와 유사한 FOUP 어드밴스 플레이트 어셈블리(122) 아래에 배치된 하우징[예컨대, 로드 포트(10)의 하우징(11)]을 포함하지 않는다. 따라서, FOUP 어드밴스 플레이트 어셈블리(122)와 설비 바닥(4) 사이의 영역은 방해 요소가 제거되어 있다. 즉, FOUP 어드밴스 플레이트 어셈블리(122)는 장착 플레이트(116)에 대하여 거의 수직으로 그리고 평행하게 이동될 수 있다. 본 발명의 설명을 목적으로 FOUP 어드밴스 플레이트 어셈블리(122)는 최고 높이(도 2a 참조)와 최저 높이(도 2b 참조) 사이에서 수직으로 이동한다. FOUP 어드밴스 플레이트 어셈블리(122)는 이 두 높이 사이의 모든 위치로 이동될 수 있다. FOUP 어드밴스 플레이트 어셈블리(122)가 다른 높이[예컨대, 장착 플레이트(116)내의 개구 위]들 사이에서 이동하는 것도 본 발명의 범위내에 있는 것이다.
컨베이어(160)로부터 FOUP(2)를 픽업하기 위해 FOUP 어드밴스 플레이트 어셈블리(122)는 최저 위치에 배치된다. 이렇게 하기 위해 z-드라이브 메카니즘(120)은 FOUP 어드밴스 플레이트 어셈블리(122)를 도 2b에 도시된 위치로 낮춘다. FOUP 어드밴스 플레이트 어셈블리(122)는 최저 위치에 배치되어 있는 동안 컨베이어(160)의 제 1 레일(164)와 제 2 레일(166) 사이에 바람직하게 놓여진다. FOUP 어드밴스 플레이트 어셈블리(122)는 컨베이어(160)를 따라 이동하는 FOUP(2)가 키네마틱 플레이트(112)상에서 방해받지 않고 통과할 수 있도록 충분히 낮추어져야 한다. 이 실시형태에 있어서, 키네마틱 플레이트(112)는 전방 위치(포드 도어로부터 멀리)로 이동되어 레일(162, 164) 사이에 끼워진다.
도 2c는 키네마틱 플레이트(112)를 통해 컨베이어(160)상에 완전히 정지하게 된 FOUP(2)를 나타낸다. FOUP(2)는 키네마틱 핀(118)이 FOUP(2)의 저면상의 핀 리셉터클(pin receptacle)에 대하여 정렬될 때 키네마틱 플레이트(112) 위에 바람직하게 놓여있게 된다. FOUP(2)와 키네마틱 플레이트(112)가 정렬되는 동안 z-드라이브(120)는 FOUP 어드밴스 플레이트 어셈블리(122)를 들어 올린다. 키네마틱 플레이트(112)는 결국 FOUP(2)의 저면과 접촉하고, z-드라이브(120)가 최고 위치(도 2d 참조)를 향해 FOUP 어드밴스 플레이트 어셈블리(122)를 지속적으로 들어 올림에 따라 컨베이어(160)로부터 FOUP(2)를 들어올린다. FOUP내의 웨이퍼를 액세스하기 위해 FOUP(2)와 키네마틱 플레이트(112) 사이를 더 조정할 필요는 없다.
도 2a 내지 도 2c에 도시된 컨베이어(160)는 FOUP가 로드 포트에 도달할 때 FOUP 도어가 로드 포트와 대향하도록 FOUP(2)를 이송한다. 다른 배향으로 컨베이어를 따라 FOUP를 이송하는 것은 본 발명의 범위 및 사상내에 있는 것이다. 예시만을 목적으로 FOUP는 FOUP가 이동하는 방향에 대향하는 FOUP 도어에 의해 컨베이어를 따라 이동할 수 있다. 이 상태에서 FOUP 어드밴스 플레이트 어셈블리(122)는 컨베이어(160)로부터 FOUP(2)를 픽업한 후 FOUP 도어가 로드 포트와 대향하도록 FOUP(2)를 90° 회전시킨다.
이때, FOUP 어드밴스 플레이트 어셈블리(122)는 포트 도어(114)를 향하여 키네마틱 플레이트(112)를 이동시킨다. FOUP 도어를 결합 해제 및 제거하기 위해 포트 도어는 FOUP 도어에 충분히 근접할 때까지 FOUP가 전방으로 이동된다. 예시만을 목적으로 FOUP 도어를 언락킹(unlocking) 및 제거할 수 있고, FOUP를 이송할 수 있고, 툴내에 있는 포트 도어는 참조에 의해 여기에 포함된, Asyst Technologies, Inc.로 양도된, "FIMS Interface Without Alignment Pins"을 발명의 명칭으로 하는 미국 특허 제6,419,438호에 설명되어 있다. 도 2f는 키네마틱 플레이트(112)상에 배치된 FOUP(2)내의 웨이퍼가 처리되는 동안 제조 설비내의 추가적인 FOUP가 컨베이어(160)를 따라 방해 없이 다른 프로세싱 툴로 이동하는 것을 나타낸다.
FOUP(2)는 컨베이어(160)의 제 1 및 제 2 레일(164, 166)을 따라 이동한다. 도 3은 레일 사이에서 최저 위치에 배치되어 있는 동안 FOUP 어드밴스 플레이트 어셈블리(122)를 수납하기 위해 바람직하게 간격을 두고 이격된 레일을 나타낸다. 도 1 내지 도 3의 실시형태에 있어서, 로드 포트(100) 앞에 배치된 컨베이어(160)의 각 섹션은 제 1 레일(164)내에 두 개의 슬롯(162)을 포함한다. 각 슬롯(162)은 FOUP 어드밴스 플레이트 어셈블리(122)가 최저 위치(도 2b 참조)로 낮추어짐에 따라 서포트(124)가 제 1 레일(164)을 통과할 수 있게 한다. 슬롯(162)은 컨베이어(160)를 따라 이동하는 FOUP(2)가 키네마틱 플레이트 위로 방해 없이 통과할 수 있는 위치로 z-드라이브(120)가 키네마틱 플레이트(112)를 낮출 수 있게 한다. 서포트(124)를 수납하는 제 1 레일(164)에 대한 모든 수정은 본 발명의 사상 및 범위내에 있는 것이다. 마찬가지로, 로드 포트(100)가 하나의 서포트(124)만을 포함하면 레일(164)은 하나의 슬롯(162)만을 필요로 한다.
도 1 및 도 2는 바닥 장착 컨베이어(160)의 몇가지 특징을 나타낸다. 제조 설비내의 모든 높이에 컨베이어가 배치되는 것은 본 발명의 범위내에 있는 것이다. 예시만을 목적으로 컨베이어(160)는 설비 바닥(4)(예컨대, 도 11) 아래, 설비 바닥(4)(예컨대, 도 10)과 동일 평면 또는 로드 포트(도시되지 않음) 위에 배치될 수 있다.
로드 포트에 대한 컨베이어 시스템의 높이와는 무관하게 각 FOUP(2)는 컨베이어(160)를 따라 바람직하게 이동하여 FOUP(2)가 로드 포트(100)에 도달할 때 FOUP 도어(6)는 포드 도어와 대향한다. 그러나, FOUP는 다른 배향으로 컨베이어를 따라 이동할 수 있고, 결국에는 포드 도어와 대향하도록 회전될 수 있다. 어느쪽이든 컨베이어와 로드 포트 사이에서 각 FOUP(2)가 처리되는 횟수는 크게 감소된다. 예컨대, FOUP 어드밴스 플레이트 어셈블리에 의해 컨베이어로부터 FOUP가 들어 올려진 후 FOUP는 웨이퍼에 액세스하기 전에 다시 정렬될 필요가 없다. FOUP는 컨베이어로부터 들어 올려지고, 로보틱 암(robotic arm)(예컨대, RGV 시스템에서 필요함)에 의해 처리될 필요가 없다. 로드 포트(100)는 이러한 추가적인 처리 스텝을 제거하여 컨베이어 또는 다른 이송 장치로부터 로드 포트로의 FOUP의 더 빠른 이송을 제공하여 FOUP(2)의 처리를 최소화한다.
도 4는 본 발명의 일실시형태에 의한 제조 구조에 내장된 미니 스토커를 도시한 단순 개략도이다. OHT 이송 시스템(300)이 미니 스토커(302)에 FOUP를 제공하여서 입력 포트(304)에 FOUP를 공급함으로써 DTL 컨베이어를 통해 툴(306a, 306b, 및 306c)로 분배될 수 있다. 이후의 도면에 도시된 바와 같이, 미니 스토커(302)는 처리량의 향상을 위해 미니 스토커내에서 FOUP를 이동시키는 전용 재료 핸들러(handler)(320)를 구비하고 있다. 또한, 미니 스토커(302)는 일실시형태에서의 위치내에 제공될 수 있다. 다른 실시형태에 있어서, 미니 스토커(302)는, 이후의 도면에 도시된 바와 같이, 액세스용으로 제공하도록 이동 가능하게 될 수 있다. 다수의 미니 스토커(302)는 본 발명의 일실시형태에서 툴 사이에 분배될 수 있는 것으로 인식되어야 한다. 다른 툴과 조합된 미티 스토커와 둘 사이에서의 컨테이너의 이송을 제공하는 이송 기구는 워크플로우 셀로 언급될 수 있는 것으로 인식되어야 한다. 도 4의 실시형태는 FOUP를 미니 스토커로 이송하는 AMHS를 나타내고, 워크플로우 셀의 제 2 이송 시스템은 워크플로우 셀내에서의 FOUP의 이동을 처리하여 AMHS로부터 부담을 경감시킬 수 있다. 일실시형태에 있어서, 하나의 미니 스토커는 프로세싱 툴로의 후속 이송을 위해 OHT 이송 시스템으로부터 FOUP를 수납하는데 사용될 수 있고, 제 2 미니 스토커는 프로세싱 툴로부터 OHT 이송 시스템으로 FOUP를 이송하는데 사용될 수 있다. 따라서, 워크플로우 셀을 위한 분리된 입력 포트 및 출력 포트가 존재한다. 이러한 방식으로 이송 기구는 단방향성으로 될 수 있다. 이것은 도 5에 대하여 논의된 바와 같은 미니 스토커와 이송 기구는 양방향성으로 될 수 있는 것으로서 한정될 필요는 없다는 것을 인식해야 한다.
또한 도 4를 참조하면, 일실시형태는 상기한 바와 같이 분리된 입력 및 출력 포트를 포함한다. 이 실시형태에 있어서, 입력 포트 및 출력 포트는 모두 미니 스토커가 되거나 입력 및 출력 포트 중 어느 하나만이 미니 스토커가 될 수 있다. 또한, 예시적 일실시형태에 있어서, 프로세싱 툴에 가장 가까운 스테이션, 즉 입력 포트(304), I/O 포트 및 출력 포트는 인접한 프로세싱 툴만을 서비스하는 반면 미니 스토커(302)는 프로세싱 툴(306a 내지 306c) 각각을 서비스한다. 다양한 구조가 가능하고, 출력 포트는 일실시형태에서 미니 스토커로 교체될 수 있으므로 입력 포트(304), I/O 포트 및 출력 포트는 선택적이라는 것을 당업자는 인식할 것이다. 컨테이너는 통상적으로 입력을 위해 큐잉(queueing)되지만 이것은 출력측에 대하여는 필요치 않다는 것을 주목해야 한다. 일실시형태에서 입력측을 위한 미니 스토커는 출력측을 위한 미니 스토커보다 큰 용량을 가질 수 있다.
도 5는 본 발명의 일실시형태에서 소터와 관련되어 사용되는 미니 스토커를 도시한 단순 개략도이다. 도 5에 도시된 바와 같이, 미니 스토커(302)는 바닥 장착 컨베이어(312)에 의해 미니 스토커(302)와 소터(310) 사이에서 FOUP가 이송될 수 있는 소터(310)에 인접해 있다. 당업자는 소터가 웨이퍼의 처리, 웨이퍼의 판독 등을 하도록 구성된 모든 툴이 될 수 있다는 것을 인식할 것이다. 몇가지 애플리케이션에 있어서, 소터는 웨이퍼의 비교적 적은 부분만이 소터에 의해 체킹되는 고처리량 시스템에서 작동하도록 구성될 수 있다. 바닥 장착 컨베이어(312)는 양수인이 소유한 다이렉트 로드 툴(Direct Load Tool, DLT) 구조가 될 수 있다. 당업자는 FOUP가 미니 스토커(302)로 들어가고 소터(310)로 분배됨에 따라 미니 스토커가 처리량 향상을 지원한다는 것을 인식할 것이다. 미니 스토커(302)가 제조 설비에 통상적으로 채용되는 라지 스토리지 유닛(large storage unit)보다 더 적시에 FOUP를 소터(310)에 제공한다는 것을 인식해야 한다. 일실시형태에 있어서, 미니 스토커와 소터에 의해 형성된 워크플로우 셀은 소터(310)에 인접한 프로세스 툴을 포함할 수 있다. FOUP는 제조 설비에 통상적으로 채용되는 라지 스토리지 유닛과 함께 요구됨에 따라 프로세싱 툴에서의 사용을 위해 정렬되고, 프로세싱 툴에서의 사용을 위해 스피닝(spinning)될 필요가 없다는 것을 당업자는 인식할 것이다. 즉, FOUP는 툴 로딩을 위해 정확한 방향으로 배향된다. 당업자에 의해 인식되는 바와 같이, 프로세스 툴로의 최종 공급을 위해 FOUP를 일반적으로 저장하는 라지 스토리지 유닛은 툴 로딩을 위해 FOUP를 정확하게 배향할 수 없고, 이로 인해 FOUP가 일부 포인트에서 정확한 배향으로 스피닝되어야 한다. 또한, OHT(300)는 미니 스토커(302), 소터(310) 및 다른 모든 인접 툴로 액세스가 가능하도록 정렬된다. 이러한 정렬은 미니 스토커에 대하여 FOUP를 픽업하고 하강시킬 수 있게 하여 턴 어라운드(turn around)에 비해 더 신속한 FOUP로의 액세스와 라지 스토리지 유닛으로부터의 FOUP에 대한 액세스를 가능하게 한다. 다수의 대안은 미니 스토커로의 하강 포인트 또는 소터 하강 포인트 중 어느 하나 그리고/또는 소터나 스토커로의 또는 소터나 스토커로부터의 입력/출력을 위한 바닥면상의 DLT 컨베이어를 포함한다. 여기에 개시된 실시형태를 통해 제조 설비용 컨트롤 시스템은 미니 스토커로 FOUP를 이동시키는 명령을 제공할 수 있고, 워크플로우 셀을 위한 컨트롤러는 워크플로우 셀내에서의 이동을 처리할 수 있다. 이러한 워크플로우 셀내에서의 로컬 컨트롤은 FOUP 처리량을 향상시킨다. 워크플로우 셀내에서의 로컬 컨트롤은 제조 설비의 AMHS/OHT 시스템에 의해 요구되는 이동을 제거한다. AMHS가 제조 설비내에 통상적으로 사용되는 라지 스토리지 설비로부터 FOUP를 공급하는데 걸리는 4+분(4+ minutes)과는 대조적으로 여기에 설명된 구성에 의해 스토커와 소터 사이에서 이송될 FOUP의 처리 시간은 거의 20초가 된다. 따라서, 4+분의 액세스 시간으로 인해 스토커 또는 소터가 FOUP를 갖지 않는 시간의 양이 급격이 단축된다. 또한, OHT와 DLT의 정렬은 소터, 스토커 및 DLT 컨베이어와 정렬되지 않은 OHT에 의해 요구되는 시간의 약 10% 내지 20%로 DLT가 FOUP를 제공할 수 있게 한다. OHT(300)로부터 FOUP를 받고, OHT(300)로 FOUP를 이송하도록 작동할 수 있는 상부 배치 포트(top located port)를 미니 스토커(302)가 포함한다는 것을 더 인식해야 한다. 또한, 바닥 장착 컨베이어는 양방향성으로, 즉 미니 스토커의 저부 포트로부터 프로세스 툴로 FOUP를 이송하고, 프로세스 툴로부터 미니 스토커의 저부 포트로 FOUP를 리턴시킬 수 있다. 워크플로우 셀에서의 FOUP의 이동은 AMHS 또는 퍼실리티 와이드 컨트롤러(facility wide controller)와 독립적인 워크플로우 컨트롤러에 의해 제어될 수 있다.
도 6은 본 발명의 일실시형태에서 툴 사이의 미니 스토커(302)의 배치를 도시한 단순 개략도이다. 이 실시형태에 있어서, 미니 스토커(302a 내지 302c)는 각각 프로세스 툴(306a 내지 306c)에 인접하여 분배되어 있다. 또한, 미니 스토커(302)용 핸들러와 프로세스 툴용 로딩/언로딩 메카니즘 사이에는 선형 관계가 있다. 따라서, OHT(300)는 미니 스토커와 프로세스 툴 모두를 서비스할 수 있다.
도 7은 본 발명의 일실시형태에 의한 스토리지의 사용을 위해 서로 인접한 복수의 미니 스토커(302)를 도시한 단순 개략도이다. 이 실시형태에 있어서, 각 미니 스토커(302)는 통상의 스토커보다 단위 시간당 더 많은 FOUP를 출력시킬 수 있는 고효율 시스템을 달성하기 위해 전용 재료 처리 시스템(320)과 관련된다. 당업자는 도 6 및 도 7에 도시된 재료 처리 시스템이 서로, 그리고 도 6에 도시된 프로세스 툴을 위한 재료 처리 시스템 및 OHT(300)과 정렬되는 것을 인식할 것이다. 따라서, 이 선형 구조는 더 효율적이고, 하나의 OHT 시스템(300)은 미니 스토커(302)와 각 프로세스 툴(306) 모두의 공급을 처리할 수 있다.
도 8은 본 발명의 일실시형태에 의한 미니 스토커(302)를 더 상세히 도시한 단순 개략도이다. 도 8에 도시된 바와 같이, FOUP는 OHT 시스템(300)을 통해 미니 스토커(302)로 공급된다. 미니 스토커(302)는 액세스를 제공하기 위해 다중 도어를 구비하고 있다. 업/다운 레일은 미니 스토커내에서의 FOUP의 이동을 처리한다. 도 8에 도시된 바와 같이, 스토커의 상부는 개구되어 있다. 일실시형태에 있어서, 미니 스토커(302)는 수직축 및 수평축을 구비하여 도 9를 참조하여 더 설명하는 바와 같이 컨테이너를 픽업 및 하강시킨다. 재료 처리 시스템(320)은 OHT(300) 및 적절한 컨트롤러와 인터페이싱함에 따라 FOUP를 이송한다.
도 9는 본 발명의 일실시형태에 의한 도 8에 도시된 미니 스토커의 상면도이다. 도시된 바와 같이, FOUP가 2축 스태커상에 배치되어 다수의 FOUP가 미니 스토커내에 저장될 수 있다. 상기 2축은 FOUP의 수직 이동을 가능하게 하는 수직축과 도 9에서 화살표로 도시된 바와 같은 수평축을 포함한다.
도 10은 본 발명의 일실시형태에 의한 툴 사이의 미니 스토커(302)의 배치를 도시한 단순 개략도이다. 도 10에 있어서, 미니 스토커(302)는 툴 사이의 액세스가 너무 타이트한 경우에 공급이 필요할 수 있는 아일 웨이(aisle way)로 끌어당겨질 수 있다. 미니 스토커(302)를 위한 재료 처리 시스템, 프로세스 툴(306)을 위한 재료 처리 시스템과 OHT(300)에 있어서의 정렬의 선형성(linear nature)은 단일 OHT가 미니 스토커와 프로세스 툴을 수납 가능하게 한다.
도 11은 본 발명의 일실시형태에서 이동 가능한 모듈식 미니 스토커를 도시한 단순 개략도이다. 미니 스토커(302)는 미니 스토커의 이동을 가능하게 하는 휠을 포함할 수 있다. 미니 스토커(302)는 일실시형태에서 컵(342)과 센터링 콘(centering cone)(340)의 메이팅(mating)에 의해 배치될 수 있다. 물론, 다른 공지의 정렬 기술이 여기에 포함될 수 있다.
도 12는 본 발명의 일실시형태에 의해 상세히 설명한 미니 스토커를 사용한 설계 레이아웃을 도시한 단순 개략도이다. 공통 U-트랙 섹션을 통해 최종적으로 결합될 수 있는 OHT(300a 및 300b)는 미니 스토커(302)와 프로세스 툴(306) 사이에서 FOUP를 이동시키기 위해 액세스한다. 컨트롤러(350)는 FOUP의 이송을 제어하는데 사용될 수 있는 실행 코드를 위한 프로세서와 메모리를 포함한다. 요컨대, 워크플로우 셀의 모듈식 특성으로 인해 FOUP의 이송은 더 효율적으로 이루어진다. 또한, 여기서 설명하는 미니 스토커는 현재 라지 스토커와 함께 요구되기 때문에 설비에 설치되기 보다는 제조 설비에 일체 유닛으로서 탑재될 수 있다. 또한, 각 미니 스토커는 재료 처리 시스템을 포함하기 때문에 단위 시간당 이동되는 FOUP의 양이 증가한다.
상기 컨테이너와 아이솔레이션 시스템은 본 발명을 한정하지 않고 예시만을 위한 것이라는 사실을 인식해야 한다. 면적이 큰 기판 또는 웨이퍼의 저장, 이송, 및 로딩을 위한 시스템과 컨테이너의 바람직한 실시형태를 설명하였으므로 내부 시스템의 장점이 달성된다는 것이 당업자에게 자명하게 되어야 한다. 다양한 수정, 각색 및 대체 실시형태가 본 발명의 사상과 범위내에서 이루어질 수 있다는 것도 자명하게 되어야 한다. 예컨대, 컨테이너와 시스템은 다른 타입의 기판을 저장하는데 사용되거나 반도체 제조 설비내의 다른 장비와의 접속에 사용될 수 있다. 상기한 본 발명의 다수의 컨셉은 반도체 관련 제조 애플리케이션은 물론 비반도체 제조 애플리케이션의 사용을 위해 동일하게 적용될 수 있다는 것을 인식해야 한다. 발명 컨셉의 예시적 사용은 단일 크리스탈 실리콘, 폴리크리스탈라인 실리콘, 박막, 및 오르게닉 프로세스(organic process) 등의 솔라 셀 제조 및 관련 제조 기술에 포함될 수 있다.
본 발명의 일부를 형성하는 여기에 설명된 모든 동작은 유용한 기계 동작이다. 또한, 본 발명은 이러한 동작을 실행하기 위한 디바이스 또는 장치에도 관련된다. 장치는 필요한 목적을 위해 특별히 구성될 수 있거나 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 기동, 실행, 또는 설정되는 범용 컴퓨터가 될 수 있다. 특히, 여기서 설명한 바에 따라 기록된 컴퓨터 프로그램에 의해 다양한 범용 기계가 사용될 수 있고, 또는 필요한 동작을 수행하도록 더 특화된 장치를 구성하는 것이 더 편리하게 될 수 있다.
전술한 본 발명은 명확한 이해를 위해 상세히 개시되었지만 수정 및 변경이 청구 범위내에서 실시될 수 있다는 것이 자명하게 될 것이다. 따라서, 본 실시형태는 한정이 아닌 예시로서 고려되고, 본 발명은 이 상세한 설명에 한정되지 않고, 청구항의 동등 범위내에서 수정될 수 있다. 청구항에 있어서, 명백하게 명시되어 있지 않다면 구성 요소 및/또는 스텝은 동작의 어떠한 특정 순서를 의미하지 않는다.

Claims (21)

  1. 반도체 프로세싱 툴;
    상기 반도체 프로세싱 툴에 가장 가까운 프론트 오프닝 유니파이드 포드(FOUP)를 유지하는 버퍼링 스테이션으로서 상부 배치 포트가 오버헤드 이송(OHT) 기구로부터 FOUP를 수신하는 버퍼링 스테이션; 및
    상기 반도체 프로세싱 툴의 로드 포트에 상기 버퍼링 스테이션의 저부 포트를 접속하는 이송 기구를 포함하는 것을 특징으로 하는 제조 설비용 레이아웃.
  2. 제 1 항에 있어서,
    상기 이송 기구는 다이렉트 로드 메카니즘이고, 상기 로드 포트는 다이렉트 로드 포트인 것을 특징으로 하는 레이아웃.
  3. 제 1 항에 있어서,
    상기 FOUP는 상기 프로세싱 툴을 위해 미리 정렬된 배향으로 저장됨으로써 상기 버퍼링 스테이션의 FOUP 외측의 모든 배향 이동을 제거하는 것을 특징을 하는 레이아웃.
  4. 제 1 항에 있어서,
    상기 버퍼링 스테이션은 상기 FOUP를 2축을 따라 이동시키도록 구성되는 것을 특징으로 하는 레이아웃.
  5. 제 1 항에 있어서,
    상기 버퍼링 스테이션의 상부 배치 포트는 상기 OHT 메카니즘에 노출되어 있는 것을 특징으로 하는 레이아웃.
  6. 제 1 항에 있어서,
    상기 버퍼링 스테이션의 상부 배치 포트와 상기 버퍼링 스테이션의 저부 포트는 상기 이송 기구로부터 연장된 평면을 따라 정렬되는 것을 특징으로 하는 레이아웃.
  7. 제 1 항에 있어서,
    상기 버퍼링 스테이션으로의 그리고 이 버퍼링 스테이션으로부터의 FOUP의 이동을 위한 제조 설비용 컨트롤 시스템; 및
    상기 버퍼링 스테이션, 상기 프로세싱 툴, 및 상기 이송 기구에 의해 형성된 워크플로우 셀내에서의 상기 FOUP의 이동을 처리하는 워크플로우 컨트롤러를 더 포함하는 것을 특징으로 하는 레이아웃.
  8. 제 1 항에 있어서,
    상기 이송 기구는 제 1 방향으로 상기 프로세싱 툴로부터 저부 포트로 FOUP를 이송하고, 제 2 방향으로 상기 프로세싱 툴을 위한 상기 저부 포트로부터 FOUP를 픽업하기 위해 양방향성인 것을 특징으로 하는 레이아웃.
  9. 제 1 항에 있어서,
    상기 버퍼링 스테이션은 최대 15개의 FOUP를 저장하는 것을 특징으로 하는 레이아웃.
  10. 제 1 항에 있어서,
    상기 OHT 메카니즘은 상기 상부 배치 포트에서 FOUP를 하강시키고, FOUP를 픽업하는 것을 특징으로 하는 레이아웃.
  11. 제 1 항에 있어서,
    상기 이송 기구는 단방향성이고, 상기 버퍼링 스테이션은 상기 OHT 메카니즘을 위한 상기 프로세싱 툴로의 입력 포트로서 기능하고, 다른 버퍼링 스테이션은 상기 OHT 메카니즘을 위한 상기 프로세싱 툴로의 출력포트로서 기능하는 것을 특징으로 하는 레이아웃.
  12. 설비를 통한 이송 컨테이너의 이동을 컨트롤하는 제 1 컨트롤 시스템; 및
    복수의 워크플로우 셀을 포함하는 반도체 프로세싱 설비 구조로서:
    상기 워크플로우 셀은 각기 각기 반도체 프로세싱 툴과;
    상기 반도체 프로세싱 툴에 가장 가까운 상기 이송 컨테이너를 저장하는 버퍼링 스테이션으로서, 상부가 오버헤드 이송(OHT) 메카니즘으로부터 상기 FOUP를 수신하는 버퍼링 스테이션과;
    상기 반도체 프로세싱 툴의 로드 포트로 상기 버퍼링 스테이션의 저부 포트를 접속하는 이송 기구와;
    상기 제 1 컨트롤 시스템과 독립적으로 상기 워크플로우 셀내에서의 상기 이송 컨테이너의 이동을 컨트롤하는 제 2 컨트롤 시스템을 포함하는 것을 특징으로 하는 반도체 프로세싱 설비 구조.
  13. 제 12 항에 있어서,
    상기 이송 기구는 다이렉트 로드 툴 메카니즘인 것을 특징으로 하는 설비 구조.
  14. 제 12 항에 있어서,
    상기 이송 컨테이너는 상기 프로세싱 툴을 위해 미리 정렬된 배향으로 저장됨으로써 상기 버퍼링 스테이션의 외측으로의 상기 이송 컨테이너의 모든 배향 이동을 제거하는 것을 특징으로 하는 설비 구조.
  15. 제 12 항에 있어서,
    상기 버퍼링 스테이션은 2축을 따라 상기 이송 컨테이너를 이동시키도록 구성되는 것을 특징으로 하는 설비 구조.
  16. 제 12 항에 있어서,
    상기 상부 배치 포트는 상기 OHT 메카니즘에 노출되어 있는 것을 특징으로 하는 설비 구조.
  17. 제 12 항에 있어서,
    상기 버퍼링 스테이션의 상부 배치 포트와 상기 버퍼링 스테이션의 저부 포트는 상기 이송 기구로부터 연장된 평면을 따라 정렬되는 것을 특징으로 하는 설비 구조.
  18. 프로세싱 툴에 가장 가깝게 배치된 버퍼링 스테이션으로 이송 컨테이너를 통해 오버헤드 이송 메카니즘을 이송시키는 단계로서, 제 1 컨트롤 시스템, 각 워크플로우 셀의 버퍼링 스테이션 부분, 상기 버퍼링 스테이션 중 하나에 의해 형성된 워크플로우 셀, 상기 프로세싱 툴 중 하나, 및 상기 하나의 버러핑 스테이션과 상기 하나의 프로세싱 툴 사이에 이송 경로를 형성하는 이송 기구의 방향 하에서 수행되는 이송 단계; 및
    상기 제 1 컨트롤 시스템과 독립적인 대응 제 2 컨트롤 시스템에 의해 상기 각 워크플로우 셀과 상기 버퍼링 스테이션을 통해 상기 이송 컨테이너를 이동시키는 단계로서,
    상기 버퍼링 스테이션내의 상기 각 워크플로우 셀의 프로세싱 툴을 위해 상기 이송 컨테이너의 배향을 유지하는 단계와,
    바닥 기반 이송 기구를 통해 상기 프로세싱 툴로 상기 이송 컨테이너를 이송하는 단계로서, 상기 버퍼링 스테이션으로의 상기 이송 컨테이너의 이송 포트와 상기 이송 기구로의 상기 이송 컨테이너의 이송 포트가 상기 프로세싱 툴 앞으로 연장된 평면을 따라 정렬되는 상기 이송 컨테이너의 이송 단계를 포함하는 이송 컨테이너의 이동 단계를 포함하는 것을 특징으로 하는 반도체 프로세싱 설비내에서의 이송 컨테이너의 이동 방법.
  19. 제 18 항에 있어서,
    상기 버퍼링 스테이션의 상부로 상기 이송 컨테이너를 이송하는 단계; 및
    상기 버퍼링 스테이션의 저부를 통해 상기 버퍼링 스테이션으로부터 상기 이송 기구로 상기 이송 컨테이너를 이송하는 단계를 더 포함하는 것을 특징으로 하는 이송 컨테이너의 이동 방법.
  20. 제 18 항에 있어서,
    상기 버퍼링 스테이션은 최대 15개의 FOUP를 저장하는 것을 특징으로 하는 이송 컨테이너의 이동 방법.
  21. 제 18 항에 있어서,
    상기 버퍼링 스테이션으로의 상기 이송 컨테이너의 이송 포트와 상기 이송 기구로의 상기 이송 컨테이너의 이송 포트는 상기 이송 컨테이너가 상기 이송 기구상의 대향 방향으로 각 이송 포트에서 하강 및 픽업되는 양방향성인 것을 특징으로 하는 이송 컨테이너의 이동 방법.
KR1020107005424A 2007-09-06 2008-09-07 버퍼링을 갖는 이송 시스템 KR20100068251A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US97052607P 2007-09-06 2007-09-06
US60/970,526 2007-09-06
US12/205,606 US20090067957A1 (en) 2007-09-06 2008-09-05 Transport system with buffering
US12/205,606 2008-09-05

Publications (1)

Publication Number Publication Date
KR20100068251A true KR20100068251A (ko) 2010-06-22

Family

ID=40429735

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107005424A KR20100068251A (ko) 2007-09-06 2008-09-07 버퍼링을 갖는 이송 시스템

Country Status (7)

Country Link
US (1) US20090067957A1 (ko)
EP (1) EP2183771A4 (ko)
JP (1) JP2010538931A (ko)
KR (1) KR20100068251A (ko)
CN (1) CN101855718A (ko)
TW (1) TW200931576A (ko)
WO (1) WO2009033126A2 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048274B2 (en) * 2008-12-08 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Portable stocker and method of using same
JP5418503B2 (ja) * 2009-01-23 2014-02-19 村田機械株式会社 自動倉庫
CN102194731B (zh) * 2010-03-12 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种位置校准系统及等离子体处理装置
CN103594403B (zh) * 2012-08-15 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 片盒传输装置及具有其的半导体设备
US9136149B2 (en) 2012-11-16 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Loading port, system for etching and cleaning wafers and method of use
US9606532B2 (en) * 2014-01-29 2017-03-28 Taiwan Semiconductor Manufacturing Company Limited Method and manufacturing system
JP2017518626A (ja) * 2015-02-17 2017-07-06 ソーラーシティ コーポレーション 太陽電池の製造歩留まりを向上させる方法及びシステム
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9698036B2 (en) * 2015-11-05 2017-07-04 Lam Research Corporation Stacked wafer cassette loading system
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN106783677B (zh) * 2016-12-08 2023-12-05 江门格兰达物联装备有限公司 一种槽式料箱自动上料设备
US10622236B2 (en) * 2017-08-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for handling wafer carrier doors
JP7224725B2 (ja) * 2019-03-26 2023-02-20 株式会社ディスコ 搬送システム
CN217983295U (zh) * 2022-09-14 2022-12-06 台湾积体电路制造股份有限公司 晶圆盒的输送装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4120923A1 (de) * 1991-06-25 1993-01-07 Krupp Industrietech Stueckgutumschlageinrichtung
FR2697004B1 (fr) * 1992-10-16 1994-11-18 Commissariat Energie Atomique Système de stockage et de transport d'objets plats tels que des boîtes extra-plates et son ratelier portatif.
US6533101B2 (en) * 1998-06-24 2003-03-18 Asyst Technologies, Inc. Integrated transport carrier and conveyor system
WO2004034438A2 (en) * 2002-10-11 2004-04-22 Brooks Automation, Inc. Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
JP5152700B2 (ja) * 2005-05-16 2013-02-27 村田機械株式会社 高処理量amhsのためのモジュール式ターミナル
KR101275607B1 (ko) * 2005-07-08 2013-06-17 무라다기카이가부시끼가이샤 스톡커
CN101218157A (zh) * 2005-07-11 2008-07-09 阿赛斯特技术公司 与半导体容器连用的皮带式传送装置
US7591624B2 (en) * 2006-01-09 2009-09-22 International Business Machines Corporation Reticle storage pod (RSP) transport system utilizing FOUP adapter plate

Also Published As

Publication number Publication date
CN101855718A (zh) 2010-10-06
EP2183771A2 (en) 2010-05-12
WO2009033126A2 (en) 2009-03-12
TW200931576A (en) 2009-07-16
EP2183771A4 (en) 2012-03-07
JP2010538931A (ja) 2010-12-16
WO2009033126A3 (en) 2009-05-22
US20090067957A1 (en) 2009-03-12

Similar Documents

Publication Publication Date Title
KR20100068251A (ko) 버퍼링을 갖는 이송 시스템
US9881823B2 (en) Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
US7661919B2 (en) Discontinuous conveyor system
US7771151B2 (en) Interface between conveyor and semiconductor process tool load port
JP4220173B2 (ja) 基板の搬送方法
US7591624B2 (en) Reticle storage pod (RSP) transport system utilizing FOUP adapter plate
US8292563B2 (en) Nonproductive wafer buffer module for substrate processing apparatus
CN113206023A (zh) 搬运管芯载具的装置、系统及方法

Legal Events

Date Code Title Description
N231 Notification of change of applicant
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid