KR20100032652A - 초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법 - Google Patents

초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법 Download PDF

Info

Publication number
KR20100032652A
KR20100032652A KR1020080091629A KR20080091629A KR20100032652A KR 20100032652 A KR20100032652 A KR 20100032652A KR 1020080091629 A KR1020080091629 A KR 1020080091629A KR 20080091629 A KR20080091629 A KR 20080091629A KR 20100032652 A KR20100032652 A KR 20100032652A
Authority
KR
South Korea
Prior art keywords
carbon dioxide
film
supercritical carbon
silylating agent
dielectric constant
Prior art date
Application number
KR1020080091629A
Other languages
English (en)
Inventor
임권택
정재목
이민영
Original Assignee
부경대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 부경대학교 산학협력단 filed Critical 부경대학교 산학협력단
Priority to KR1020080091629A priority Critical patent/KR20100032652A/ko
Publication of KR20100032652A publication Critical patent/KR20100032652A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 플라즈마 식각/애싱 후 손상된 낮은 유전 상수의 절연층에 사용되는 낮은 유전 상수의 오가노실리케이트 필름의 특성을 초임계이산화탄소를 이용하여 복원하는 방법으로서, 손상된 필름을 상압에서 열처리하고, 초임계이산화탄소 내에서 실릴화제를 이용하여 표면 내부까지 소수성을 갖도록 복원하는 기술에 관한 것이다.
Figure 112008065725726-PAT00001
초임계이산화탄소, 낮은 유전 막질, 반도체, 다마신공정, 실릴화반응, 인터코넥트

Description

초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법 {METHOD FOR RECOVERY OF HYDROPHOBICITY WITH DAMAGED LOW-K ORGANOSILICATE FILMS IN SUPERCRITICAL CARBON DIOXIDE}
본 발명은 컴퓨터, 마이크로프로세서, 마이크로컨트롤러, 센서, 통신 장치 등에서 사용되는 초고성능 마이크로일렉트로닉 칩 상의 인터코넥트 배선 네트워크(interconnect wiring network)에 있어서, 이러한 배선과 연관된 신호 전파 지연을 상당히 감소시키는 것에 관한 것이다. 구체적으로 본 발명의 방법은, 플라즈마 식각/애싱에 의해 손상(친수성)된 낮은 유전 상수의 오가노실리케이트 필름을 먼저 상압에서 열을 이용하여 전처리하고, 열 처리된 필름을 이산화탄소 내에서 실릴화제를 이용하여 유전 특성을 복원하는데 요구되는 방법을 제공하는 것이다.
ULSI(Ultra Large Scale Integrated) 소자의 미세화, 고집적화 및 고속화 요구에 대응하기 위하여 다층 배선 기술에 대한 신기술이 요구되고 있으며, 이에 발맞추어 MPU(micro-processor unit, 연산장치)로 대표되는 logic device의 고속화와 집적화는 급속도로 진행되고 있다.
MOS device는 크게 데이터 처리를 담당하는 트랜지스터와 데이터를 외부로 전달하 고 트랜지스터에 전원을 공급하는 인터코넥터로 이루어져 있다. 이러한 MOS device의 고 집적화는 일반적으로 트랜지스터의 미세화에 따라 이루어져 왔다.
트랜지스터의 집적도 향상과 더불어 배선 간 선 폭의 감소와 배선 층 수의 증가도 급속히 진행되어 왔지만, 180nm 보다 더 미세한 device에서 신호 지연 현상에 기인 된 device의 속도 저하는 점차 심각한 문제로 대두 되고 있다.
일반적으로 데이터 처리 속도의 지연은 트랜지스터의 속도 지연에 관여하는 gate delay와 인터코넥터에서의 속도 지연에 기여하는 RC delay로 나눌 수 있다.
미세화에 따른 gate length의 축소로 인한 gate delay는 감소하게 되었지만, 미세화에 동반되는 CD(critical dimension)의 축소로, 기존 알루미늄/SiO2 조합의 배선공정에서 RC delay는 급격하게 증가하게 된다. 즉, gate 길이의 축소에 따른 gate delay 감소 효과보다 알루미늄/SiO2 조합의 배선공정 사용에 따른 RC delay 증가효과가 월등히 커서, 이를 상쇄시키더라도 총 delay는 증가하게 된다. 따라서 이러한 문제점을 해결하기 위하여 배선 간 절연막을 기존 SiO2 보다 낮은 유전 상수 (Low k) 물질로 대체되고 있다.
신호지연 문제와 device의 성능을 향상시키기 위해서 일반적으로 산업에서 필요로 하는 낮은 유전 물질의 k 값은 2.5 이하이며, 점차로 k 값이 2.0 이하인 극도로 낮은 k 값을 가지는 물질들을 필요로 하게 된다.
J. H. Golden, C. J. Hawker, and P. S. Ho, Semicond . Int ., 2002, Vol.24, pp.79에서는 상기 요구들을 충족시키기 위하여, 기존 SiO2 막질을 기본 지지체로 하고 막 질 내부에 기공을 형성하여 유전율을 감소시키는 방법을 제시하였다.
다공성 실리케이트는 다공율의 변화를 통하여 k 값의 조절이 용이하고 막질의 유전율 범위(1.02 < k < 3)가 넓다. 이러한 낮은 유전 절연막으로는 inorganic, organic, hybrid, porous 등 여러 가지 물질들이 개발되었고, 이것은 CVD(chemical vapor deposition), SOG(spin on glass)등으로 제조되고 있다.
그러나 낮은 k 물질은 device의 고집적화 및 고속화에 대한 요구에 대응하기 위하여 낮은 유전율을 가져야 함은 물론 극도로 낮은 수분의 흡수, 기계적 강도, 평탄화 능력, 열적 안정성 및 우수한 전기적 특성을 동시에 만족하여야만 한다.
이를 고려하면 상기 필름들 중 OSG(organosilicate glass)라 불리는 porous methyl doped oxide (p-SiOCH) 또는 p-MSQ (porous methylsilsesquioxane) 막질이 대표적이라 할 수 있다.
특히 porous methyl doped oxide(p-SiOCH) 필름의 경우 SiO2의 기본 골격 구조를 취하고 있는데, 상기 실리카를 기본으로 한 물질들은 밀도가 높은 특성을 가지므로 기계적, 열적 안정성을 동시에 만족하게 되며 여러 가지 낮은 유전 물질 중에서도 기존 SiO2 박막의 특성을 유사하게 유지하면서 methyl group의 함유량에 따라 유전율(1.5 ~ 2.7)을 조절할 수 있기 때문에 산업에서의 적용이 유리하다.
또한 기존 SiO2의 유전율은 Si-O 결합의 높은 분극률로 인하여 유전율이 높아지게 되지만, porous methyl doped oxide(p-SiOCH) 박막의 경우 분극률이 낮은 methyl group (CH3)을 첨가하여 유전율을 감소시킴은 물론 SiO2 격자 내에 격자 결함을 유 도함으로써 free volume을 형성하게 하고, 이러한 free volume으로 미세 기공이 형성되어 유전율이 극도로 낮아지게 되며, methyl group의 첨가량에 따라 유전율의 조절이 가능해 지게 된다. 또한 이러한 methyl group이 첨가되면 유전막의 성질은 소수성이 되고, 이러한 특성은 대기중에 노출된 수분의 흡수율을 극도로 낮춰 추가적인 이점을 가진다.
J. Y. Kim, M. S. Hwang, Y. H. Kim, H. J. Kim and Y. Lee, J. Appl . Phys., 2001, Vol.90, pp.2469 등에 따르면, 이러한 porous methyl doped oxide (p-SiOCH) 박막은 나노 다공성 구조를 갖는 유 무기 화합물의 낮은 유전 물질로 반도체 소자의 층간 및 배선 간 절연물질로 대체되어 지고 있다. 그러나 낮은 유전 막질의 개발로 인한 알루미늄 금속 배선과 유전체 간에 존재하는 기생 캐패시턴스(capacitance)의 감소를 통한 RC delay 현상을 어느 정도 감소시켰지만, 배선 간 선 폭이 점차로 감소함에 따라 기존 알루미늄 배선의 높은 저항특성과 전자이동(electromigration) 특성으로 인한 신호지연 문제를 해결할 수 없다는 문제점이 발생하게 된다.
따라서 이러한 문제를 해결하기 위해서 비 저항값이 2.7μΩ/cm의 알루미늄 배선에서 비 저항값 1.7μΩ/cm의 구리로 대체시킴으로써 알루미늄 배선 사용에 따른 신호 지연 문제를 개선하게 되었다. 구리는 낮은 온도에서 휘발성 구리 화합물의 형성이 어려워 오염 발생 및 식각 특성이 좋지 못하기 때문에 기존의 건식 에칭 기술로는 패턴화가 어렵다. 따라서 패턴이 형성된 유전 층에 금속 인레이(metal inlay) 후 CMP(chemical mechanical polishing)를 수행함으로써 구리 패턴화를 가능하게 하는 방법 즉, 다마신 공정을 이용하여 구리 배선을 형성한다. 특히 듀얼 다마신 공정(dual damascene process)은 유전체를 증착시키고, 증착된 유전체를 식각하여 trench나 via hole과 같은 패턴을 형성한 후 금속 인레이와 CMP가 동시에 진행되므로 기존의 알루미늄 배선 공정방법보다 신호 지연 device 특성 및 비용절감 측면에서도 이점을 지니게 된다.
그러나, 다마신 공정의 특성상 오가노실리케이트 필름은 플라즈마에 의한 반응성 이온 식각/애싱 공정이 필수적이게 되며, 이 단계에서 플라즈마에 노출된 낮은 유전 상수를 갖는 필름은 화학적 및 물리적 변형이 발생하게 된다.
이것은 낮은 유전 특성을 보이는 porous methyl doped oxide (p-SiOCH) 박막의 경우 SiOCH3 구조를 기본으로 하고 있기 때문에 Si-CH3의 비교적 약한 결합을 형성함으로 반응성 이온에 노출된 유전체 막질의 Si-CH3 결합이 파괴되고 Si-OH(실라놀) 결합이 형성되어 서서히 카본의 비율은 감소하는 반면 Si-OH 증가치는 두드러지게 나타나 막질의 물성이 소수성 상태에서 친수성 상태로 변화게 된다. 그 이유는 실라놀 그룹의 쌍극자 모멘트가 상당히 높아져 공기 중의 수분을 쉽게 흡착해 버리기 때문이다.
특히 패턴화 과정에서 필름 상부에 식각 보호층의 용도로 사용된 포토레지스트를 제거하기 위하여 흔히 산소 플라즈마에 의한 애싱 공정이 필수 적이나, 이러한 산소 플라즈마에 노출된 유전체 막질의 화학적 구조 및 물성의 변화가 심각하게 나타나며, 유전율 또한 (>3.9) 상당히 증가해 버리는 것으로 보고되고 있으며, 이는 낮 은 유전 상수를 갖는 유전체 사용의 장점을 소멸시키는 큰 원인으로 지적된다.
이러한 단점들을 해결하기 위하여 국제 공개특허 WO 2007-018678 (동경 엘렉트론 주식회사) 2007.02.15에서는 산소 플라즈마가 아닌 수소 또는 메탄 가스를 이용한 플라즈마로 애싱 공정을 진행하여 손상을 줄이려는 방법을 제시하였다. 그러나 이 방법 또한 낮은 k 값을 갖는 필름의 유전 손실에 대한 완벽한 해법을 제시하지 못한다.
따라서 이러한 애싱 과정에서 발생하는 낮은 유전 막질의 손상을 복원할 수 있는 공정들이 필요로 하게 되었으며, 대한민국 공개특허 10-2007-0072868 (인터네셔널 비지니스 머신 코퍼레이션) 2007.07.06에서는 보수용 화학약품인 실릴화제를 사용하여 기상이나 액상법으로 손상된 유전 막질의 유전성질과 물성을 복원하려는 시도를 제시하였다. 상기와 같은 기상이나, 액상 반응을 통한 복원공정은 그 반응성이 표면에만 미치게 될 뿐 아니라 처리 시간이 많이 소요되고 웨이퍼 전면에 고른 복원능력을 기대하기가 어려우며 보수용 화학약품의 사용량이 과량이어서 환경적으로나 공정 단가 측면에서 좋지 못한 단점이 있다.
이 외에도 초임계이산화탄소 내에서 실릴화제를 용해시켜 손상된 낮은 유전 막질을 복원하려는 공정들이 A.J Muscat 그룹 이나 R.F.Reody 그룹에 의해서 진행 중이며, 복원성능이 표면에만 미친다는 결론이 나 있어 이에 대한 연구가 더욱더 필요한 실정이다. 구체적으로 B.Xie, L. Choate and A.J. Muscat, Microelectronic Engineering 2005, Vol.80, pp.349에서는 halo 실란을 이용한 보수반응을 연구하여 작용기 변화에 따른 연구수행 반응장치가 비실용적이고, 실릴화제와 샘플이 동시에 이산화탄소와 함께 혼합되기 때문에 실릴화제가 샘플과 접촉할 확률이 높을 뿐 아니라 반응기 조작 시간이 다량 소요된다는 단점이 있다. 또한 그 반응성이 표면에서만 그치기 때문에 바람직 하지 못하다. P.D. Matz and R.F.Reidy, Solid State Phenomena, 2005, Vol.103-104, pp.315에서도 HMDS에 의한 보수 성능을 분석하였으나, 이 또한 표면에서만 반응이 진행되는 단점이 있다.
전술한 바대로 초임계이산화탄소를 이용하여 플라즈마 식각/애싱 공정 동안 손상된 낮은 유전율의 오가노실리케이트 필름을 복원하는 기술이 본 과제와 관련하여 유망한 방법으로 제시되고 있다.
일반적으로, 물질은 온도와 압력에 따라 고체, 액체 및 기체 중의 한가지 상태일 수 있다. 예를 들면, 일정한 온도에서 압력이 증가할 경우, 기체는 일반적으로 액화될 수 있다. 그러나, 물질은 소정의 임계 온도 이상에서는 압력의 변화에 상관없이 액화되지 않는 초임계 상태가 된다.
통상적인 액체 용매의 특성들과 달리, 초임계이산화탄소는는, 압력의 변화에 따라, 그 밀도, 점도, 확산 계수 및 극성 등과 같은 물성이 기체와 같은 상태에서부터 액체와 같은 상태까지 연속적으로 변화될 수 있다.
초임계이산화탄소는 높은 용해력, 높은 확산계수, 낮은 점도 및 표면장력이 0에 가깝고 뛰어난 물질이동 성능을 가지므로 미세 구조의 표면에 대한 완전한 적심은 물론 극히 좁은 공간에 잘 침투하게 된다. 이산화탄소는 표준 조건에서는 기체이기 때문에 챔버에서 가스를 배출하고 진공장치와 연결이 용이하여 기존 공정에 대한 적용성이 유망하다.
더욱이 발생되는 용매 폐기물이 크게 감소 되기 때문에 환경 친화적이며, 값싸고, 무독성, 불연성, 그 임계 온도와 압력이 7.38Mpa/31.1℃로 매우 낮기 때문에 반도체 제조 공정 등에 적용성이 유망하다.
특히 초임계 유체 세정법은 미세한 구조를 기계적인 힘을 가하지 않고 건조가 가능하다. 그러므로 습식 세정시 미세한 틈으로 약액 침투, 흡습, 건조시의 무너짐, k값 변동 등의 문제를 해결하기 위하여, 포토레지스트나 MEMS 뿐만 아니라 극도로 낮은 유전상수의 절연층의 처리용으로 기대가 되고 있다.
따라서 이러한 미세전자 소자의 제작에 있어서 기술적 한계를 극복하기 위하여 초임계이산화탄소를 이용하는 방법이 한가지 대안이 될 수 있을 것으로 기대된다. 이에 T.Rajagopalan, B.Lahlouh, J.A. Lubguban, N. Biswas, S.Gangopadhyay, J.Sun, D.H.Huang, S.L.Simon, D. Toma, R.Butler, Appl . Surf . Sci ., 2006, Vol.252, pp.6323에서는 초임계이산화탄소를 사용한 복원공정을 제시하였지만, 그 반응성이 기상 또는 액상 반응과 유사하게 표면에서의 반응이 우세하여 손상된 내부 보수 효과는 떨어지는 단점을 나타내었다.
이에, 본 발명은 플라즈마 식각/애싱 후 손상된 낮은 유전상수의 오가노실리케이트 필름을 상압에서 열을 이용하여 전처리하여 불필요하게 흡착되어있던 수분 제거 및 근접한 실라놀 그룹들 사이의 수소 결합을 끊어 내부에 실릴화제의 침투를 용이하게 한 후, 이산화탄소 내에서 소량의 보수제(실릴화제)를 이용하여 내부의 보수 효과까지 높이는 것을 목적으로 한다.
본 발명의 방법은 플라즈마 식각/애싱 후 손상된 낮은 유전 상수의 절연층에 사용되는 낮은 유전 상수의 오가노실리케이트 필름의 특성을 초임계이산화탄소를 이용하여 복원하는 방법으로서, 손상된 필름을 상압에서 열처리하고, 초임계이산화탄소 내에서 실릴화제를 이용하여 표면 내부까지 소수성을 갖도록 복원하는 기술에 관한 것이다.
본 발명의 복원방법의 대상은 플라즈마 식각/애싱에 의해 손상된 낮은 유전 상수를 갖는 오가노실리케이트 필름과 같이 세정 공정에서 손상을 받기 쉬운 막이 형성된 반도체 웨이퍼에 적용하는 것이 바람직하다. 적용 대상인 낮은 유전상수를 갖는 박막의 비유전율은 3.0 이하인 것으로, 예컨대 하이브리드형 MSQ(메틸 실세스퀴옥세인)계의 낮은 유전상수를 갖는 박막(예컨대, JSR사의 "JSR LKD" 시리즈 등), CVD법에 의한 Si계 낮은 유전상수를 갖는 박막(예컨대, Applied Materials)사의 "Black Diamond" 등), 유기계 낮은 유전상수를 갖는 박막(예컨대, Dow Chemical)사의 "SiLK"(등록상표), 허니웰(Honeywell)사의 "FLARE"(등록상표)) 등을 들 수 있다. 낮은 유전 상수를 갖는 박막은 SOG법과 CVD법으로 형성된 것 등 어떤 것이든 상관없다. 또한 다공질 막이더라도 본 발명의 방법이라면 내부까지 복원이 가능하기 때문에 바람직하게 적용할 수 있다.
본 발명의 복원 방법은, 먼저 열 전처리를 통하여 필름 표면에 불필요하게 흡착되어있던 수분 제거 및 근접한 실라놀 그룹들 사이의 수소 결합을 끊어 내부에까지 실릴화제의 침투를 용이하게 하고, 그 다음 확산계수가 높아 미세한 부분으로의 침투를 용이한 초임계이산화탄소와 실릴화제를 이용하여 내부의 Si-OH를 Si-OR로 대체시켜 소수성을 복원시키는 것이다.
본 발명에서, 열 전처리 공정은 100 내지 400℃, 1 내지 30분 동안 상압에서 처리된다. 바람직하게는 200℃, 20분에서 효과적인 결과를 나타내며, 상기 이상의 온도 와 시간에서도 비슷한 결과를 나타낸다. 그러나 너무 높은 온도(400℃ 초과), 긴 시간(3시간 초과)에서는 축합반응과 같은 부 반응이 발생하므로 좋지 않은 결과를 초래한다. 또한 상기 열처리 공정은 비활성 가스인 질소 또는 아르곤으로 반응기 내부를 치환하여 불필요한 산화반응을 억제하여 주는 것이 바람직하다. 상기 열 전처리를 통해 필름 표면에 불필요하게 흡착되어있던 수분 제거 및 근접한 실라놀 그룹들 사이의 수소 결합을 끊어 내부에까지 실릴화제의 침투를 용이하게 하므로 손상된 내부까지 복원 효과가 우수하다.
본 발명에서, 초임계이산화탄소를 이용한 복원공정은 1 내지 180분의 시간, 31 내지 100℃의 온도에서 12 내지 35Mpa의 압력의 초임계이산화탄소로 처리된다. 바람직하게는 45℃, 24Mpa의 초임계이산화탄소로 처리되는 것이 좋다.
본 발명에서, 실릴화제는 일반식 (R2N)XSiR'Y를 가질 수 있으며, 여기서 X 및 Y는 각각 1 내지 2의 정수 및 2 내지 1의 정수이며, R 및 R'은 수소, 알킬, 아릴, 알릴, 페닐 및 비닐 그룹으로 이루어지는 군으로부터 선택된다.
또 다른 실릴화제는 일반식 (R2N)XSiR'YR"Z를 가질 수 있으며, 여기서 X, Y 및 Z는 각각 1 내지 3의 정수, 3 내지 1의 정수, 및 1 내지 3의 정수이며, R, R' 및 R"은 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 그룹으로 이루어지는 군으로부터 선택된다.
또 다른 실릴화제는 RXHYSi-A 형태를 가지며, 여기서 X 및 Y는 각각 0 내지 2의 정수 및 3 내지 1의 정수이고, R은 임의의 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔, 클로로, 아미노 또는 알콕시 그룹으로 이루어지는 군으로부 터 선택된다. 상기 실릴화제는 아미노, 클로로 및 알콕시 종결된 일작용성 실릴화제(terminated monofunctional silylating agent)를 포함할 수 있으며, 여기서 상기 실릴화제 상의 메틸 부위는 적어도 부분적으로 수소 유사체(hydrogen analogues)에 의해 대체된다. 상기 실릴화제는 또한 아미노, 알콕시 또는 실라잔 종결된 말단 기(terminated end group)를 지닌 중합체 실록산을 포함할 수 있다. 중합체 실록산의 말단 기는 모노- 또는 디- 알킬, 아릴, 비닐 또는 수소 부위를 포함할 수 있다. 실록산은 아미노 종결된 폴리디메틸실록산을 포함할 수 있다.
상기 실릴화제는 양호하게는 이산화탄소 내에서 0.1 내지 15중량%의 농도를 가질 수 있다. 바람직하게는 3중량%가 좋다.
본 발명은 손상된 낮은 유전상수를 갖는 오가노실리케이트 필름에 열 전처리를 행하여 손상된 필름에 흡착된 불필요한 수분 피크를 제거하고, 초임계이산화탄소를 이용하여 내부까지 복원반응이 이루어질 수 있을 뿐 아니라 이산화탄소 내에서 반응이 이루어지므로 공기 중 노출에 의한 수분 재흡착을 피할 수 있는 효과가 있다.
이하, 본 발명의 실시 예를 도1을 이용하여 상세하게 설명하면 다음과 같다.
먼저 손상된 필름을 200℃, 20분 동안 질소 분위기의 상압에서 처리하여 표면의 수분 제거 및 근접한 실라놀 그룹들 사이의 수소 결합을 끊어 내부에까지 실릴화제의 침투를 용이하게 하였다.
그 다음 초임계 이산화탄소에서의 복원 반응은 순수한 초임계이산화탄소를 반응 기(6) 내부에 주입하고, 45℃, 24Mpa로 설정하는 제1주입 단계를 포함한다. 상기 제 1 주입단계 종료 후 실릴화제를 6-포트 밸브(3)의 화학약품 루프(2)를 통하여 이산화탄소 대비 1중량% 주입시키는 제2주입 단계를 포함한다. 이때 상기 실릴화제는 (CH3)3SiCl (trimethychlorosilane. TMCS) (99+%), (CH3)2SiCl2 (dimethyldichlorosilane, DMDCS) (99%)과 (CH3)3SiNHSi(CH3)3 (hexamethyldisilazane, HMDS) (97%)를 사용하는 것이 바람직 하며, 이에 한정되는 것은 아니다. 상기 화학약품 루프(2)의 용량은 0.1cc 내지 200cc로 챔버(6)의 용량에 따라 적정량 이산화탄소 내에 희석될 수 있도록 조절 가능하도록 제작하는 것이 바람직하다. 상기 제2주입단계가 끝나면 화학약품 루프(2) 내의 실릴화제를 반응기(6) 내로 로딩하는 단계(107)를 포함한다. 상기 로딩 단계는 이산화탄소의 압력차로 인하여 6-포트 밸브(3)의 조작(107)을 통하여 이루어질 수 있다. 상기 실릴화제의 로딩 단계(107)는 이산화탄소의 압력을 조절하여 반응기(6) 내로 유입시킬 수 있으며, 이때 이산화탄소의 압력 범위는 반응기(6) 내부의 압력보다 3.4 내지 20.7MPa정도로 큰 것이 바람직하지만 이에 한정되는 것은 아니다. 상기 로딩 단계(107)가 끝나면 1시간 동안 방치해 두고 실릴화 반응이 일어나도록 하는 단계를 포함한다. 그 다음 이산화탄소의 압력을 반응기(6) 내부의 압력과 동일하게 유지하면서 순수 이산화탄소를 흘려주는 배출단계를 포함한다. 배출단계 동안 미반응 실릴화제들이 반응기(6) 외부로 이산화탄소와 함께 배출된다. 부가적으로 상기 실릴화제의 로딩 단계(107)후 교반 또는 초음파를 이용하는 단계를 포함할 수 있다.
실시예 -1 손상된 낮은 k 값 필름의 손상 정도 관찰
손상된 낮은 k 값 필름의 손상 정도를 확인하기 위하여 먼저 FTIR측정을 통한 CH3(methyl) 그룹의 손실 유무를 확인하여 보았다. 그 결과 1250cm-1과 1450cm-1에서 나타나던 methyl group의 peak들이 감소한 반면 3000cm- 1이상의 -OH peak와 H2O peak의 증가치가 확연하게 나타난 것을 확인할 수 있었다. 또한 도2와 같이 DSIMS(dynamic secondary ion mass spectroscopy)를 이용해서 carbon profiling을 깊이 방향으로 측정하여 손상이 어느 정도인지 확인한 결과, 표면 50nm 깊이까지 심각한 손상을 입어 carbon의 비율이 감소한 반면 산소의 비율은 상대적으로 증가한 것을 확인할 수 있었다.
실시예 -2 손상된 낮은 k 값 필름의 이산화탄소를 이용한 복원실험
낮은 유전 특성을 보이는 porous methyl doped oxide (p-SiOCH) 박막을 200℃, 20분 동안 질소 분위기의 상압에서 열 전처리하고 그 후 45℃, 24Mpa의 초임계이산화탄소 내에서 (CH3)3SiNHSi(CH3)3 (hexamethyldisilazane, HMDS)를 사용하여 1시간 동안 처리하였다. 이때 상기와 같이 전처리 한 공정과 비교하기 위하여 전처리하지 않은 공정 실험도 수행하였다.
표 1은 본 발명의 양호한 방법에 의해 달성되는 접촉각과 전처리를 행하지 않는 방 법에 의해 달성되는 접촉각 간의 비교를 나타낸 것이다.
처리 본래 필름 손상된 필름 기존 이산화탄소 이용한 복원공정 전처리 후 이산화탄소 이용한 복원공정
접촉각 91 10 90 100
접촉각 측정결과에서 손상된 필름은 전처리를 포함하는 경우와 포함하지 않는 경우 모두에서 보수반응이 좋은 것으로 나타났다. 그러나 접촉각은 표면의 성질만 관찰하는 것으로 내부의 복원 정도를 알아보기 위하여 SIMS를 분석한 결과 도3과 같이 전처리를 포함하는 경우에서는 내부까지 복원되어 carbon의 비율이 증가한 반면, 전처리를 포함하지 않은 경우에서는 내부의 복원이 미미하여 carbon의 비율이 그대로인 것을 알 수 있었다.
도 1은 본 발명에 따른 손상된 낮은 유전상수를 갖는 필름을 초임계이산화탄소를 이용하여 복원시키는 방법을 설명하기 위한 복원 장치의 개념도이다.
도 2는 본 발명에 따른 SiOCH 필름과 손상된 필름의 carbon 함량을 분석비교한 SIMS 데이터이다.
도 3은 본 발명에 따른 전처리를 포함한 경우와 포함하지 않은 경우의 carbon 함량을 분석비교한 SIMS 데이터이다.
*도면의 주요부분에 대한 부호의 설명*
1: 이산화탄소 탱크, 2: 화학약품 루프(실릴화제 주입관),3: 6 포트 밸브, 4: ISCO 펌프, 5: 압력 게이지, 6:고압 반응기, 7: 2 way 밸브, 101: 화학약품 주입구, 102: 화학약품 배출구, 103과 107: 화학약품 연결통로, 104: 이산화탄소 주입구, 105: 반응기 내로 이동되는 이산화 탄소 또는 화학약품 주입구 107: 6-포트 조절 밸브

Claims (5)

  1. 플라즈마 식각/애싱 후 손상된 낮은 유전 상수의 절연층에 사용되는 낮은 유전 상수의 오가노실리케이트 필름의 특성을 초임계이산화탄소를 이용하여 복원하는 방법으로서,
    상기 필름이 표면 내부까지 소수성을 갖도록,
    상기 필름을 열처리하는 공정과;
    상기 열 처리된 필름을 초임계이산화탄소 내에서 실릴화제를 이용하여 복원하는 공정을 포함하는 방법.
  2. 제 1항에 있어서, 열처리 공정은 1 내지 30분의 시간, 100 내지 400℃의 온도에서 비활성기체 분위기의 상압으로 처리되는 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, 초임계이산화탄소 내에서 실릴화제를 이용하여 복원하는 공정은 1 내지 180분의 시간, 31 내지 100℃의 온도에서 12 내지 35Mpa의 압력의 초임계이산화탄소로 처리되는 것을 특징으로 하는 방법.
  4. 제 1항에 있어서, 실릴화제는 일반식 (R2N)XSiR'Y를 가질 수 있으며, 여기서 X 및 Y는 각각 1 내지 2의 정수 및 2 내지 1의 정수이며, R 및 R'은 수소, 알킬, 아릴, 알릴, 페닐 및 비닐 부위로 이루어지는 군으로부터 선택되고, 또 다른 실릴화제는 RXHYSi-AZ 형태를 가지며, 여기서 X 및 Y는 각각 0 내지 2의 정수 및 3 내지 1의 정수이고, R은 임의의 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔, 클로로, 아미노 또는 알콕시 부위로 이루어지는 군으로부터 선택되는 것을 특징으로 하는 방법.
  5. 제 1항에 있어서, 실릴화제는 초임계이산화탄소 내에서 0.1 내지 15중량%의 농도로 처리되는 것을 특징으로 하는 방법.
KR1020080091629A 2008-09-18 2008-09-18 초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법 KR20100032652A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080091629A KR20100032652A (ko) 2008-09-18 2008-09-18 초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080091629A KR20100032652A (ko) 2008-09-18 2008-09-18 초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법

Publications (1)

Publication Number Publication Date
KR20100032652A true KR20100032652A (ko) 2010-03-26

Family

ID=42181805

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080091629A KR20100032652A (ko) 2008-09-18 2008-09-18 초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법

Country Status (1)

Country Link
KR (1) KR20100032652A (ko)

Similar Documents

Publication Publication Date Title
US7678712B2 (en) Vapor phase treatment of dielectric materials
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US7179758B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7795148B2 (en) Method for removing damaged dielectric material
US7500397B2 (en) Activated chemical process for enhancing material properties of dielectric films
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
KR100974042B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US8206788B2 (en) Method for treating a damaged porous dielectric
JP2007508691A (ja) シリル化剤を用いる低誘電率誘電材料の損傷の修復
JP2009010043A (ja) 基板処理方法,基板処理装置,記録媒体
JP5019714B2 (ja) 低誘電率膜のダメージ回復法
KR20100032652A (ko) 초임계이산화탄소 내에서 손상된 낮은 유전 상수의 오가노실리케이트 필름의 소수성을 복원하는 방법
KR20110032648A (ko) 플라즈마 손상된 낮은 유전 상수 다공성 필름에 대한 보수성능을 향상시키는 방법
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품
Darnon et al. Prospects for dielectric constant reduction in integrated circuits interconnects

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application