KR20090069308A - 저―κ의 유전 필름의 이중층 캡핑 - Google Patents

저―κ의 유전 필름의 이중층 캡핑 Download PDF

Info

Publication number
KR20090069308A
KR20090069308A KR1020097008038A KR20097008038A KR20090069308A KR 20090069308 A KR20090069308 A KR 20090069308A KR 1020097008038 A KR1020097008038 A KR 1020097008038A KR 20097008038 A KR20097008038 A KR 20097008038A KR 20090069308 A KR20090069308 A KR 20090069308A
Authority
KR
South Korea
Prior art keywords
dielectric constant
low dielectric
constant film
chamber
organosilicon compound
Prior art date
Application number
KR1020097008038A
Other languages
English (en)
Other versions
KR101376969B1 (ko
Inventor
핑 쑤
크리스토퍼 데니스 벤처
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090069308A publication Critical patent/KR20090069308A/ko
Application granted granted Critical
Publication of KR101376969B1 publication Critical patent/KR101376969B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Abstract

본 발명은 기판 표면상에 제 1의 낮은 유전상수 필름을 증착시키기에 충분한 증착조건에서 챔버내로 제 1 유기실리콘 화합물, 제 1 산화 가스, 및 하나 이상의 탄화수소 화합물을 포함하는 제 1 가스 혼합물을 전달함으로써 기판의 표면을 가공하는 방법에 관한 것이다. 제 2 유기실리콘 화합물 및 제 2 산화 가스를 포함하는 제 2 가스 혼합물이 제 1의 낮은 유전상수 필름상에 제 2의 낮은 유전상수 필름을 증착시키기에 충분한 증착조건에서 챔버내로 전달된다. 챔버내로의 제 2 산화 가스의 유속은 증가하고, 챔버내로의 제 2 유기실리콘 화합물의 유속은 감소하여 제 2의 낮은 유전상수 필름상에 옥사이드 풍부 캡을 증착시킨다.

Description

저―Κ의 유전 필름의 이중층 캡핑{BI-LAYER CAPPING OF LOW-K DIELECTRIC FILMS}
본 발명의 구체예는 일반적으로 집적회로의 제조에 관한 것이다. 더욱 특히, 본 발명의 구체예는 기판상에 유전층을 증착시키는 방법 및 유전층을 포함하는 구조물에 관한 것이다.
반도체 장치의 기하구조는 그러한 장치가 도입된 수십년전 이래로 그 크기가 극히 작아지고 있다. 그러한 이후에, 집적회로는 일반적으로 칩에 끼워지는 디바이스의 수가 2년 마다 두 배가 된다는 의미의 투 이어/하프-사이즈 룰(two year/half-size rule)(무어 법칙(Moore's Law)으로도 일컬어짐)을 따르고 있다. 현재의 제조 플랜트는 통상적으로 0.13㎛ 및 또한 0.1㎛ 피처 크기(feature size)를 지니는 디바이스를 생산하고 있으며, 미래의 플랜트는 더욱 작은 기하구조를 지니는 디바이스를 생산할 것이다.
집적회로상의 디바이스의 크기를 더 감소시키기 위해서, 낮은 저항을 지니는 전도성 재료를 사용하고, 낮은 유전상수를 지니는 절연체를 사용하여 인접 금속 라인들 사이의 용량결합(capacitive coupling)을 감소시키는 것이 필요하게 되었다. 하나의 그러한 낮은 k 재료는 스핀-온 글래스, 예컨대, 비-도핑된 실리콘 글래 스(un-doped silicon glass (USG)) 또는 불소-도핑된 실리콘 글래스(fluorine-doped silicon glass (FSG))이며, 이는 반도체 제조 공정에서 갭 충전층으로서 증착될 수 있다. 그러나, 디바이스 기하구조에서의 연속된 감소는 더 낮은 k 값을 지니는 필름에 대한 수요를 유도한다.
낮은 유전상수에 대한 최근 개발은 실리콘, 카본, 및 산소원자를 증착된 필름에 혼입시키는데 집중하고 있다. 이러한 영역에서의 한 가지 과제는 낮은 k 값을 갖지만 바람직한 열적 및 기계적 성질을 나타내는 Si, C, 및 O 함유 필름을 개발하는 것이다. 2.5 미만의 유전상수를 지니는 Si, C 및 O 네트워크로 제조된 필름은 종종 낮은 기계적 강도를 나타내고, 에칭 화학 및 후속된 플라즈마 노출에 의해서 손상되어 집척회로의 불량을 초래할 수 있다.
따라서, 집적회로상의 디바이스의 속도 및 효율성을 개선시킬 뿐만 아니라 집적회로의 내구성 및 기계적 무결성(integrity)을 개선시킬 수 있는 낮은 유전상수의 재료를 제조하는 방법이 요구되고 있다.
발명의 요약
본 발명의 구체예는 일반적으로 낮은 유전상수 필름을 증착시키는 방법을 제공하고 있다. 한 가지 구체예에서, 본 발명의 방법은 기판 표면상의 제 1의 낮은 유전상수 필름을 증착시키기에 충분한 증착 조건에서 제 1 유기실리콘 화합물, 제 1 산화 가스 및 하나 이상의 탄화수소 화합물을 지니는 제 1 가스 혼합물을 챔버내로 전달함으로써 기판 표면을 가공함을 제공한다. 제 2 유기실리콘 화합물과 제 2 산화 가스를 지니는 제 2 가스 혼합물이 이어서 제 1의 낮은 유전상수 필름상에 제 2의 낮은 유전상수 필름을 증착시키기에 충분한 증착조건에서 챔버내로 전달된다. 챔버내로의 제 2 산화 가스의 유속은 증가되고 챔버내로의 제 2 유기실리콘 화합물의 유속은 감소되어 옥사이드 풍부 캡(oxide rich cap)을 제 2의 낮은 유전 상수 필름상에 증착시킨다.
추가의 구체예에서, 기판 표면을 가공하는 방법은 제 1 유기실리콘 화합물, 산화 가스 및 하나 이상의 시클릭기를 지닌 하나 이상의 탄화수소 화합물을 지니는 가스 혼합물을 챔버내로 전달하고, RF 파워의 존재하에 제 1의 낮은 유전상수 필름을 기판 표면상에 증착함을 포함한다. RF 파워는 제 1의 낮은 유전상수 필름이 증착된 후에 중단되고, 제 1의 낮은 유전상수 필름이 경화된다. 제 2 유기실리콘 화합물과 제 2 산화 가스를 지니는 가스 혼합물은 챔버내로 전달되어 RF 파워의 존재하에 제 2의 낮은 유전상수 필름을 제 1의 낮은 유전상수 필름상에 증착시킨다. RF 파워는 제 2의 낮은 유전상수 필름이 증착된 후에 중단된다. 챔버내로의 제 2 산화 가스의 유속은 증가되며 챔버내로의 제 2 유기실리콘 화합물의 유속은 감소되어 옥사이드 풍부 캡을 제 2의 낮은 유전상수 필름상에 증착시킨다.
추가의 구체예에서, 개선된 강도를 지니는 낮은 유전상수 필름은 약 2.5 또는 그 미만의 유전상수를 지니는 유전상수 필름을 이중 층화된 캡으로 캡핑함으로써 얻어진다. 이중 층화된 캡은 약 2.5 내지 약 3.5 범위의 유전상수를 지니는 제 2의 낮은 유전필름 및 옥사이드 풍부 캡을 지닌다.
도면의 간단한 설명
본 발명의 상기 열거된 특징이 상세히 이해될 수 있게 하기 위해서, 상기 간단히 요약된 본 발명의 더욱 특정한 설명이 구체예를 참조로 설명될 수 있으며, 이러한 구체예의 일부가 첨부된 도면으로 예시되고 있다. 그러나, 본 발명은 다른 동일한 효과를 내는 구체예도 인정할 수 있으므로, 첨부된 도면은 본 발명의 전형적인 구체예를 단지 예시하는 것이고, 그로 인해서 이에 의해서 본 발명의 범위를 제한하는 것이 아님을 주지해야 한다.
도 1은 본 발명의 구체예에 따른 이중층 캡핑된 낮은 유전상수 필름을 형성시키는 방법을 예시하는 공정 흐름도이다.
도 2A는 본 발명의 구체예에 따라 형성된 이중층 캡과 낮은 유전상수 필름을 포함하는 구조체의 단면도이다.
도 2B는 본 발명의 구체예에 따라 형성된 폴리싱된 캡과 낮은 유전상수 필름을 포함하는 구조체의 단면도이다.
도 3은 본 발명의 구체예에 따라 층을 증착시키는 화학기상증착(CVD) 챔버(300)의 개략적인 단면도이다.
상세한 설명
도 1은 본 발명의 구체예에 따라서 낮은 유전 필름과 옥사이드 풍부 캡의 이중층에 의해서 캡핑된 낮은 유전상수 필름을 지니는 구조체를 형성시키는 방법을 예시하는 공정 흐름도이다. 단계(101)에서, 제 1 유기실리콘 화합물, 하나 이상의 시클릭기를 지닌 하나 이상의 탄화수소, 및 제 1 산화 가스가 챔버내로 흐른다. 단계(103)에서, 하나 이상의 시클릭기를 포함하는 필름이 RF 파워의 존재하에 유기실리콘 화합물과 하나 이상의 탄화수소를 포함하는 혼합물로부터 챔버내의 기판상에 증착된다. 단계(105)에서, 챔버내의 RF 파워는 중단된다. 단계(107)에서, 하나 이상의 시클릭기를 포함하는 필름이 경화되어 하나 이상의 시클릭기를 제거하고 제 1의 낮은 유전상수 필름을 생성시킨다. 제 1의 낮은 유전상수 필름은 약 2.5 또는 그 미만의 낮은 유전상수를 지닐 수 있다.
단계(109)에서, 제 2 유기실리콘 화합물과 제 2 산화 가스가 챔버내로 흐른다. 단계(111)에서, 실리콘, 카본 및 산소를 포함하는 제 2의 낮은 유전상수 필름이 저주파수의 RF 파워(low frequency RF power (LFRF))를 포함한 RF 파워의 존재하에 제 2 유기실리콘 화합물과 제 2 산화 가스를 포함하는 혼합물로부터 제 1의 낮은 유전상수 필름상에 증착된다. RF 파워는 또한 고주파수 RF 파워를 포함할 수 있다. 임의의 단계(113)에서, 챔버내의 저주파수 RF 및 고주파수 RF 파워가 중단될 수 있다.
단계(115)에서, 챔버내로의 제 2 산화 가스의 유속은 증가된다. 단계(117)에서, 챔버내로의 제 2 유기실리콘 화합물의 유속은 감소된다. 단계(119)에서, 옥사이드 풍부 캡이 제 2의 낮은 유전상수 필름상에 증착된다. 옥사이드 풍부 캡은 제 2의 낮은 유전상수 필름을 증착시키는데 사용된 고주파수 RF 파워와 저주파수 RF 파워의 존재하에 증착될 수 있다. 도 1에 요약된 본 발명의 구체예가 이하 상세히 설명될 것이다.
도 2A는 본 발명의 구체예에 따라서 형성된 층을 포함하는 구조체의 단면도를 개략적으로 예시하고 있다. 구조체(200)는 본 발명의 구체예에 따른 기판(202)상에 증착되는 제 1의 낮은 유전상수 필름(204)을 포함한다. 기판(202)은 전도성, 반도체성, 또는 절연성 층일 수 있다. 제 1의 낮은 유전상수 필름(204)은 약 100nm 내지 약 1000nm, 바람직하게는 약 300nm 내지 약 700nm 두께로 증착될 수 있다. 제 1의 낮은 유전상수 필름(204)은 약 2.5 또는 그 미만, 바람직하게는 약 2.2 또는 그 미만의 유전상수를 지닐 수 있다. 이중층 캡핑 필름(205)은 제 1의 낮은 유전상수 필름(204)상에 증착된다. 이중층 캡핑 필름(205)은 제 1의 낮은 유전상수 필름상에 증착된 제 2의 낮은 유전상수 필름(206) 및 제 2의 낮은 유전상수 필름(206)상에 증착되는 옥사이드 풍부 캡(208)으로 구성될 수 있다. 제 2의 낮은 유전상수 필름(206)은 약 50nm 내지 약 500nm, 바람직하게는, 약 100nm 내지 약 200nm 두께로 증착될 수 있다. 제 2의 낮은 유전상수 필름(206)은 약 2.5 내지 약 3.5의 유전상수를 지닐 수 있다. 한 가지 구체예에서, 제 2의 낮은 유전상수 필름의 유전상수는 약 3일 수 있다. 옥사이드 풍부 캡(208)은 제 2의 낮은 유전상수 필름(206)상에 증착되어서, 제 2의 낮은 유전상수 필름(206)과 옥사이드 풍부 캡 (208)이 인접되게 한다. 즉, 제 2의 낮은 유전상수 필름(206)과 옥사이드 풍부 캡 (208)은 서로 접촉된다. 옥사이드 풍부 캡(208)은 약 50nm 내지 약 500nm, 바람직하게는 약 100nm 내지 약 200nm의 두께로 증착될 수 있다. 도 2B에서, 옥사이드 풍부 캡(208)과 제 2의 낮은 유전상수 필름은 평탄화되어서 옥사이드 풍부 캡의 제거 및 제 2의 낮은 유전상수 필름(206)의 두께의 감소를 유발시킬 수 있다.
본원에서 사용된 용어 "유기실리콘 화합물"은 유기 기내에 탄소원자를 함유하는 화합물을 나타내며, 시클릭 또는 선형일 수 있다. 유기 기는 알킬, 알케닐, 시클로헥실, 및 아릴(등등) 기 및 이의 작용성 유도체를 포함할 수 있다. 바람직하게는 유기실리콘 화합물은 실리콘 원자에 결합되어 적합한 가공 조건에서의 산화에 의해서 용이하게 제거되지 않은 하나 이상의 탄소원자를 포함한다. 유기실리콘 화합물은 또한 바람직하게는 하나 이상의 산소원자를 포함할 수 있다.
적합한 시클릭 유기실리콘 화합물은 셋 또는 그 초과의 실리콘 원자, 및 임의의 하나 또는 그 초과의 산소원자를 지니는 고리 구조를 포함한다. 시판중의 구입 가능한 시클릭 유기실리콘 화합물은 하나 또는 두 개의 알킬기가 실리콘 원자에 결합되면서 교대되는 실리콘과 산소원자를 지니는 고리를 포함한다. 일부 예시적인 시클릭 유기실리콘 화합물은 하기 화합물을 포함한다:
1,3,5-트리실라노-2,4,6-트리메틸렌, -(-SiH2CH2-)3-(시클릭)
1,3,5,7-테트라메틸시클로테트라실록산(TMCTS) -(-SiHCH3-O-)4-(시클릭)
옥타메틸시클로테트라실록산 (OMCTS), -(-Si(CH3)2-O-)4-(시클릭)
1,3,5,7,9-펜타메틸시클로펜타실록산, -(-SiHCH3-O-)5-(시클릭)
1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, -(-SiH2-CH2-SiH2-O-)2-(시클릭)
헥사메틸시클로트리실록산 -(-Si(CH3)2-O-)3-(시클릭)
적합한 선형 유기실리콘 화합물은 하나 또는 그 초과의 실리콘 원자와 하나 또는 그 초과의 탄소원자를 지니는 선형 또는 분지형 구조를 지닌 지방족 유기실리콘 화합물을 포함한다. 유기실리콘 화합물은 추가로 하나 또는 그 초과의 산소 원자를 포함할 수 있다. 일부 예시적인 선형 유기실리콘 화합물은 하기 화합물을 포함한다:
메틸실란, CH3-SiH3
디메틸실란, (CH3)2-SiH2
트리메틸실란 (TMS), (CH3)3-SiH
에틸실란, CH3-CH2-SiH3
디실라노메탄, SiH3-CH2-SiH3
비스(메틸실라노)메탄, CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄, SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄, CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판, SiH3-C(CH3)2-SiH3
디에톡시메틸실란 (DEMS), CH3-SiH-(O-CH2-CH3)2
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산, (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산 (HMDS), (CH3)3-Si-O-Si-(CH3)3
1,3-비스(실라노메틸렌)디실록산, (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실록사닐)메탄, (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸디실록사닐)프로판, (CH3-SiH2-O-SiH2-)2-C(CH3)2
헥사메톡시디실록산 (HMDOS) (CH3O)3-Si-O-Si-(OCH3)3
디메틸디메톡시실란 (DMDMOS) (CH3O)2-Si-(CH3)2
디메톡시메틸비닐실란 (DMMVS) (CH3O)2-Si-(CH3)-CH2=CH3
본원에서 사용된 용어 "시클릭기"는 고리 구조를 나타낸다. 고리 구조는 세개 이상의 원자를 함유할 수 있다. 원자는 카본, 실리콘, 질소, 산소, 불소, 및 이들의 조합을 포함할 수 있다. 시클릭 기는 하나 또는 그 이상의 단일 결합, 이중 결합, 삼중결합 및 이들의 조합을 포함할 수 있다. 예를 들어, 시클릭 기는 하나 이상의 방향족, 아릴, 페닐, 시클로헥산, 시클로헥사디엔, 시클로헵타디엔, 및 이들의 조합을 포함할 수 있다. 시클릭기는 바이-시클릭 또는 트리-시클릭일 수 있다. 추가로, 시클릭 기는 바람직하게는 선형 또는 분지형 작용기에 결합된다. 선형 또는 분지형 작용기는 바람직하게는 알킬 또는 비닐 알킬기를 함유하며, 1 내지 20개의 탄소원자를 지닌다. 선형 또는 분지형 작용기는 또한 산소원자를 포함할 수 있으며, 그 예로는, 케톤, 에테르 및 에스테르가 있다. 하나 이상의 시클릭기를 지니는 일부 예시적인 화합물은 알파-테르피넨(ATP), 노르보르나디엔(norbornadiene), 비닐시클로헥산(VCH), 및 페닐아세테이트를 포함한다.
적합한 산화 가스는 산소(02), 오존(O3), 아산화질소(N2O), 일산화탄소(CO), 이산화탄소(CO2), 물(H2O), 2,3-부탄디온 또는 이들의 조합물을 포함한다. 오존이 산화 가스로서 사용되는 경우 오존 발생기는 공급원 가스중의 6중량% 내지 20중량%, 전형적으로는 약 15중량%의 산소를 오존으로 전환시키면서 나머지는 전형적으로 산소이다. 그러나, 오존 농도는 요구된 오존의 양 및 사용된 오존 생산 장치의 형태에 따라서 증가하거나 감소할 수 있다. 산소 또는 산소 함유 화합물의 분해가 증착 챔버에 유입되기 전에 마이크로파 챔버(microwave chamber)에서 발생되어 실리콘 함유 화합물의 과도한 분해를 감소시킬 수 있다. 바람직하게는, 라디오 주파수(RF) 파워가 반응 영역에 적용되어 분해를 증가시킨다.
하나 또는 그 이상의 캐리어 가스가 유기실리콘 화합물과 산화 가스 외에 챔버에 도입될 수 있다. 사용될 수 있는 캐리어 가스는 불활성 가스, 예컨대, 아르곤, 헬륨, 및 이들의 조합물을 포함한다.
도 3은 본 발명의 구체예에 따라 층을 증착시키는 화학기상증착(CVD) 챔버(300)의 개략적인 단면도이다. 그러한 챔버의 예는 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 구입 가능한 프로듀서® 시스템(PRODUCER® system)상의 듀얼(dual) 또는 트윈(twin) 챔버이다. 트윈 챔버는 두 개의 분리된 공정 영역(가공 영역당 하나의 기판씩, 두 개의 기판을 가공하기 위함)을 지녀서, 각 영역에서의 유속은 전체 챔버내로의 유속의 약 1/2이다. 하기 실시예 및 명세서 전반에 걸쳐서 기재된 유속은 300mm 기판당 유속이다. 두 개의 분리된 가공 영역을 지니는 챔버는 또한 미국특허 제5,855,681호에 기재되어 있으며, 본원에서는 상기 특허를 참조로 통합한다. 사용될 수 있는 챔버의 또 다른 예는 센투라® 시스템(CENTURA® system)상의 DxZ® 챔버이며, 이들 둘 모두는 어플라이드 머티어리얼스, 인코포레이티드로부터 구입할 수 있다.
CVD 챔버(300)은 분리된 가공영역(318,320)을 한정하는 챔버 보디(302)를 지니고 있다. 각각의 가공 영역(318,320)은 CVD 챔버(300)내의 기판(도시되지 않음)을 지지하는 받침대(328)를 지니고 있다. 각각의 받침대(328)는 전형적으로는 가열 엘리먼트(도시되지 않음)를 포함한다. 바람직하게는, 각각의 받침대(328)는 지주봉(stem: 326)에 의해서 가공 영역(318, 320)중 하나에 이동 가능하게 배치되며, 상기 지주봉은 챔버 보디(302)의 바닥을 통해서 연장되어 있으며, 이는 구동 시스템(303)에 연결되어 있다.
가공 영역(318, 320)의 각각은 또한 바람직하게는 챔버 리드(304)를 통해서 배치된 가스 분배 어셈블리(308)을 포함하여 가스를 가공 영역(318,320)내로 전달한다. 각각의 가공 영역의 가스 분배 어셈블리(308)는 정상적으로는 가스 흐름 제어기(319)로부터의 가스를 샤워헤드 어셈블리(showerhead assembly)로도 알려져 있는 가스 분배 매니폴드(342)내로 전달하는 가스 유입 통로(340)를 포함한다. 가스 흐름 제어기(319)는 전형적으로는 챔버내로의 상이한 공정 가스의 유속을 제어 및 조절하는데 사용된다. 그 밖의 흐름 제어 부품은 액체 전구체가 사용되는 경우의 액체 흐름 주입 밸브 및 액체 흐름 제어기(도시되지 않음)를 포함한다. 가스 분배 매니폴드(342)는 환형의 기저판(348), 면판(346), 및 기저판(348)과 면판(346) 사이의 차단판(blocker plate: 344)을 포함한다. 가스 분배 매니폴드(342)는 다수의 노즐(도시되지 않음)을 포함하고, 이러한 노즐을 통해서 가스 혼합물이 가공동안 주입된다. RF(라디오 주파수) 공급원(325)은 바이아스 전위(bias potential)를 가스 분배 매니폴드(342)에 제공하여 샤워헤드 어셈블리와 받침대(328) 사이의 플라즈마의 발생을 촉진한다. 플라스마-강화된 화학기상증착 공정 동안에, 받침대(328)는 챔버 보디(302)내에 RF 바이아스를 생성시키는 캐소드(cathode)로서 작용할 수 있다. 캐소드는 전극 파워 서플라이에 전기적으로 연결되어 CVD 챔버(300)에 용량성 전기장(capacitive electric field)을 생성시킨다. 전형적으로는, RF 전압이 캐소드에 적용되면서, 챔버 보디(302)가 전기적으로 접지된다. 받침대(228)에 적용된 파워는 기판의 상부 표면상에 네거티브 전압의 형태로 기판 바이아스를 생성시킨다. 이러한 네거티브 전압은 CVD 챔버(300)에 형성된 플라즈마로부터 기판의 상부 표면으로 이온을 끌어당기는데 이용된다.
가공 동안에, 공정 가스는 기판 표면을 가로질러서 방사상으로 균일하게 분배된다. 플라즈마는 RF 파워 서플라이(325)로부터의 RF 에너지를 파워 공급된 전극으로 작용하는 가스 분배 매니폴드(342)에 적용시킴으로써 하나 이상의 공정 가스 또는 가스 혼합물로부터 형성된다. 필름 증착은 기판이 플라즈마에 노출되고 반응성 가스가 그에 제공되는 경우에 수행된다. 챔버 벽(312)은 전형적으로는 접지된다. RF 파워 서플라이(325)는 단일의 또는 혼합된 주파수 RF 신호를 가스 분배 매니폴드(342)에 공급하여 가공 영역(318,320)내로 도입된 어떠한 가스의 분해를 향상시킬 수 있다.
시스템 제어기(334)는 다양한 부품, 예컨대, RF 파워 서플라이(325), 구동 시스템(303), 승강 기구(lift mechanism: 305), 가스 흐름 제어기(319) 및 그 밖의 관련된 챔버의 기능 및/또는 가공 기능(processing function)을 제어한다. 시스템 제어기(334)는 메모리(338)에 저장된 시스템 제어 소프트웨어를 실행시키며, 상기 메모리는, 바람직한 구체예에서, 하드디스크 드라이브이며, 아날로그 및 디지탈 입력/출력 보드, 인터페이스 보드, 및 스테퍼 모터 제어기 보드(stepper motor controller board)를 포함할 수 있다. 광학 및/또는 자성 센서는 일반적으로는 이동 가능한 기계적 어셈블리의 위치를 이동시키고 측정하는데 사용된다.
상기 CVD 시스템 설명은 주로 예시적인 목적의 설명이며, 그 밖의 플라즈마 가공 챔버가 또한 본 발명의 구체예를 실행하는데 사용될 수 있다.
300mm 기판상의 증착 동안에, 제어된 플라즈마가 전형적으로는, 도 3에 도시된 바와 같이, RF 파워 서플라이(325)를 사용함으로써 샤워헤드에 적용된 RF 에너지에 의해서 기판에 인접한 챔버에서 형성된다. 대안적으로, RF 파워가 기판 지지체에 제공될 수 있다. 플라즈마는 고주파수 RF(HFRF) 파워 뿐만 아니라, 저주파수 RF(LFRF) 파워(예, 이중 주파수 RF), 일정한 RF, 펄스식 RF, 또는 그 밖의 공지된 어떠한 RF 또는 플라즈마 발생 기술에서 발견되는 RF를 사용함으로써 생성될 수 있다. RF 파워 서플라이(325)는 약 5MHz 내지 약 300MHz의 단일 주파수 RF를 공급할 수 있다. 또한, RF 파워 서플라이(325)는 혼합된 주파수를 공급하도록 300Hz 내지 약 1,000kHz의 단일 주파수 LFRF를 공급하여 공정 챔버내로 도입된 공정 가스의 반응성 화학종의 분해를 향상시킬 수 있다. RF 파워는 사이클식이거나 펄스식이어서 기판의 가열을 감소시키고 증착된 필름내의 기공도를 더 크게 할 수 있다. 적합한 RF 파워는 약 10W 내지 약 5,000W 범위, 바람직하게는, 약 200W 내지 약 1000W 범위의 파워일 수 있다. 적합한 LFRF 파워는 약 0W 내지 약 5,000W 범위, 바람직하게는 약 0W 내지 약 200W 범위의 파워일 수 있다.
증착 동안에, 기판은 약 -20℃ 내지 약 500℃의 온도, 바람직하게는 약 100℃ 내지 약 450℃의 온도로 유지된다. 기판과 매니폴드 사이의 공간은 약 200밀(mil) 내지 약 1200밀일 수 있다. 증착 압력은 전형적으로는 약 1Torr 내지 약 20Torr, 바람직하게는 약 4Torr 내지 약 10Torr이다. 증착 속도는 전형적으로는 약 2,000Å/분 내지 약 20,000Å/분이다.
도 1A 및 도 2B에 도시된 바와 같이, 기판(202)상에 제 1의 낮은 유전상수 필름(204)을 증착시키는 공정은 발명의 명칭이 "전자 빔을 이용하여 초저-k 필름을 형성시키는 방법(Method for forming ultra low k films using electron beam)"인 정(Zheng) 등의 공동 양도된 미국특허 제7,060,330호에 기재되어 있으며, 본원에서는 상기 특허를 참조로 통합한다.
다시, 단계(101)에서, 제 1 유기실리콘 화합물은 약 50mg/분 내지 약 5,000mg/분의 범위, 바람직하게는 약 300mg/분 내지 약 3,000mg/분의 범위의 유속으로 챔버내로 도입될 수 있다. 하나 이상의 탄화수소는 약 10mg/분 내지 약 5,000mg/분의 범위, 바람직하게는, 약 1000mg/분 내지 약 4,000mg/분의 범위의 유속으로 챔버내로 도입될 수 있다. 산화 가스의 유속은 약 1000sccm 내지 약 2,000sccm의 범위로 첨가될 수 있다.
한 가지 구체예에서, 제 1 유기실리콘 화합물은 약 600mg/분 내지 약 800mg/분 유속의 디에톡시메틸실란(DEMS)을 포함할 수 있으며, 하나 이상의 탄화수소는 약 3000mg/분 내지 약 45,000mg/분 범위의 유속의 알파-테르피넨(ATP)을 포함할 수 있고, 산화 가스는 약 1000sccm 내지 약 2000sccm 범위의 유속의 이산화탄소를 포함할 수 있다.
또 다른 구체예에서, 제 1 유기실리콘 화합물은 약 600mg/분 내지 약 800mg/분 범위의 유속의 DEMS 및 약 200mg/분 유속의 옥타메틸시클로테트라실록산(OMCTS)을 포함할 수 있다.
또 다른 구체예에서, 제 1 유기실리콘 화합물은 약 600mg/분 내지 약 800mg/분 범위의 유속의 DEMS 및 약 500mg/분 내지 약 1000mg/분 범위의 유속의 트리메틸실란(TMS)을 포함할 수 있다.
또 다른 구체예에서, 제 1 유기실란 화합물은 600mg/분 내지 약 800mg/분 범위의 유속의 DEMS, 약 200mg/분의 유속의 OMCTS, 및 약 500mg/분 내지 약 1000mg/분 범위의 유속의 TMS를 포함할 수 있다.
또 다른 구체예에서, 제 1 산화 가스는, 이산화탄소 외에, 약 100sccm 내지 약 200sccm 범위로 흐르는 산소를 포함할 수 있다.
단계(107)를 다시 검토하여 보면, 단계(103)의 증착된 필름의 경화는 몇 가지 방법, 예컨대, 열적 또는 플라즈마 강화된 어닐링 방법, 전자빔 방법, 또는 자외선 경화 방법에 의해서 수행될 수 있다. 한 가지 구체예에서, 필름은 약 200℃ 내지 약 400℃의 온도에서 약 2초 내지 약 1시간(h) 동안, 바람직하게는 약 30분 동안 어닐링된다. 비-반응성 가스, 예컨대, 헬륨, 수소, 질소, 또는 이들의 혼합물은 100 내지 약 10,000sccm의 속도로 도입된다. 챔버 압력은 약 2Torr 내지 약 10Torr로 유지된다. 어닐링(annealing) 동안의 RF 파워는 약 13.56MHz의 주파수에서 약 200W 내지 약 1,000W이고, 바람직한 기판 공간은 약 300밀 내지 약 800밀이다. 필름이 증착된 후에 약 200℃ 내지 약 400℃의 기판 온도에서의 필름의 어닐링은 필름중의 유기기의 적어도 일부를 휘발시켜서, 필름중에 공극을 형성시킨다. 휘발될 수 있는 유기기는 본원에서 기재된 가스 혼합물의 유기 성분, 예컨대, 하나의 고리와 고리중의 하나 또는 두 개의 탄소-탄소 이중 결합을 포함하는 하나 이상의 무산소 탄화수소 화합물중의 고리로부터 유래된다.
또 다른 구체예에서, 필름은 전자빔 처리로 후처리된다. e-빔 처리는 전형적으로는 약 1 내지 20킬로전자 볼트(KeV)에서 평방미터당 약 50 내지 약 2000 마이크로 쿨롱((μc/cm2)의 조사선량을 지닌다. e-빔 처리는 전형적으로는 대체로 실온 내지 약 450℃의 온도에서 약 1분 내지 약 15분, 예컨대, 약 2분 동안 작동된다. 바람직하게는, e-빔 처리는 약 400℃에서 약 2분 동안 수행된다. 한 가지 특징으로, e-빔 처리 조건은 400℃에서의 4.5kV, 1.5mA 및 150μc/cm2를 포함한다. 어떠한 e-빔 장치가 사용될 수 있지만, 한 가지 예시적인 장치는 어플라이드 머티어리얼스, 인코포레이티드로부터 구입 가능한 EBK 챔버이다.
e-빔 경화 공정은 증착된 필름 네트워크의 기계적인 강도를 개선시키며, 또한 k-값을 저하시킨다. 에너지 가해진 e-빔은 증착된 필름의 분자 네트워크에서의 화학적 결합을 변경시키며 분자 기의 적어도 일부, 예컨대, 하나의 고리와 고리중의 하나 또는 두 개의 탄소-탄소 이중 결합을 포함하는 하나 이상의 무산소 탄화수소 화합물의 고리로부터의 유기 성분을 필름으로부터 제거한다. 분자 기의 제거는 필름내에 공극 또는 기공을 생성시켜서, k 값을 저하시킨다. e-빔 처리는 또한 FTIR 분광분석으로부터 추측되는 바와 같이 가교결합 Si-O-Si 또는 Si-C-Si 사슬에 의해서 필름 네트워크를 강화시킨다.
또 다른 구체예에서, 필름은 자외선 경화 공정에 의해서 경화된다. 자외선 경화공정에 의해서 경화된 낮은 유전상수 필름은 개선된 배리어층(barrier layer) 성질을 나타내며 감소된 및 최소의 레지스트 포이즈닝(resist poisoning)을 나타낸다. 자외선 경화 공정은 동일한 공정 챔버 또는 시스템내에서 동일반응계내 수행될 수 있는데, 예를 들어, 진공을 유지시키면서 한 챔버에서 다른 챔버로 전달될 수 있다.
기판은 증착 챔버를 포함할 수 있는 챔버내로 도입되며, 낮은 유전상수 필름은 약 0.01밀리와트/cm2 내지 약 1와트/cm2의 자외선 복사, 예를 들어, 약 0.1 밀리와트/cm2 내지 약 10밀리와트/cm2에 노출된다. 자외선 복사는 자외선 파장의 범위를 포함할 수 있으며, 하나 이상의 동시 파장을 포함한다. 적합한 자외선 파장은 약 1nm 내지 약 400nm를 포함하고, 추가로 약 600 또는 780nm까지의 광학적 파장을 포함할 수 있다. 약 1nm 내지 약 400nm의 자외선 파장은 약 11.48(eV) 내지 약 3.5(eV)의 광자 에너지(전자볼트)를 제공할 수 있다. 바람직한 자외선 파장은 약 100nm 내지 약 350nm를 포함한다.
추가로, 자외선 복사는 다중 파장, 가변 파장 방출 및 가변 파워 방출, 또는 요구된 다수의 파장들 사이의 변조로 적용될 수 있으며, 단일의 UV 램프로부터 방출되거나 자외선 램프의 어레이(array)로부터 적용될 수 있다. 적합한 UV 램프의 예는 약 172 nm 파장의 자외선 복사선을 방출하는 Xe 충전된 제리덱스™ UV(Zeridex™ UV) 램프 또는 유시오 엑시머 UV 램프(Ushio Excimer UV lamp), 또는 파에서 자외선 복사선을 방출하는 Hg 아크 램프(Hg Arc Lamp)를 포함한다. 증착된 실리콘 카바이드층은 자외선 복사선에 약 10초 내지 약 600초 동안 노출된다.
공정 동안에, 공정 챔버의 온도는 약 0℃ 내지 약 450℃, 예를 들어, 약 20℃ 내지 약 400℃, 예를 들어, 약 25℃ 및 진공, 예를 들어, 약 1mTorr 미만에서 대체로 대기압, 즉, 760Torr에 이르기까지의 챔버 압력, 예를 들어, 약 100Torr에서 유지될 수 있다. 자외선 복사선의 공급원은 기판 표면으로부터 약 100밀 내지 약 600밀일 수 있다. 임의로, 공정 가스가 자외선 경화 공정동안에 도입될 수 있다. 적합한 공정 가스는 산소(O2), 질소(N2), 수소(H2), 헬륨(He), 아르곤(Ar), 수증기(H2O), 일산화탄소, 이산화탄소, 탄화수소 가스, 플루오로카본(fluorocarbon) 가스, 및 불소화된 탄화수소 가스, 또는 이들의 조합물을 포함할 수 있다. 탄화수소 화합물은 화학식 CxHy, CxFy, CxFyHz 또는 이들의 조합물을 지닐 수 있으며, 여기서, x는 1 내지 6의 정수이고, y는 4 내지 14의 정수이고, z는 1 내지 3의 정수이다.
도 2A에 도시된 바와 같은 제 2의 낮은 유전상수 필름(206) 및 옥사이드 풍부 캡(208)을 증착시키는 공정은 2005년 6월 3일자 출원된 공동 양도된 미국특허출원 제11/145,432호에 기재되어 있으며, 본원에서의 상기 출원을 참조로 통합한다.
단계(109)를 다시 참조하면, 제 2 유기실리콘 화합물은 약 50sccm 내지 약 1000sccm, 예를 들어, 102sccm의 유속으로 챔버내로 도입될 수 있으며, 제 2의 낮은 유전상수 층의 증착동안 그 유속으로 유지될 수 있다. 제 2 산화 화합물은 약 50sccm 내지 약 2000sccm의 유속으로 챔버내로 도입될 수 있으며, 낮은 유전상수 층의 증착동안 그 유속으로 유지될 수 있다(단계(111)). 임의로, 캐리어 가스가 또한 단계(109) 및 단계(111) 동안 약 500sccm 내지 약 5000sccm의 유속으로 챔버내로 흐른다.
한 가지 구체예에서, 제 2 유기실리콘 화합물은 OMCTS일 수 있고, 제 2 산화 가스는 산소일 수 있으며, 캐리어는 헬륨일 수 있다.
제 2의 낮은 유전상수 필름은 저주파수 RF 파워(LFRF)를 포함하는 RF 파워의 존재하에 증착될 수 있다. RF 파워는 챔버내의 가스 분배 매니폴드 또는 샤워헤드에 가해지고, 여기서, 샤워헤드는 파워 입력된 전극으로서 작용한다. 대안적으로, 또는 추가적으로, RF 파워는 챔버내의 기판 지지체에 제공되면서, 그러한 기판 지지체가 챔버내의 캐소드로서 작용할 수 있다. 챔버에 대한 RF 파워는 사이클식이거나 펄스식이어서 기판의 가열을 감소시키고 증착된 필름내의 다공성을 더 크게 할 수 있다. 저주파수 RF (LFRF) 파워는 약 200kHz 내지 약 2MHz의 주파수를 지니며, 300mm 기판에 대해서 약 50W 내지 약 1000W의 파워 수준을 지닐 수 있다. RF 파워는 또한 약 500 kHz 및 약 13.56 MHz의 주파수를 지니는 고주파수 RF 파워를 포함할 수 있다. 고주파수 RF 파워의 파워 수준은 300mm 기판에 대해서 약 50W 내지 약 3000W일 수 있다.
제 2의 낮은 유전상수 필름이 증착된 후에, 챔버내의 RF 파워는, 임의 단계(113)에 도시된 바와 같이, 임의로 중단될 수 있다. 대안적으로, RF 파워는 낮은 유전상수 필름의 증착 후에 플라즈마 조건이 챔버에 유지되도록 챔버에 유지될 수 있다.
제 2의 낮은 유전상수 필름의 증착 후에, 챔버내로의 제 2 산화 가스의 유속은 증가되며, 챔버내로의 제 2 유기실리콘 화합물의 유속은 감소된다. 저주파수 RF 파워의 중단, 제 2 산화 가스의 유속 증가, 및 제 2 유기실리콘 화합물의 유속 감소가 연속적 단계(113), 단계(115), 단계(117)로 나타내고 있지만, 바람직하게는, 저주파수 RF 파워의 중단, 제 2 산화 가스의 유속 증가, 및 제 2 유기실리콘 화합물의 유속 감소는 동시에 수행되거나 실질적으로 동시에 수행된다. 그러나, 다른 순서의 단계(113), 단계(115), 단계(117)가 이용될 수 있다.
제 2 산화 가스의 유속은 낮은 유전상수 필름의 증착 동안의 약 50sccm 내지 약 2000sccm의 유속으로부터 약 400sccm 내지 약 5000sccm의 유속으로 증가될 수 있다. 제 2 유기실리콘 화합물의 유속은 제 2의 낮은 유전상수 필름의 증착 동안의 약 50sccm 내지 약 1000sccm의 유속으로부터 약 5sccm 내지 약 100sccm의 유속으로 감소될 수 있다.
한 가지 구체예에서, 제 2 산화 가스 유속 및 제 2 유기실리콘 화합물 유속은 제 2 유기실리콘 화합물 대 제 2 산화 가스 유속 비가 제 2의 낮은 유전상수 필름의 증착 동안의 약 1:1 내지 약 3:1로부터 약 1:75 내지 약 1:10으로 변화되어서, 옥사이드 풍부 캡이 약 1:75 내지 약 1:10의 제 2 유기실리콘 화합물 대 제 2 산화 가스 유속비에서 증착되게 한다.
캐리어 가스의 유속은 제 2의 낮은 유전상수 필름의 증착 동안의 약 500sccm 내지 약 5000sccm의 유속으로부터 제 2의 낮은 유전상수 필름의 증착 후의 약 1000sccm 내지 약 10000sccm의 유속으로 증가할 수 있다.
제 2 산화 가스, 제 2 유기실리콘 화합물 및 임의의 캐리어 가스의 유속이 변화된 후에, 제 2 산화 가스, 제 2 유기실리콘 화합물, 및 임의의 캐리어 가스의 유속은 단계(111)에 도시된 바와 같이 제 2의 낮은 유전상수 필름상에 옥사이드 풍부 캡을 증착시키기에 충분한 시간 동안 유지된다. 그러한 시간은 약 2초 내지 약 200초일 수 있다. 옥사이드 풍부 캡은 약 10nm 내지 약 300nm의 두께를 지닐 수 있다. 바람직하게는, 기판 온도, 챔버 압력, 기판과 매니폴드 사이의 공간, 및 고주파수 RF 파워는 옥사이드 풍부 캡의 증착 동안 제 2의 낮은 유전상수 필름의 증착 동안 사용된 수준으로 유지된다.
본원에 기재된 본 발명의 구체예는 위에 이중 층화된 캡을 지니는 제 1의 낮은 유전상수 필름을 증착시키는 방법을 제공하고 있다. 이중 층화된 캡은 옥사이드 풍부 층을 지니는 제 2의 낮은 유전상수 필름을 지닌다. 제 2 유기실리콘 화합물과 제 2 산화 가스를 포함하는 혼합물로부터의 제 2의 낮은 유전상수 필름을 증착시키고, 제 2 산화 가스의 유속을 증가시키며, 제 2 유기실리콘 화합물의 유속을 감소시킴으로써, 제 2의 낮은 유전상수 필름보다 낮은 탄소 함량을 지니는 옥사이드 풍부 캡이 제공된다. 이중 층화된 캡은 후속 공단 단계, 예컨대, 화학적 기계적 폴리싱(chemical mechanical polishing (CMP)) 동안에 하부에 있는 낮은 유전상수 필름에 대한 보호 또는 희생층으로 작용할 수 있다. CMP 공정은 이중층중의 옥사이드 층을 제거하고, 밑에 있는 제 1의 낮은 유전상수 필름에 대한 손상 없이 제 2의 낮은 유전상수 층을 부분적으로 제거하고 평탄화시킬 수 있으며, 생성되는 두 층의 낮은 유전상수 층들의 유전값이 현저하게 증가되지 않을 수 있는데, 그 이유는 단지 단일의 옥사이드 층이 캡핑층으로 사용되는 경우에 반해서 잔류되는 캡핑 필름이 낮은 유전상수 필름이기 때문이다. 이중층 캡은 또한 레지스트 포이즈닝을 제거하며, 애쉬 보호층(ash protest layer)으로 작용하여 애쉬 공정(ash process) 동안 제 1의 낮은 유전상수 필름에 대한 손상을 방지할 수 있다. 화학적 기계적 폴리싱은 통상의 CMP 기술에 의해서 수행될 수 있다.
상기된 설명은 본 발명의 구체예에 대한 설명이지만, 본 발명의 그 밖의 구체예 및 추가의 구체예가 본 발명의 기본적인 범위를 벗어나지 않으면서 유도될 수 있을 것이며, 그러한 본 발명의 범위는 첨부되는 청구의 범위에 의해서 결정된다.

Claims (20)

  1. 기판을 가공하는 방법으로서,
    기판에 제 1의 낮은 유전상수 필름을 증착시키기에 충분한 증착 조건에서 챔버내로 제 1 유기실리콘 화합물, 제 1 산화 가스, 및 하나 이상의 탄화수소 화합물을 포함하는 제 1 가스 혼합물을 전달하고,
    제 1의 낮은 유전상수 필름상에 제 2의 낮은 유전상수 필름을 증착시키기에 충분한 증착 조건에서 챔버내로 제 2 유기실리콘 화합물 및 제 2 산화 가스를 포함하는 제 2 가스 혼합물을 전달하며,
    챔버내로의 제 2 산화 가스의 유속을 증가시키고,
    챔버내로의 제 2 유기실리콘 화합물의 유속을 감소시키며,
    제 2의 낮은 유전상수 필름상에 옥사이드 풍부 캡을 증착시킴을 포함하여, 기판을 가공하는 방법.
  2. 제 1항에 있어서, 옥사이드 풍부 캡 및 제 2의 낮은 유전상수 필름을 평탄화시킴을 추가로 포함하는 방법.
  3. 제 1항에 있어서, 제 1 유기실리콘 화합물이 1,3,5-트리실라노-2,4,6-트리메틸렌, 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 1,3,5,7,9-펜타메틸시클로펜타실록산, 1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메 틸렌, 헥사메틸시클로트리실록산, 디에톡시메틸실란, 디메틸, 디메톡시실란, 디메톡시메틸비닐실란, 트리메틸실란, 이들의 유도체 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  4. 제 1항에 있어서, 제 1 유기실리콘 화합물이 디에톡시메틸실란인 방법.
  5. 제 1항에 있어서, 제 2 유기실리콘 화합물이 옥타메틸시클로테트라실록산 또는 트리메틸실란을 포함하는 방법.
  6. 제 1항에 있어서, 하나 이상의 탄화수소 화합물이 5 또는 6 개의 탄소원자의 하나 이상의 고리를 포함하는 방법.
  7. 제 1항에 있어서, 하나 이상의 탄화수소 화합물이 알파-테르피넨, 비닐시클로헥산, 노르보르나디엔, 페닐아세테이트, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  8. 제 4항에 있어서, 제 2 유기실리콘 화합물이 옥타메틸시클로테트라실록산이며 하나 이상의 탄화수소 화합물이 알파-테르피넨 또는 노르보르나디엔인 방법.
  9. 제 1항에 있어서, 제 1 산화 가스 및 제 2 산화 가스가 산소, 오존, 이산화 탄소, 일산화탄소, 물, 아산화질소 및 2,3-부탄디온으로 이루어진 군으로부터 선택되는 방법.
  10. 제 9항에 있어서, 제 2 산화 가스가 산소를 포함하는 방법.
  11. 제 1항에 있어서, 제 1의 낮은 유전상수 필름의 유전상수가 약 2.5 또는 그 미만이고, 제 2의 낮은 유전상수 필름의 유전상수가 약 2.5 내지 약 3.5 범위인 방법.
  12. 기판을 가공하는 방법으로서,
    제 1 유기실리콘 화합물, 산화 가스, 및 하나 이상의 시클릭 기를 지니는 하나 이상의 탄화수소 화합물을 포함하는 가스 혼합물을 챔버내로 전달하고,
    RF 파워의 존재하에 기판상에 제 1의 낮은 유전상수 필름을 증착시키며,
    제 1의 낮은 유전상수 필름이 증착된 후에 RF 파워를 중단하고,
    제 1의 낮은 유전상수 필름을 경화시키며
    제 2 유기실리콘 화합물 및 제 2 산화 가스를 포함하는 가스 혼합물을 챔버내로 전달하고,
    RF 파워의 존재하에 제 1의 낮은 유전상수 필름상에 제 2의 낮은 유전상수 필름을 증착시키며,
    제 2의 낮은 유전상수 필름이 증착된 후에 RF 파워를 중단하고,
    챔버내로의 제 2 산화 가스의 유속을 증가시키며,
    챔버내로의 제 2 유기실리콘 화합물의 유속을 감소시키고,
    제 2의 낮은 유전상수 필름상에 옥사이드 풍부 캡을 증착시킴을 포함하는 방법.
  13. 제 12항에 있어서, 제 1의 낮은 유전상수 필름의 유전상수가 약 2.5 또는 그 미만이고, 제 2의 낮은 유전상수 필름의 유전상수가 약 2.5 내지 약 3.5 범위인 방법.
  14. 제 12항에 있어서, 옥사이드 풍부 캡 및 제 2의 낮은 유전상수 필름을 경화시키고 평탄화시킴을 추가로 포함하는 방법.
  15. 제 12항에 있어서, 제 1 유기실리콘 화합물이 1,3,5-트리실라노-2,4,6-트리메틸렌, 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 1,3,5,7,9-펜타메틸시클로펜타실록산, 1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 헥사메틸시클로트리실록산, 디에톡시메틸실란, 디메틸, 디메톡시실란, 디메톡시메틸비닐실란, 트리메틸실란, 이들의 유도체 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  16. 제 12항에 있어서, 제 1 유기실리콘 화합물이 디에톡시메틸실란인 방법.
  17. 제 12항에 있어서, 제 2 유기실리콘 화합물이 옥타메틸시클로테트라실록산 또는 트리메틸실란을 포함하는 방법.
  18. 제 12항에 있어서, 하나 이상의 시클릭 기를 지니는 하나 이상의 탄화수소 화합물이 알파-테르피넨, 비닐시클로헥산, 노르보르나디엔, 페닐아세테이트, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  19. 제 16항에 있어서, 제 2 유기실리콘 화합물이 옥타메틸시클로테트라실록산이며 하나 이상의 탄화수소 화합물이 알파-테르피넨 또는 노르보르나디엔인 방법.
  20. 제 12항에 있어서, 제 1 산화 가스 및 제 2 산화 가스가 산소, 오존, 이산화탄소, 일산화탄소, 물, 아산화질소 및 2,3-부탄디온으로 이루어진 군으로부터 선택되는 방법.
KR1020097008038A 2006-09-20 2007-09-20 저―κ의 유전 필름의 이중층 캡핑 KR101376969B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/533,505 US7598183B2 (en) 2006-09-20 2006-09-20 Bi-layer capping of low-K dielectric films
US11/533,505 2006-09-20

Publications (2)

Publication Number Publication Date
KR20090069308A true KR20090069308A (ko) 2009-06-30
KR101376969B1 KR101376969B1 (ko) 2014-03-21

Family

ID=39189171

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097008038A KR101376969B1 (ko) 2006-09-20 2007-09-20 저―κ의 유전 필름의 이중층 캡핑

Country Status (4)

Country Link
US (2) US7598183B2 (ko)
JP (1) JP5544167B2 (ko)
KR (1) KR101376969B1 (ko)
WO (1) WO2008036810A2 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US20080188074A1 (en) * 2007-02-06 2008-08-07 I-I Chen Peeling-free porous capping material
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20120121823A1 (en) * 2010-11-12 2012-05-17 Applied Materials, Inc. Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
JP7211969B2 (ja) * 2017-04-27 2023-01-24 アプライド マテリアルズ インコーポレイテッド 3d nandに適用するための低誘電率酸化物および低抵抗のopスタック
CN110085512B (zh) * 2019-05-08 2021-08-03 上海华力集成电路制造有限公司 一种超低k介质层及其制备方法
CN116190209B (zh) * 2023-02-27 2024-03-22 粤芯半导体技术股份有限公司 低介电常数介质层及金属互连结构的制作方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906042A (en) * 1995-10-04 1999-05-25 Prolinx Labs Corporation Method and structure to interconnect traces of two conductive layers in a printed circuit board
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6597703B1 (en) * 1999-11-29 2003-07-22 Nortel Networks Limited System, device, and method for reducing multicast forwarding states in a multicast communication system
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6504379B1 (en) * 2000-11-16 2003-01-07 Fluke Networks, Inc. Cable assembly
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6597003B2 (en) * 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US20040150096A1 (en) 2003-02-03 2004-08-05 International Business Machines Corporation Capping coating for 3D integration applications
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040192058A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
US6893985B2 (en) * 2003-03-31 2005-05-17 Intel Corporation UV-activated dielectric layer
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7622399B2 (en) * 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
JP2005327836A (ja) * 2004-05-13 2005-11-24 Ulvac Japan Ltd 成膜方法
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7563727B2 (en) 2004-11-08 2009-07-21 Intel Corporation Low-k dielectric layer formed from aluminosilicate precursors
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers

Also Published As

Publication number Publication date
JP5544167B2 (ja) 2014-07-09
JP2010504648A (ja) 2010-02-12
US20080070421A1 (en) 2008-03-20
US7598183B2 (en) 2009-10-06
WO2008036810A2 (en) 2008-03-27
KR101376969B1 (ko) 2014-03-21
US20100022100A1 (en) 2010-01-28
WO2008036810A3 (en) 2008-06-12

Similar Documents

Publication Publication Date Title
KR101376969B1 (ko) 저―κ의 유전 필름의 이중층 캡핑
US7189658B2 (en) Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US20120156890A1 (en) In-situ low-k capping to improve integration damage resistance
US7297376B1 (en) Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7910897B2 (en) Process and apparatus for post deposition treatment of low dielectric materials
US7049249B2 (en) Method of improving stability in low k barrier layers
US7273823B2 (en) Situ oxide cap layer development
US8389376B2 (en) Air gap integration scheme
US20050277302A1 (en) Advanced low dielectric constant barrier layers
JP2014503991A (ja) 接着層の厚さを減少させ、薄い超低誘電率誘電体フィルムの耐損傷性を改良する方法
TWI388685B (zh) 藉由電漿加強化學氣相沈積製造具有高機械特性的特別低k膜層的新式矽前驅物
JP2005524983A (ja) 電子ビームによって低誘電率膜を硬化する方法
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
WO2007117320A2 (en) A method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
KR20100054797A (ko) 플라즈마 증진 화학 기상 증착에 의한 높은 기계적 성질을 지니는 초저­k 필름을 제조하기 위한 실리콘 전구체
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
KR20050004844A (ko) 전자 비임에 의한 저유전상수 필름의 경화 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee