KR20090007243A - 플라즈마 에칭용 고온 캐쏘오드 - Google Patents

플라즈마 에칭용 고온 캐쏘오드 Download PDF

Info

Publication number
KR20090007243A
KR20090007243A KR1020080068028A KR20080068028A KR20090007243A KR 20090007243 A KR20090007243 A KR 20090007243A KR 1020080068028 A KR1020080068028 A KR 1020080068028A KR 20080068028 A KR20080068028 A KR 20080068028A KR 20090007243 A KR20090007243 A KR 20090007243A
Authority
KR
South Korea
Prior art keywords
base
electrostatic chuck
gas
disposed
plasma treated
Prior art date
Application number
KR1020080068028A
Other languages
English (en)
Other versions
KR101110934B1 (ko
Inventor
보리스 에스. 옌들러
알렉산더 마트유쉬킨
데니스 엠. 쿠사우
글렌 이. 에가미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090007243A publication Critical patent/KR20090007243A/ko
Application granted granted Critical
Publication of KR101110934B1 publication Critical patent/KR101110934B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 일반적으로 고온 플라즈마 에칭 분야에 이용하기에 적절한 캐쏘오드에 관한 것이다. 일 실시예에서, 캐쏘오드는 베이스에 고정되는 세라믹 정전 척을 포함한다. 베이스는 내부에 냉각 도관이 형성된다. 강성 지지 링은 상기 척과 상기 베이스 사이에 배치되어, 척과 베이스를 공간 이격 관계로 유지한다.

Description

플라즈마 에칭용 고온 캐쏘오드 {HIGH TEMPERATURE CATHODE FOR PLASMA ETCHING}
본 발명의 실시예는 일반적으로 반도체 기판 처리 시스템에 관한 것이다. 더욱 상세하게는, 본 발명은 플라즈마 에칭에 적절한 고온 캐쏘오드에 관한 것이다.
반도체 웨이퍼 공정에서, 트렌드(trend)는 피쳐(feature)가 점점 작아지는 경향을 가지며 선폭(linewidth)은 더 큰 정밀도를 가지고 반도체 가공물(workpiece), 또는 웨이퍼 상에 재료를 마스킹(masking), 에칭 및 증착하기 위한 성능을 가지는 프리미엄(premium)이 배치된다. 플라즈마 에칭은 1.0 미크론 보다 작은 임계적 치수를 얻는데 특히 중요하다.
통상적으로, 플라즈마 에칭은 저압 환경에서 페데스탈에 의해 고정되는 기판 상에 공급되는 작업 가스로 RF 전력을 인가함으로써 수행된다. 결과적으로 전기장은 플라즈마 내에서 작업 가스를 여기시키는(excite) 반응 영역을 형성한다. 이온은 플라즈마의 경계부를 향하여 이동하여, 경계층을 떠날 때 가속된다. 가속된 이온은 일반적으로 기판 상에 증착된 재료의 층인, 타킷 재료(target material)를 제 거 또는 에칭하기 위해 요구되는 에너지를 생성한다.
일부 플라즈마 에칭 분야에서, 공정 동안 100℃를 초과, 및 약 400℃까지의 온도로 기판을 유지하는 것이 바람직하다. 기판 지지 설계에서 상당한 문제점은 이러한 고온에서 기판을 성공적으로 처리하기 위해 극복되어야 한다. 예를 들면, 세라믹과 금속 성분 사이에서 발생하는 열 팽창에서의 큰 차이에 의해 세라믹 성분에 손상을 일으킬 수 있다. 더욱이, 기판 지지부의 고온 영역은 일반적으로 내부 영역과 기판 지지부 사이의 누출을 방지하기 위해 이용되는 폴리머 밀봉부로부터 고립되어야 하며, 이는 통상적으로 실질적으로 대기 압력으로 유지되고, 진공 압력은 기판 지지부를 둘러싼다. 더욱이, 이 같은 문제점은 기판의 직경에 걸친 기판 온도 분포의 유용한 제어를 제공하면서 극복되어야 한다. 기판 온도 균일도를 제어하기 위한 능력은 단일 기판 내에서 및 기판, 장치 생산량과 처리된 기판의 전체 품질 사이 둘다에 공정 균일도에 대한 역 작용을 가진다.
따라서, 고온 플라즈마 에칭 분야에서 이용하기 위해 적절한 개선된 기판 지지부에 대한 기술분야에서 공지되었다.
본 발명은 일반적으로 고온 플라즈마 에칭 분야에서 이용하기에 적절한 캐쏘오드에 관한 것이다. 일 실시예에서, 캐쏘오드는 베이스에 고정되는 세라믹 정전 척을 포함한다. 베이스는 그 안에 냉각 도관이 형성된다. 강성 지지 링은 척과 베이스 사이에 배치되어 공간 이격된 관계로 척 및 베이스를 유지한다.
하나 이상의 다른 실시예에서, 캐쏘오드는 베이스와 척 사이에 배치되는 가스 분배 링을 포함한다.
하나 이상의 다른 실시예에서, 캐쏘오드는 베이스를 통하여 형성되는 가스 통로 및 척을 통하여 형성되는 가스 공급부를 더 포함한다. 통로 및 공급부는 정렬되지 않지만 가스 분배 통로를 형성하도록 가스 분배 링을 통하여 유체적으로 결합된다.
하나 이상의 다른 실시예에서, 세라믹 베플 디스크가 가스 분배 통로에 배치된다.
하나 이상의 다른 실시예에서, 척과 베이스 사이에 형성되는 갭에 배치되는 고리형 스프레더 플레이트를 더 포함하며, 고리형 스프레더 플레이트는 베이스와 접촉하지만 척과는 접촉하지 않는다.
하나 이상의 다른 실시예에서, 클램프 링은 척을 베이스에 고정하기 위해 이용된다. 클램프 링은 척과 접촉하는 클램프 부분과 베이스 사이에 일렬로 배치되는 두 개 이상의 열 쵸크를 포함한다.
하나 이상의 다른 실시예에서, 캐쏘오드는 스템 및 슬리이브를 더 포함한다. 스템은 척에 결합되고 베이스를 통하여 연장한다. 슬리이브는 스템을 통하여 형성되어 스템과 베이스 사이에 형성된 제 1 갭이 스템과 슬리이브 사이에 형성된 제 2 갭 보다 더 크도록 한다. 밀봉부는 제 1 갭을 밀봉하기 위하여 베이스와 스템의 하단부 사이에 배치된다.
하나 이상의 다른 실시예에서, 베이스는 스템을 척에 결합되고 베이스를 통하여 연장하는 채널을 더 포함한다. 채널은 베이스를 통하여 제 1 갭을 벤팅한다.
또 다른 실시예에서, 플라즈마 처리 캐쏘오드는 베이스, 세라믹 정전 척 및 정전 척 및 베이스를 공간 이격 관계로 유지하는 강성 지지 링을 포함한다. 정전 척은 정전 척의 바닥면으로부터 정전 척의 상부면으로 연장하는 다수의 가스 공급부를 가진다. 유체 분배 링은 베이스와 정전 척 사이에 배치된다. 유체 분배 링은 그 사이에 고리형 채널을 형성하기 위하여 베이스로부터 이격된다. 유체 분배 링은 채널로부터 정전 척으로 유체 분배 링을 통하여 가스를 지향시키도록 구성되는 다수의 가스 통로를 포함한다. 다수의 세라믹 배플은 가스 통로에 배치된다.
또 다른 실시예에서, 플라즈마 처리 캐쏘오드는 그 안에 냉각 도관이 형성된 베이스, 베이스의 상부면에 고정되는 세라믹 정전 척, 및 정전 척과 베이스 사이에 배치되는 강성 지지 링을 포함한다. 지지 링은 베이스의 상부면으로부터 이격하여 정전 척의 하부면을 유지한다. 평평한 고리형 스프레더 플레이트(spreader plate)는 정전 척의 하부면과 베이스의 상부면 사이에 형성되는 갭 내의 지지 링의 반지름방향 내측으로 배치된다. 밀봉부는 스프레더 플레이트의 외측 위치에 베이스에 정전 척을 밀봉하기 위하여 제공되며, 밀봉부는 플레이트에 대한 정전 척의 반지름 방향 이동을 밀봉적으로 허용한다.
본 발명의 상술된 특징이 상세하게 이해될 수 있는 방식으로, 위에서 간단히 요약된 본 발명이 실시예를 참조하여 더욱 특별하고 상세하게 설명되고, 상기 실시예들 중 일부는 첨부된 도면에 도시된다. 그러나, 첨부된 도면은 단지 본 발명의 통상적인 실시예를 설명하고 따라서 본 발명의 범위를 제한하는 것으로 고려되지 않으며 본 발명에 대한 다른 균등한 효과의 실시예를 인정할 수 있다.
이해를 용이하게 하도록, 동일한 도면부호가 이용되며, 여기서 가능하게는 동일한 도면부호가 도면에 공통하는 동일한 구성을 표시한다. 그러나, 첨부된 도면은 본 발명의 통상적인 실시예만이 도시되며 따라서 본 발명의 범위를 제한하는 것으로 고려되지 않으며 본 발명에 대해 다른 균등한 효과의 실시예를 인정할 수 있다.
도 1은 플라즈마 에칭에 적절한 고온 캐쏘오드(100)의 일 실시예의 단면도이다. 캐쏘오드(100)는 다른 제조자로부터 입수가능한 적절한 반응기를 포함하는, 다른 에칭 반응기 중에서, 미국 샌프란시스코의 산타 클라라의 어플라이드 머티어리얼스, 아이엔씨.로부터 입수가능한 애드밴트에지(AdvantEdge)TM 에칭 반응기와 같은 플라즈마 에칭 반응기에서 이용하는 것이 유용할 수 있다.
도 1은 캐쏘오드(100)의 일 실시예이다. 캐쏘오드(100)는 일반적으로 냉각 베이스(102)에 고정되는 정전 척(104)을 포함한다. 스템(106)은 정전 척(104)의 바닥으로부터 연장한다. 스템(106)은 브레이징(braising) 또는 다른 적절한 방법에 의해 정전 척(104)에 결합될 수 있다. 스템(106)은 일반적으로 스테인레스 강과 같은 전도성 재료로 제조된다.
정전 척(104)은 공간 이격된 관계로 냉각 베이스(102) 위에 지지된다. 도 1에 도시된 실시예에서 지지 링(110)은 냉각 베이스(102)와 정전 척(104) 사이에 제공되어, 갭(118)이 냉각 베이스(102)의 상부면과 정전 척(104)의 하부 사이에 유지되도록 한다. 갭(118)은 정전 척(104)과 냉각 베이스(102) 사이로의 열 전달을 제한한다. 일 실시예에서, 정전 척(104)과 냉각 베이스(102) 사이의 갭(118)에 걸친 거리는 약 0.025 내지 약 0.045 인치이다.
정전 척(104)과 냉각 베이스(102) 사이의 열 전달을 더 최소화하기 위해, 지지 링(110)은 다른 재료들 중에서 티타늄과 같은 베이스에 대한 낮은 열 전도도 계수를 가지는 재료로 제조될 수 있다. 다른 실시예에서, 지지 링(110)은 하드 아노다이징 알루미늄(hard anodized aluminum), 고온 플라스틱 또는 다른 적절한 재료로 제조될 수 있다. 다른 실시예에서, 지지 링(110)은 강성 재료로 제조되어 갭(118)의 폭(across) 크기가 유지되면서 척(104)을 베이스(102)로 클램핑한다. 도 1에 도시된 실시예에서, 지지 링(110)은 플라스틱, 예를 들면 VESPEL(등록상표)과 같은 폴리이미드로 제조된다.
일 실시예에서, 지지 링(110)은 정전 척(104)의 바닥면의 15 퍼센트 보다 적게, 예를 들면 10 퍼센트와 접촉한다. 도 1에 도시된 실시예에서, 정전 척(104)과 접촉하는 지지 링(110)의 상부 또는 크라운형상부(crown)는 열 쵸크를 제공하기 위 하여 폭이 좁아진다. 이와 달리, 열 유동은 링(110)과 냉각 베이스(102)(" 역 크라운형상부(reverse crown) ") 사이에 접촉 영역을 감소시켜 지지 링(110)의 바닥으로의 열 전달을 차단함으로써 링(110)을 통하여 제한될 수 있다.
냉각 베이스(102)는 우수한 열 전달을 하는 재료, 예를 들면 스테인레스 스틸 또는 알루미늄과 같은 금속을 가지는 재료로 제조된다. 냉각 베이스(102)는 하나 또는 그 이상의 유체 도관(152)을 포함한다. 도관(152)은 유체 소스(fluid source)에 결합되어 냉각 베이스(102)의 온도가 선택적으로 가열 또는 냉각될 수 있다. 냉각 베이스의 예는 2004년 10월 7일에 출원된 미국 특허 출원 제 10/960,874호에 기재된 바와 같이 냉각 베이스의 온도를 조절하기 위하여 도관이 형성된다.
냉각 베이스(102)는 또한 냉각 베이스(102)의 하부면으로부터 연장하는 실린더(150)를 포함한다. 실린더(150)의 내경(154)은 갭(112)이 냉각 베이스(102)와 스템(106) 사이에 유지되도록 구성된다. 실린더(150)의 하단부는 오링(116)을 고정하는 글랜드(gland)를 수용하는, 내측으로 연장하는 립(156)을 포함한다. 오링(116)은 냉각 베이스(102)와 스템(106) 사이에 압력 배리어를 제공한다.
차폐부(108)는 스템(106)의 온도를 유지하기 위하여 이용되어 정전 척(104)으로부터 통과하는 열이 오링(116)을 손상시키지 않도록 한다. 차폐부(108)는 스템(106)으로부터의 열 전달의 양이 약 두 배만큼 증가한다. 차폐부(108)는 플랜지(162) 및 슬리이브(160)를 포함한다. 슬리이브(160)는 스템(106) 내부에 조립되어, 스템(106)으로부터의 열 전달이 슬리이브(160)로 우세하게 되도록 한다. 슬리이브(160)는 스템(106)으로 억지 끼워 맞춤부(close fit)를 가질 수 있거나, 스템(106)과 냉각 베이스(102)의 내경(154) 사이에 형성된 갭(112) 보다 작은 갭이 그 사이에 형성된다. 차폐부(108)는 정전 척(104)이 밀봉부(116)를 손상시키지 않고 300℃를 초과하는 온도로 작동되도록 하기에 충분한 열 싱크를 제공한다.
스템(106)으로부터의 열 제거는 복사 및 전도에 의해 실행될 수 있다. 세라믹 척 재료 내의 고온 응력에 의한 정전 척(104)에 대한 손상을 방지하기 위하여 열 제거가 제한되어야 한다. 잠재적으로, 스템(106)과 냉각 베이스(102) 사이의 갭(112)이 밀봉 누출에 의해 기판을 냉각시키기 위해 공급되는 헬륨으로 충전될 수 있다. 갭(112) 내의 헬륨의 압력은 공정 사이클 동안 상당히 변화하게 되어, 부가적인 반복 열 응력 및 스템(106)의 파손을 초래할 수 있다. 갭(112) 내로 누출되는 헬륨을 비우고 스템(106)으로부터 냉각 베이스(102)로 예상치않은 열 전달을 방지하기 위하여, 갭(112)이 작은 채널(192)에 의해 챔버로 연결될 수 있어, 캐쏘오드가 설치되는 챔버 내로 갭(112) 내에 존재할 수 있는 소정의 헬륨을 비우게 한다(dumping). 채널(192)은 소성 세라믹 플러그(194)를 포함할 수 있어 채널(192) 내의 아킹(arcing)을 방지하도록 한다. 비록 채널(192)은 실린더(150)를 통하여 도시되어 있지만, 채널(192)은 다른 위치, 예를 들면 도관(152) 위의 베이스의 주요 부분을 통하여 형성될 수 있다.
정전 척(104)은 통상적으로 질화 알루미늄 또는 다른 적절한 재료로 제조될 수 있다. 정전 척(104)은 저항성 히터(122) 및 하나 이상의 척킹 전극(120)을 포함한다. 일 실시예에서, 히터(122)는 정전 척(104)의 중간에 배치되고, 척 전극(120)이 히터(122)와 상부면(130) 사이에 배치된다. 척킹 전극(chucking electrode; 120)은 또한 RF 파워가 제공되어 에칭 동안 처리 챔버 내에 플라즈마를 유지한다. 척킹 전극(120) 및 히터(122)로의 전력은 일반적으로 전기 공급부(124, 126)를 통하여 제공되며, 전기 공급부는 스템(106) 및 차폐부(108)의 내부 중공부를 통하여 연장하여 도시되지 않은 전원으로 척킹 전극(120) 및 히터(122)의 결합을 용이하게 한다.
제 2 전극(128)은 척킹 전극(120) 아래 배치될 수 있다. 제 2 전극(128)의 외부 에지는 척킹 전극(120)의 외부 에지를 넘어 연장한다. 공정 동안 기판의 에지에서 플라즈마에 의한 척으로의 손상을 방지하기 위하여, 정전 척(104)의 상부면(130)이 척의 상부에 고정된 기판보다 작기 때문에, 기판의 에지에서의 전기장이 뒤틀릴 수 있어 소위 " 경사형(tilted) " 에치 프로파일을 제공할 수 있다. 제 2 전극(128)은 척킹 전극(120)으로서 메인 RF 단자로 연결되어 에칭 공정 동안 일반적으로 동일한 전위가 제공된다. 제 2 전극(128)은 또한 정전 척(104)의 상부면(130) 아래 및 외측으로 릿지(ledge; 190)에 지지되는 프로세스 키트(예를 들면, 프로세스 링) 상에 증착되는 재료를 방지 및/또는 제거하기 위하여 이용될 수 있다.
정전 척(104)의 상부면(130)은 일반적으로 그루브 네트워크(134)에 의해 분리되는 다수의 메사(mesa; 132)를 포함한다. 메사는 범프(bump), 돌출부(projection), 부조세공부(embossment), 직물(texture) 등과 같은 표면 피쳐(feature; 144)를 포함할 수 있으며, 이는 표면(130)의 척킹 특성과 열 전달을 맞추기 위해(tailor) 이용된다. 헬륨 또는 다른 적절한 열 전달 가스가 정전 척(104)을 통하여 형성된 내부 가스 공급부(140)를 통하여 그루브 네트워크(134)에 제공된다.
정전 척(104)의 상부면(130)은 부가적으로 외부 주변 채널(136)을 포함하며, 외부 주변 채널(136)은 고리형 릿지(138)에 의해 그루브 네트워크(134)로부터 분리된다. 헬륨 또는 다른 적절한 열 전달 가스는 외부 가스 공급부(140)에 의해 외부 주변 채널(136)로 제공되어 그루브 네트워크(134)로 전달되는 가스 및 외부 주변 채널(136)이 독립적으로 제어되도록 한다. 선택적으로, 하나 또는 그 이상의 가스 공급부(140, 142)는 외부 주변 채널(136) 및 그루브 네트워크(134) 내의 원하는 가스의 분포를 제공하도록 한다. 도 1에 도시된 실시예에서, 하나의 내부 가스 공급부(142) 및 12개의 동일한 간격으로 이격된 외부 가스 공급부(140)가 정전 척(104)을 통하여 형성된다. 비록 단지 한 개가 도시되었지만, 다수의 리프트 핀 홀(146)은 냉각 베이스(102) 및 정전 척(104)을 통하여 형성된다.
선택적으로, 제 2 고리형 외부 채널(도시안됨)은 외부 주변 채널(136)에 인접하게 배치될 수 있다. 제 2 고리형 외부 채널은 오염물을 수집하고 척 표면의 나머지의 오염물을 감소시키기 위하여 이용될 수 있어, 척킹 성능을 증가시킨다.
도 2는 캐쏘오드(100)의 클램프 링(114)의 일 실시예의 부분 단면도이다. 클램프 링(114)은 아노다이징 알루미늄(anodized aluminum), 티타늄, 또는 다른 적절한 재료와 같은 강성 재료로 제조된다. 클램프 링(114)의 재료는 저 열전도도를 가지도록 선택될 수 있어 정전 척(104)과 베이스(102) 사이의 열 전달이 최소화된 다. 클램프 링(114)은 일반적으로 고리형 바디(202)를 포함하며 이 고리형 바디는 고리형 바디로부터 내측으로 연장하는 플랜지(204)를 가진다. 플랜지(204)의 말단부는 하방으로 연장하는 립(206)을 포함한다. 플랜지(204) 및 립(206)은 립(206)이 클램핑될 때 정전 척(104)의 레지(190) 아래로 반지름방향 외측으로 연장하는 장착 플랜지(212)와 접촉한다.
일 실시예에서, 클램프 링(114)은 정전 척(104)과 클램프 링(114) 사이의 열 전달을 최소화하도록 구성될 수 있어, 척(104) 내의 측방향 온도 구배의 형성을 방지 또는 최소화된다. 일 실시예에서, 립(206)은 클램프 링(114)과 정전 척(104) 사이의 접촉을 최소화하도록 플랜지(204)의 원주변 주위를 분할할 수 있다. 또 다른 실시예에서, 플랜지(204)는 립(206)과 바디(202) 사이의 열 쵸크를 형성하도록 감소된 단면 영역을 포함할 수 있어, 그 사이의 열을 제한한다. 또 다른 실시예에서, 단열 링(210)은 립(206)과 장착 플랜지(212) 사이에 제공될 수 있다. 단열 링(210)은 정전 척(104)과 링(114) 둘다 또는 이들 중 하나 보다 적은 열 전도도 계수를 가지는 재료로 제조될 수 있다.
바디(202)는 패스너(222)를 수용하도록 구성된 나사형성 홀(216)을 포함한다. 패스너(222)는 냉각 베이스(102)를 통하여 형성된 클리어런스 홀(clearance hole; 218)을 통하여 연장한다. 클리어런스 홀(218)은 고정되는 동안 클램프 링(114)과 냉각 베이스(102) 사이의 열 팽창에서의 차이를 수용하기에 충분한 직경을 가진다. 하나 또는 그 이상의 와셔(220)는 패스너(222)의 머리가 클리어런스 홀(218) 내에서 연장하거나 감기는 것을 방지하기 위하여 연장된다. 카운터 보어(counter bore; 226)는 패스너(222)로의 접근을 용이하게 하도록 냉각 베이스(202)를 통하여 제공된다. 패스너(222) 및 와셔(220)는 적절한 재료로 제조될 수 있고, 일 실시예에서 해스텔로이(HASTELLOY)(등록상표)와 같은 니켈 함금으로 제조된다. 일 실시예에서, 스프링(도시안됨)은 정전 척(104)에 대해 클램프 링(114)이 편향되도록 냉각 베이스(102)와 패스너(222)의 머리 사이에 배치될 수 있다.
바디(202)는 하부면으로부터 연장하는 리지(208)를 더 포함할 수 있다. 리지(208)는 냉각 베이스(102)의 상부면(214)에 대해 이격된 관계로 바디(202)를 유지한다. 리지(208)는 바디(202)와 냉각 베이스(102) 사이의 열 쵸크를 제공하여, 클램프 링(114)을 통하여 정전 척(104)의 주변으로부터 냉각 베이스(102)로의 열 전달이 최소화된다. 선택적으로, 리지(208)는 바디(202)와 냉각 베이스(102) 사이의 열 전달을 추가로 제한하도록 불연속 부분으로 분할될 수 있다.
또한 도 2에 도시된 것은 가스 분배 링(230)이다. 가스 분배 링은 외부 가스 공급부(140)로 가스를 제공하도록 구성된다. 가스 분배 링(230)은 냉각 베이스(102)의 상부면(214)에 형성된 계단형 리세스(232)에 배치된다. 다수의 패스너(234)는 가스 분배 링(230)을 고정하기 위하여 냉각 베이스(102)에 형성된 나사형성 홀(236)과 결합하도록 배치된다. 다수의 내부 및 외부 링 밀봉부(238)가 베이스(102)의 계단형 리세스(232)와 베이스(102)와 가스 분배 링(230) 사이에 제공된다.
가스 분배 링(230)의 바닥(254)은 계단형 리세스(232)의 바닥(256)과 이격된 관계를 유지하여, 가스가 베이스(102)를 통하여 공급되는 고리형 채널(250)을 형성한다. 하나 또는 그 이상의 공급 홀(252)은 가스 분배 링(230)을 통하여 형성되어 채널 내의 가스가 가스 분배 링(230)을 통과하도록 한다.
가스 분배 링(230)은 또한 계단형 카운터 보어(stepped counter bore; 240)를 포함한다. 계단형 카운터 보어(240)의 상부는 배플 디스크(244)를 수용하도록 구성된다. 각각의 배플 디스크(244)는 배플 밀봉부(242)에 의해 한계를 형성하며 배플 밀봉부는 정전 척(104)의 하부면과 가스 분배 링(230) 사이에 밀봉부를 제공한다. 배플 밀봉부(242)는 외부 가스 공급부(140)의 한계를 밀봉가능하게 형성한다. 일 실시예에서, 밀봉부(238, 242)는 하나의 예가 칼레즈(KALREZ)(등록상표)인 퍼플루오로일래스토머와 같은, 고온 일래스토머로부터 제조된다. 일 실시예에서, 공급 홀(252)은 계단형 카운터 보어(240) 내로 브레이킹(breaking)되어 가스가 고리형 채널(250)로부터 가스 분배 링(230) 및 결과적으로 정전 척(104)의 외부 가스 공급부(140)를 통과하도록 한다.
도 3a 및 도 3b를 부가적으로 참조하면, 배플 디스크(244)는 캐쏘오드(100)의 그라운드형 표면의 시각 노출의 직접적 라인 및 공정 동안 정전 척(104)의 상부에 배치되는 전기적으로 하전된 기판을 방지하기 위하여 제공된다. 세라믹 재료와 같은 전기적으로 비전도성인 재료로 제조된 배플 디스크(244)는 캐쏘오드(100) 내에 전기 방전(예를 들면 아킹)을 방지한다. 일 실시예에서, 배플 디스크(244)는 알루미나(Al2O3)로 제조된다.
도 3a에 도시된 실시예에서, 정전 척(104)이 제거된 배플 디스크(244) 및 가스 분배 링(230)이 도시된다. 외부 가스 공급부(140)의 위치가 점선으로 도시되어 있다. 도 3b는 계단형 보어(240)의 하부 영역에 배치되는 패스너(234)의 머리가 도시되도록 배플 디스크(244)가 제거된 가스 분배 링(230)이 도시되어 있다. 도 3b에서 배플 디스크(244)가 제거되어, 가스 분배 링(230)을 통하여 형성된 가스 분배 홀(252)이 보여진다. 도 4에 도시된 바와 같이 가스 공급 홀(252)은 가스 소스(도시안됨)와 계단형 카운터 보어(240)가 결합되며, 냉각 베이스(102)를 통하여 통로(402)가 형성된다.
도 2 및 도 4에 도시된 바와 같이, 지지 링(110)은 가스 분배 링(230)의 주변에 형성된 레지(224) 상에 지지될 수 있다. 이와 달리, 지지 링(110)은 냉각 베이스(102) 또는 정전 척(104) 중 하나 이상에 형성된 그루브에 위치할 수 있다.
도 5는 배플 디스크(244)의 일 실시예를 도시한다. 도 5에 도시된 실시예에서, 배플 디스크(244)의 상부 및 하부면은 각각 횡단 채널(502, 504)을 포함하며, 이 횡단 채널은 배플 디스크(244) 주위의 가스 유동을 강화하도록 내부에 형성된다. 배플 디스크(244)는 또한 플레이트(244)의 주변에 형성된 노치(506)를 포함할 수 있어 배플 디스크(244)의 하부면으로부터 상부면으로의 유동을 더강화하도록 한다.
도 6은 내부 가스 공급부(142) 아래 이용되는 배플 디스크(244)를 도시하는 캐쏘오드(100)의 또 다른 부분 단면도이다. 배플 디스크(244)는 또한 배플 밀봉부(242)를 유지하기 위하여 이용되는 계단형 보어(602)에 지지된다. 배플 밀 봉부(242)는 내부 가스 공급부(142) 주위에 밀봉을 제공한다. 선택적으로, 여기서 설명되는 하나 또는 그 이상의 밀봉부가 도 7에 도시된 바와 같은 E-밀봉부(702)에 의해 대체될 수 있다. E-밀봉부(702)는 가요성 금속으로 제조될 수 있고 냉각 베이스(102)와 정전 척(104) 사이에서 압축될 때 고온 밀봉부를 제공하도록 구성된다. 일 실시예에서, E-밀봉부(702)는 Ni 도금 인코넬(INCONEL)(등록상표) 718 재료로 제조된다. E-밀봉부(702)는 영역의 진공 밀봉을 제공하면서 가열 및 냉각 동안 열 팽창 또는 수축에 의한 부분의 상대적인 이동을 허용한다.
도 6을 보면, 계단형 보어(602)의 하부 영역은 내부 가스 공급부(142)를 통하여 가스 소스(도시안됨)로 그루브 네트워크(134)를 결합하도록 이용되는 가스 통로(604)에 결합된다. 도 6에 도시된 바와 같이, 가스 통로(604) 및 내부 가스 공급부(142)는 상술된 바와 같이 조준선 정렬(line of sight alignment)을 방지하기 위해 오프셋된다. 또한, 배플 디스크(244)는 공급부(142)와 통로(604) 사이의 정렬을 추가로 차단하여 통로(604)로부터 공급부(142)를 통한 가스 유동의 역효과 없이 특별한 보호 수단을 제공하도록 한다.
도 8은 캐쏘오드(800)의 또 다른 실시예를 도시한다. 캐쏘오드(800)는 캐쏘오드(100)에 실질적으로 유사하고 냉각 베이스(102)의 리세스(804)에 배치되는 스프레더 플레이트(802)를 포함한다. E-밀봉부(702)로서 도시된, 고리형 밀봉부는 캐쏘오드(800) 내부의 부주의한 가스 누출에 의한 가스 압력 변화로부터 스프레더 플레이트(802)를 고립시키기 위해 스프레더 플레이트(802)의 각각의 측부에 제공될 수 있다. 이와 달리, E-밀봉부(702)는 냉각 베이스(102)와 정전 척(104) 사이의 열 전달을 조절하는데 도움이 되도록 갭(118)의 일 부분이 예를 들면 스프레더 플레이트(802)를 포함하는 영역이 헬륨과 같은 열 전달 가스로 선택적으로 잠겨지도록 할 수 있다.
일 실시예에서, 냉각 베이스(102)의 상부와 정전 척(104)의 바닥 사이의 갭(118)은 얇은(예를 들면, 0.020 내지 0.060 인치) 스프레더 플레이트(802)를 수용할 수 있도록 구성된다. 스프레더 플레이트(802)는 고 열 전도도 및 고 전기 저항성을 가진 튼튼한 재료(예를 들면, 질화 알루미늄, 산화 알루미늄, 등)로 제조된다. 스프레더 플레이트(802)는 유용하게는 후면 He 홀, 또는 리프트 핀-홀과 같은 베이스(102) 또는 정전 척(104) 내의 국부적 특징에 의해 발생될 뿐만 아니라 척 내에 배치되는 히터(122)에 의해 발생되는 소정의 열 비균일도, 냉매 채널 패턴에 대한 불완전성, 및 채널 내의 냉매 온도 변화를 "퍼트림"으로써 정전 척(104)으로부터 냉각 베이스(102)로 열 유동을 하게 한다. 스프레더 플레이트(802)는 또한 갭 내의 충전 가스에서의 전기적 방전에 의한 척과 냉각 베이스 사이의 갭 내의 제 2 플라즈마를 점화시키지 않고 정전 척(104)과 냉각 베이스(102) 사이에 더 큰 거리를 허용한다. 본 발명으로부터의 장점을 적용할 수 있는 스프레더 플레이트의 일 예는 2003년 5월 16일에 출원된 미국 특허 제 10/440,365호에 설명된다. 플라즈마 에칭 공정 챔버의 내부면은 미국 특허 출원 제 10/440,365호 및 10/960,874호에서 기재된 바와 같이, 재료를 포함하는 산화 이트륨으로 제조 및/또는 코팅될 수 있다. 이러한 산화 이트륨의 예는 차폐부, 공정 키트, 벽 라이너, 챔버 벽, 샤워헤드 및 가스 전달 노즐을 포함한다.
플라즈마 에칭 반응기 내의 작동에서, 정전 척(104) 내에 매립된 히터(122)에 의해 생성된 열 및 플라즈마로부터 척(104)에 의해 얻은 열이 갭(118) 및 갭(112)을 통하여 냉각 베이스(102)로 배출된다. 하나 이상의 실시예에서, 베이스(102) 및 척(104)은 접촉하지 않으며 척(104)에 결합된 스템(106)은 단지 밀봉부(116)에 근접한 베이스(102)에 근접하게 되어, 척 및 스템 조립체가 필수적으로 베이스와 접촉하지 않도록 한다. 정전 척(104)의 표면을 냉각 베이스(102) 보다 상당히 높은 온도로 유지하기 위하여 일 실시예에서 헬륨으로 충전되는 갭(118)이 냉각 베이스로의 열 플럭스를 감소시킨다. 일부 실시예에서, 스프레더 플레이트(802)는 냉각 베이스(102)에 의한 비 최적화된 히터 파워 분배 및/또는 불균일한 냉각으로 인한 히터에 의해 형성된 온도 비 균일성을 감소시키기 위하여 이용된다. 스템(106)은 단자와 다른 부분들 사이 뿐만 아니라 단자들 사이의 아킹을 방지하도록 대기 압력 하에서 정전 척(104)의 단자를 유지하기 위하여 이용된다. 스템(106)은 스템(106)의 바닥에서의 온도를 오링(116)의 재료의 용융점 아래의 온도로 감소시키면서 스템(106)으로부터의 열 제거가 스템(106) 또는 척(104)으로의 손상을 방지하기에 적절한 비율로 발생하도록 하는 충분한 거리에서 오링(116)의 배치를 허용하기 충분할 정도로 길다.
따라서, 고온 플라즈마 에칭에 적절한 캐쏘오드의 실시예가 제공된다. 캐쏘오드는 고온으로의 노출 또는 열 응력에 의한 캐쏘오드 성분에 대한 손상을 방지하면서, 세라믹 정전 척이 약 20 내지 80℃의 범위로 유지되는 냉각 베이스와 관련하여 최고 450℃의 온도로 작동하도록 한다.
전술된 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가의 실시예가 본 발명의 기본 범위로부터 이탈하지 않고 고안될 수 있으며, 본 발명의 범위는 아래의 청구범위에 의해 결정된다.
도 1은 플라즈마 에칭 챔버에서 이용하기에 적절한 기판 지지 조립체의 일 실시예의 부분 사시도.
도 2는 가스 분배 링의 일 실시예를 설명하는 도 1의 기판 지지 조립체의 부분 단면도.
도 3a는 냉각 베이스 상에 배치되는 가스 분배 링의 일 부분의 부분 평면도로서, 가스 분배 링은 링을 통하여 형성되는 가스 인렛을 덮는 배플 디스크를 가지는, 도면.
도 3b는 도 3a의 또 다른 부분 단면도로서, 가스 인렛을 보여주기 위해 배플 디스크가 제거된, 도면.
도 4는 가스 분배 링을 통한 도 1의 기판 지지 조립체의 또 다른 부분 단면도.
도 5는 배플 디스크의 일 실시예의 사시도.
도 6은 내부 가스 공급부를 통하여 도 1의 기판 지지 조립체의 부분 단면도.
도 7은 냉각 베이스와 정전 척 사이에 E-밀봉부를 이용하는 기판 지지 조립체의 부분 단면도.
도 8은 플라즈마 에칭 챔버에 이용하기에 적절한 기판 지지 조립체의 또 다른 실시예의 부분 단면도.

Claims (15)

  1. 플라즈마 처리 캐쏘오드로서,
    냉각 도관이 형성되는 베이스,
    상기 베이스에 고정되는 세라믹 정전 척, 및
    상기 정전 척과 상기 베이스 사이에 배치되는 강성 지지 링을 포함하며,
    상기 지지 링은 상기 정전 척을 상기 베이스에 대해 공간 이격 관계로 유지하는,
    플라즈마 처리 캐쏘오드.
  2. 제 1 항에 있어서,
    상기 베이스와 상기 척 사이에 배치되는 가스 분배 링을 더 포함하는,
    플라즈마 처리 캐쏘오드.
  3. 제 2 항에 있어서,
    상기 베이스를 통하여 형성되는 가스 통로, 및
    상기 정전 척을 통하여 형성되는 가스 공급부를 포함하며,
    상기 통로 및 상기 공급부는 정렬되지 않지만 가스 전달 경로를 형성하도록 상기 가스 분배 링을 통하여 유체 결합되며, 상기 가스 전달 경로는 상기 가스 분배 링을 통하여 연장하는,
    플라즈마 처리 캐쏘오드.
  4. 제 3 항에 있어서,
    상기 가스 전달 경로에 배치되는 세라믹 배플 디스크를 더 포함하는,
    플라즈마 처리 캐쏘오드.
  5. 제 4 항에 있어서,
    상기 가스 분배 링은 내부에 상기 배플 디스크가 배치되는 보어를 더 포함하는,
    플라즈마 처리 캐쏘오드.
  6. 제 1 항에 있어서,
    상기 베이스를 통하여 형성되는 가스 통로,
    상기 정전 척을 통하여 형성되는 가스 공급부로서, 상기 통로 및 상기 공급부는 정렬되지 않지만 가스 전달 경로를 형성하도록 상기 가스 분배 링을 통하여 유체 결합되는, 가스 공급부, 및
    상기 가스 전달 경로에 배치되는 세라믹 배플 디스크를 더 포함하는,
    플라즈마 처리 캐쏘오드.
  7. 제 1 항에 있어서,
    상기 정전 척과 상기 베이스 사이에 형성되는 갭 내에 배치되는 평평한 고리형 스프레더 플레이트를 더 포함하며,
    상기 고리형 스프레더 플레이트는 상기 베이스와 접촉하고 상기 정전 척으로부터 공간 이격되는,
    플라즈마 처리 캐쏘오드.
  8. 제 1 항에 있어서,
    상기 정전 척과 상기 베이스를 고정하는 클램프 링을 더 포함하며,
    상기 클램프 링은 상기 정전 척과 상기 베이스와 접촉하는 상기 클램프 링의 부분들 사이에 일렬로 배치되는 두 개 이상의 열 쵸크를 포함하는,
    플라즈마 처리 캐쏘오드.
  9. 제 1 항에 있어서,
    상기 정전 척에 결합되고 상기 베이스를 통하여 연장하는 스템,
    상기 스템을 통하여 배치되는 슬리이브로서, 상기 스템과 상기 베이스 사이에 형성되는 제 1 갭이 상기 스템과 상기 슬리이브 사이에 형성되는 제 2 갭 보다 더 큰, 슬리이브, 및
    상기 베이스와 상기 스템의 하단부 사이에 배치되어 상기 제 1 갭을 밀봉하는 밀봉부를 더 포함하는,
    플라즈마 처리 캐쏘오드.
  10. 플라즈마 처리 캐쏘오드로서,
    냉각 도관이 형성되는 베이스,
    상기 베이스에 배치되는 세라믹 정전 척으로서, 상기 정전 척은 상기 베이스와 직면하는 상기 정전 척의 바닥면으로부터 상기 정전 척의 상부면으로 연장하는 다수의 가스 공급부를 가지는, 세라믹 정전 척,
    상기 정전 척과 상기 베이스 사이에 배치되는 강성 지지 링으로서, 상기 지지 링은 상기 정전 척의 바닥과 상기 베이스를 공간 이격 관계로 유지하는, 강성 지지 링,
    상기 베이스와 상기 정전 척 사이에 배치되는 유체 분배 링으로서, 상기 유체 분배 링의 바닥이 고리형 채널을 형성하도록 상기 베이스로부터 이격되고, 상기 유체 분배 링은 가스를 상기 유체 분배 링을 통하여 상기 채널로부터 상기 정전 척으로 지향시키도록 구성되는 다수의 가스 통로를 가지는, 유체 분배 링, 및
    상기 가스 통로에 배치되는 세라믹 배플을 포함하는,
    플라즈마 처리 캐쏘오드.
  11. 제 10 항에 있어서,
    상기 세라믹 배플들 중 하나 이상이,
    상부면, 하부면 및 주변부를 가지는 디스크형 바디, 및
    상기 상부 및 하부면에 형성된 하나 이상의 횡단 채널 및 상기 바디의 주변부에 형성된 노치를 더 포함하는,
    플라즈마 처리 캐쏘오드.
  12. 제 10 항에 있어서,
    상기 정전 척과 상기 베이스 사이에 형성되는 갭 안에 배치되는 고리형 스프레더 플레이트를 더 포함하며,
    상기 고리형 스프레더 플레이트는 상기 베이스와 접촉하여 상기 정전 척으로부터 이격되는,
    플라즈마 처리 캐쏘오드.
  13. 플라즈마 처리 캐쏘오드로서,
    내부에 냉각 도관이 형성되는 베이스,
    상기 베이스의 상부면에 고정되는 세라믹 정전 척,
    상기 정전 척과 상기 베이스 사이에 배치되는 강성 지지 링으로서, 상기 지지 링은 상기 베이스의 상부면으로부터 공간 이격되는 상기 정전 척의 하부면을 유지하는, 강성 지지 링,
    상기 정전 척의 하부면과 상기 베이스의 상부면 사이에 형성되는 갭 내에 상기 지지 링의 반지름방향 내측으로 배치되는 평평한 고리형 스프레더 플레이트, 및
    상기 스프레더 플레이트의 외측의 상기 베이스와 상기 정척 척 사이에 밀봉을 제공하는 밀봉부로서, 상기 밀봉부는 상기 플레이트에 대해 상기 정전 척의 방사형 이동을 밀봉되게 허용하는 밀봉부를 포함하는,
    플라즈마 처리 캐쏘오드.
  14. 제 13 항에 있어서,
    상기 베이스를 통하여 형성되는 가스 통로,
    상기 정전 척을 통하여 형성되는 가스 공급부로서, 상기 통로 및 상기 공급부는 정렬되지 않지만 가스 전달 경로를 형성하도록 상기 가스 분배 링을 통하여 유체적으로 결합되는, 가스 공급부, 및
    상기 가스 전달 경로에 배치되는 세라믹 배플 디스크를 더 포함하는,
    플라즈마 처리 캐쏘오드.
  15. 제 13 항에 있어서,
    상기 베이스와 상기 정전 척 사이에 배치되는 유체 분배 링을 더 포함하며,
    상기 유체 분배 링의 바닥이 고리형 채널을 형성하도록 상기 베이스로부터 이격되고,
    상기 유체 분배 링은 상기 정전 척과 직면하는 상부면에 형성된 계단형 보어로서, 상기 보어는 내부에 배플을 수용하는, 계단형 보어,
    상기 유체 분배 링의 바닥을 통하여 브레이킹되고 상기 고리형 채널에 노출되는 제 2 단부와 상기 계단형 보어 내로 브레이킹되는 제 1 단부를 가지는, 가스 통로를 포함하는,
    플라즈마 처리 캐쏘오드.
KR1020080068028A 2007-07-13 2008-07-14 플라즈마 에칭용 고온 캐쏘오드 KR101110934B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94983307P 2007-07-13 2007-07-13
US60/949,833 2007-07-13

Publications (2)

Publication Number Publication Date
KR20090007243A true KR20090007243A (ko) 2009-01-16
KR101110934B1 KR101110934B1 (ko) 2012-03-16

Family

ID=39917440

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080068028A KR101110934B1 (ko) 2007-07-13 2008-07-14 플라즈마 에칭용 고온 캐쏘오드

Country Status (6)

Country Link
US (1) US20090014323A1 (ko)
EP (1) EP2015343A3 (ko)
JP (1) JP5660753B2 (ko)
KR (1) KR101110934B1 (ko)
CN (1) CN101419907B (ko)
TW (1) TWI430359B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140044129A (ko) * 2012-10-04 2014-04-14 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR20170073584A (ko) * 2014-10-17 2017-06-28 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 정전 척 어셈블리
KR20180113221A (ko) * 2016-03-04 2018-10-15 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 기판 지지 어셈블리
KR20180131423A (ko) * 2017-05-30 2018-12-10 램 리써치 코포레이션 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈
KR20210019899A (ko) * 2019-08-13 2021-02-23 주식회사 원익아이피에스 기판 지지대 및 그를 포함하는 기판처리장치
KR20210134090A (ko) * 2015-05-19 2021-11-08 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 금속 본딩된 백킹 플레이트를 갖는 정전 퍽 조립체

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5347868B2 (ja) * 2009-09-24 2013-11-20 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
DK2558577T3 (en) 2010-04-16 2019-04-01 Nuevolution As Bi-functional complexes and methods for the preparation and use of such complexes
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
JP5989593B2 (ja) * 2012-04-27 2016-09-07 日本碍子株式会社 半導体製造装置用部材
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794527B (zh) * 2012-10-30 2016-08-24 中微半导体设备(上海)有限公司 静电卡盘加热方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105575871B (zh) * 2014-10-27 2019-04-23 北京北方华创微电子装备有限公司 承载装置和反应腔室
US9666467B2 (en) * 2014-11-21 2017-05-30 Varian Semiconductor Equipment Associates, Inc. Detachable high-temperature electrostatic chuck assembly
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN104538341B (zh) * 2014-12-17 2017-06-27 中国地质大学(北京) 一种真空腔室静电卡盘调节装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6541565B2 (ja) * 2015-09-25 2019-07-10 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6664298B2 (ja) 2016-09-09 2020-03-13 株式会社バルカー シール材
JP6127191B1 (ja) * 2016-10-03 2017-05-10 株式会社メルビル 試料ホルダー
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN106531601B (zh) * 2016-10-31 2018-03-20 中国电子科技集团公司第四十八研究所 一种用于离子束刻蚀机的工件台
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10943808B2 (en) 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
US10784139B2 (en) 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11232966B2 (en) * 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN110914971B (zh) * 2018-04-05 2023-04-28 朗姆研究公司 有冷却气体区域和相应槽及单极静电夹持电极模式的静电卡盘
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20220087288A1 (en) * 2018-06-15 2022-03-24 Mars Incorporated Screening methods using gprc6a taste receptors and pet food products and compositions prepared using the same
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
JP7134003B2 (ja) * 2018-07-06 2022-09-09 東京エレクトロン株式会社 成膜装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7209515B2 (ja) 2018-11-27 2023-01-20 東京エレクトロン株式会社 基板保持機構および成膜装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102370471B1 (ko) * 2019-02-08 2022-03-03 주식회사 히타치하이테크 플라스마 처리 장치
WO2020219304A1 (en) * 2019-04-22 2020-10-29 Lam Research Corporation Electrostatic chuck with spatially tunable rf coupling to a wafer
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
JP7512037B2 (ja) * 2019-12-27 2024-07-08 東京エレクトロン株式会社 載置台、基板処理装置及び伝熱ガス供給方法
JP7437187B2 (ja) * 2020-02-26 2024-02-22 Jswアクティナシステム株式会社 浮上搬送装置、及びレーザ処理装置
CN112002668B (zh) * 2020-08-26 2024-06-21 北京北方华创微电子装备有限公司 半导体工艺设备中的静电卡盘组件及半导体工艺设备

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4065918A (en) * 1973-02-12 1978-01-03 Ethyl Corporation Exhaust systems
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
EP0668607A1 (en) * 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck
JPH09256153A (ja) * 1996-03-15 1997-09-30 Anelva Corp 基板処理装置
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
JP3374033B2 (ja) * 1997-02-05 2003-02-04 東京エレクトロン株式会社 真空処理装置
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6080272A (en) * 1998-05-08 2000-06-27 Micron Technology, Inc. Method and apparatus for plasma etching a wafer
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1098354A2 (en) * 1999-11-08 2001-05-09 Applied Materials, Inc. Apparatus for controlling temperature in a semiconductor processing system
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US7846254B2 (en) * 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
JP4421874B2 (ja) * 2003-10-31 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
KR20060079332A (ko) * 2004-12-30 2006-07-06 동부일렉트로닉스 주식회사 냉각가스 누설 방지링을 구비한 반도체 웨이퍼의 정전척
JP2007042958A (ja) * 2005-08-05 2007-02-15 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
US20080220596A1 (en) * 2005-08-30 2008-09-11 Advanced Technology Materials, Inc. Delivery of Low Pressure Dopant Gas to a High Voltage Ion Source

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140044129A (ko) * 2012-10-04 2014-04-14 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR20170073584A (ko) * 2014-10-17 2017-06-28 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 정전 척 어셈블리
KR20220025936A (ko) * 2014-10-17 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 정전 척 어셈블리
KR20210134090A (ko) * 2015-05-19 2021-11-08 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 금속 본딩된 백킹 플레이트를 갖는 정전 퍽 조립체
KR20230061561A (ko) * 2015-05-19 2023-05-08 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 금속 본딩된 백킹 플레이트를 갖는 정전 퍽 조립체
KR20180113221A (ko) * 2016-03-04 2018-10-15 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 기판 지지 어셈블리
KR20180131423A (ko) * 2017-05-30 2018-12-10 램 리써치 코포레이션 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈
KR20210019899A (ko) * 2019-08-13 2021-02-23 주식회사 원익아이피에스 기판 지지대 및 그를 포함하는 기판처리장치

Also Published As

Publication number Publication date
KR101110934B1 (ko) 2012-03-16
CN101419907B (zh) 2012-01-04
US20090014323A1 (en) 2009-01-15
JP2009021592A (ja) 2009-01-29
TWI430359B (zh) 2014-03-11
EP2015343A2 (en) 2009-01-14
TW200913054A (en) 2009-03-16
CN101419907A (zh) 2009-04-29
JP5660753B2 (ja) 2015-01-28
EP2015343A3 (en) 2010-08-11

Similar Documents

Publication Publication Date Title
KR101110934B1 (ko) 플라즈마 에칭용 고온 캐쏘오드
US9978569B2 (en) Adjustable process spacing, centering, and improved gas conductance
TWI667944B (zh) 具有可拆卸高電阻率氣體分配板的噴淋頭
CN107578976B (zh) 具有可拆卸式气体分配板的喷淋头
KR101464292B1 (ko) 가열된 챔버 라이너를 갖는 처리 챔버
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
US9490150B2 (en) Substrate support for substrate backside contamination control
JP7551765B2 (ja) 基板処理チャンバにおける処理キットのシース及び温度制御
TW201933529A (zh) 用於高溫處理之靜電吸座組件
KR20190075163A (ko) 플로팅 쉐도우 링을 가진 프로세스 키트
KR20060126922A (ko) 개선된 배플 플레이트를 위한 방법 및 장치
CN109155275B (zh) 具有增强边缘密封的用于高功率的工件载体
KR20180021301A (ko) 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
KR20180028401A (ko) 웨이퍼 프로세싱 시스템들을 위한 볼트 결합된 웨이퍼 척 열 관리 시스템들 및 방법들
CN114080669A (zh) 用于高温应用的可拆卸、可偏置的静电卡盘
WO2021257225A1 (en) High temperature face plate for deposition application
US12014906B2 (en) High temperature detachable very high frequency (VHF) electrostatic chuck (ESC) for PVD chamber
WO2024035589A1 (en) Vacuum seal for electrostatic chuck
TW202433546A (zh) 靜電夾盤
WO2022192297A1 (en) Substrate edge ring that extends process environment beyond substrate diameter

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee