KR20090006019A - Method of forming micropattern - Google Patents

Method of forming micropattern Download PDF

Info

Publication number
KR20090006019A
KR20090006019A KR1020080067184A KR20080067184A KR20090006019A KR 20090006019 A KR20090006019 A KR 20090006019A KR 1020080067184 A KR1020080067184 A KR 1020080067184A KR 20080067184 A KR20080067184 A KR 20080067184A KR 20090006019 A KR20090006019 A KR 20090006019A
Authority
KR
South Korea
Prior art keywords
pattern
film
resist
space
forming
Prior art date
Application number
KR1020080067184A
Other languages
Korean (ko)
Other versions
KR101024143B1 (en
Inventor
신이찌 이또
Original Assignee
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바 filed Critical 가부시끼가이샤 도시바
Publication of KR20090006019A publication Critical patent/KR20090006019A/en
Application granted granted Critical
Publication of KR101024143B1 publication Critical patent/KR101024143B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

The pattern formation method is provided to form the micro-patterns like holes. The resist pattern is formed by patterning the resist layer formed on the process target substrate. The melting process is performed on the resist film remaining in the space part of the resist pattern. The liquid is supplied to the remaining resist film to remove the remaining resist film. The resist defect remaining in the space part(space pattern) formed in the resist film of the first resist pattern is removed. The space part is small and narrow.

Description

마이크로패턴 형성 방법{METHOD OF FORMING MICROPATTERN}Micro pattern formation method {METHOD OF FORMING MICROPATTERN}

관련 출원의 상호 참조Cross Reference of Related Application

본 발명은 2007년 7월 10일 출원되고, 본 명세서에서 내용 전체가 참조로 사용되는 일본 특허 출원 번호 제2007-181318호를 기초로 하여 우선권을 주장한다. This invention claims priority based on Japanese Patent Application No. 2007-181318 for which it applied on July 10, 2007, and uses the whole content here as a reference.

본 발명은 반도체 장치를 형성하기 위한 리소그래피 단계에 대한 패턴 형성 방법에 관한 것으로, 미세 패턴 형성을 가능하게 하는 패턴 형성 방법에 관한 것이다.The present invention relates to a pattern formation method for a lithography step for forming a semiconductor device, and to a pattern formation method enabling fine pattern formation.

리소그래피 단계에서 원하는 형상으로 패턴을 형성하기 위해 다양한 패턴 형성 기술이 제안되었다. 특히, 반도체 장치와 액정 장치를 포함하는 다양한 전자 장치의 소형화 및 집적화가 상당히 증가함에 따라, 원하는 형상으로 보다 미세한 패턴을 형성할 수 있는 패턴 형성 기술이 최근에 필요하였다. 예를 들면, 광원으로서 UV(ultraviolet), DUV(deep ultraviolet), EUV(extreme ultraviolet), 또는 EB(electron beam)을 사용하여 노광 장치의 임계 분해능을 초과하는 미세 패턴을 원하는 형상으로 형성할 수 있는 패턴 형성 기술이 필요하였다.Various pattern forming techniques have been proposed to form a pattern in a desired shape in the lithography step. In particular, as the miniaturization and integration of various electronic devices including semiconductor devices and liquid crystal devices have increased considerably, a pattern forming technology capable of forming finer patterns in desired shapes has recently been required. For example, by using an ultraviolet light source (UV), a deep ultraviolet light (DUV), an extreme ultraviolet light (EUV), or an electron beam (EB), fine patterns exceeding the critical resolution of the exposure apparatus can be formed into a desired shape. Pattern formation techniques were needed.

따라서, 예를 들면, 전술한 임의의 광원을 사용하여 노광 장치의 임계 분해 능보다 더 미세하게 패턴을 원하는 형상으로 형성하는, 협소 공간(narrow space) 형성 기술로 불리우는 패턴 형성 기술이 제안되었다. 협소 공간 형성 기술의 예를 간략히 설명한다.Thus, for example, a pattern forming technique called a narrow space forming technique has been proposed, which uses any of the above-described light sources to form the pattern into a desired shape more finely than the critical resolution of the exposure apparatus. An example of a narrow space formation technique is briefly described.

우선, 전술한 임의의 광원을 사용하여 레지스트막 상에 레지스트 패턴을 형성하고, 선정된 프로세스를 기초로 하여 레지스트막과 상호작용하는 상보막(complementary film)을 레지스트막 상에 형성한다. 후속하여, 레지스트막과 상보막 사이에, 예를 들면, 베이킹(baking) 프로세스에 의해 일종의 가교 혼합층을 형성한다. 혼합되지 않은 상보막의 일부를 레지스트막에서 제거하여, 레지스트 패턴을 구성하는 레지스트막에 있는 공간부보다 더 좁은 협소 공간부를 형성한다. 이러한 협소 공간 형성 기술은 전술한 임의의 광원을 사용하는 노광 장치의 임계 분해능보다 더 미세한 비아 플러그, 및 선폭이 임계 분해능보다 더 작은 상호접속부의 형성을 가능하게 한다.First, a resist pattern is formed on the resist film using any of the above-described light sources, and a complementary film which interacts with the resist film is formed on the resist film based on the selected process. Subsequently, a kind of crosslinked mixed layer is formed between the resist film and the complementary film, for example, by a baking process. A part of the uncombined complementary film is removed from the resist film to form a narrower space portion narrower than the space portion in the resist film constituting the resist pattern. This narrow space formation technique enables the formation of via plugs that are finer than the critical resolution of an exposure apparatus using any of the light sources described above, and interconnections whose line width is smaller than the critical resolution.

협소 공간부 형성 기술의 한 유형으로서, RELACS™(Resolution Enhancement Lithography Assisted by Chemical Shrink)로 불리는 기술이 제안되었다. 예를 들면, 미쯔비시 전기 주식회사가 제공한 웹 특집 기사 "Semiconductor 0.1-μm hole pattern formation technique RELACS"에 개시된 바와 같이, 이 기술은 우선, 코팅에 의해, 레지스트 패턴의 일부로서 레지스트막에 형성되는 홀과 같은 공간 패턴 상에 상부층을 형성한다. 후속하여, 상부 코팅막과 상호작용하도록 레지스트막에 산성 성분을 가하여 막들 사이의 계면 부분에 열경화층을 형성하도록 레지스트막과 상부 코팅막에 가열 처리가 행해진다. 다음에, 순수한 물에 세척(rinsing)하여 열 경화층에 대응하는 부분을 제외한 상부 코팅막을 제거한다. 따라서, 레지스트막에 형성된 홀 등보다 더 미세한 공간 패턴이 형성된다.As a type of narrow space formation technique, a technique called Resolution Enhancement Lithography Assisted by Chemical Shrink (RELACS ™) has been proposed. For example, as disclosed in the web feature article "Semiconductor 0.1-μm hole pattern formation technique RELACS" provided by Mitsubishi Electric Corporation, this technique firstly comprises a hole formed in the resist film by coating, as part of the resist pattern. The upper layer is formed on the same spatial pattern. Subsequently, heat treatment is performed on the resist film and the top coating film to add an acidic component to the resist film so as to interact with the top coating film to form a thermosetting layer at the interface portion between the films. Next, rinsing with pure water removes the upper coating film except for the portion corresponding to the thermal curing layer. Thus, a finer spatial pattern is formed than the holes or the like formed in the resist film.

그러나, 이러한 기술은 열경화층에 대응하는 부분을 제외한 상부 코팅막을 충분히 제거하지 못할 수도 있다. 따라서, 홀과 같은 미세한 공간 패턴을 형성하지 못할 수도 있다.However, this technique may not sufficiently remove the top coating layer except for the portion corresponding to the thermosetting layer. Therefore, a fine spatial pattern such as a hole may not be formed.

더욱이, 레지스트 상에 얇은 퇴적막 및 더 미세한 공간을 형성할 수 있는 기술이 인터넷 홈 페이지 Lam Research (2300 motif) and in Proc. of SPIE Vol. 6519 (2007)에 개시되어 있다. 이 기술은 임계 분해능에 가까운 크기를 갖는 패턴을 더 축소하는데 유효하다.Moreover, techniques for forming thin deposits and finer spaces on resists are described on the Internet homepage Lam Research (2300 motif) and in Proc. of SPIE Vol. 6519 (2007). This technique is effective to further reduce patterns having a size close to the critical resolution.

그러나, 임계 분해능에 가까운 크기를 갖고 개방된 패턴은, 풋팅(footing) 조건에 있거나, 리소그래피 처리시의 약간의 변동, 예를 들면, 노광량이나 베이킹 온도의 변동, 또는 현상 중 세척 조건의 변동에 기인하여 하프 개방될 수 있다. 이러한 조건에서, 전술한 RELACS 또는 2300MOTIF를 적용하게 되면, 미개방 패턴과 같은 적절하지 않은 패턴이 형성될 수 있다. However, an open pattern with a size close to the critical resolution may be at footing condition or due to slight fluctuations in lithographic processing, for example fluctuations in exposure dose or baking temperature, or fluctuations in cleaning conditions during development. Half can be opened. Under these conditions, applying the above-described RELACS or 2300MOTIF, an inappropriate pattern such as an unopened pattern may be formed.

본 발명의 일 양상에 따라, 프로세스 타겟 기판의 하나의 주면 상에 제공된 레지스트막을 패터닝하여 레지스트 패턴을 형성하는 단계; 및 상기 레지스트 패턴의 공간부에 있는 상기 프로세스 타겟 기판의 프론트 표면에 수분 함유막을 형성하고, 상기 수분 함유막에 광을 조사하고, 상기 수분 함유막에 액체 함유 수분을 공급하는 단계를 포함하는 패턴 형성 방법이 제공된다.According to one aspect of the invention, patterning a resist film provided on one main surface of the process target substrate to form a resist pattern; And forming a moisture containing film on the front surface of the process target substrate in the space portion of the resist pattern, irradiating light to the moisture containing film, and supplying liquid containing moisture to the moisture containing film. A method is provided.

본 발명의 다른 양상에 따라, 프로세스 타겟 기판의 하나의 주면 상에 제공된 레지스트막을 패터닝하여 레지스트 패턴을 형성하는 단계; 상기 레지스트 패턴의 공간 부분에 남아있는 상기 레지스트막에 용해 프로세스를 실행하는 단계; 상기 레지스트막 제거를 위한 액체를 공급하여, 상기 레지스트 패턴의 공간 부분에 남아있는 상기 레지스트막을 제거하는 단계; 상기 레지스트막과의 상호작용을 통해 막에 형성되는 패턴 형성 상보막을 위한 재료를, 상기 레지스트 패턴의 공간 부분에 도입하는 단계; 상기 패턴 형성 상보막을 위한 재료를 상기 레지스트막과 상호작용하도록 하여, 상기 공간 부분의 내측 표면 상에 패턴 형성 상보막을 선택적으로 형성하는 단계; 및 패턴 형성 상보막의 나머지 부분을 상기 공간 부분에 남아있도록 하면서, 막에 형성되지 않은 상기 패턴 형성 상보막용 재료의 일부를 상기 공간 부분의 내부로부터 제거하여, 상기 공간 부분의 바닥 표면의 일부를 노출시키는 단계를 포함하는 패턴 형성 방법이 제공된다.According to another aspect of the invention, patterning a resist film provided on one main surface of the process target substrate to form a resist pattern; Performing a dissolution process on the resist film remaining in the space portion of the resist pattern; Supplying a liquid for removing the resist film to remove the resist film remaining in the space portion of the resist pattern; Introducing a material for the pattern forming complementary film formed in the film through interaction with the resist film, into the space portion of the resist pattern; Selectively forming a pattern forming complementary film on an inner surface of the space portion by causing a material for the pattern forming complementary film to interact with the resist film; And removing a portion of the pattern forming complementary material, which is not formed in the film, from the inside of the spaced portion, while exposing the remaining portion of the patterned complementary film to the spaced portion, thereby exposing a portion of the bottom surface of the spaced portion. A pattern forming method comprising the steps is provided.

본 발명의 또 다른 양상에 따라, 프로세스 타겟 기판의 하나의 주면 상에 제공된 레지스트막을 패터닝하여 레지스트 패턴을 형성하는 단계; 상기 레지스트 패턴의 공간 부분에 남아있는 상기 레지스트막에 용해 프로세스를 실행하는 단계; 상기 레지스트막 제거를 위한 액체를 공급하는 단계 - 상기 액체는 상기 레지스트막과의 상호작용을 통해 막으로 형성되는 패턴 형성 상보막용 재료를 포함함 -; 상기 패턴 형성 상보막용 재료를 상기 레지스트막과 상호작용하도록 하여, 상기 공간 부분의 내측 표면 상에 상기 패턴 형성 상보막을 선택적으로 형성하는 단계; 및 상기 패턴 형성 상보막의 나머지 부분을 상기 공간 부분에 남아있도록 하면서, 막에 형 성되지 않은 상기 패턴 형성 상보막용 재료의 일부를 상기 공간 부분의 내부로부터 제거하여, 상기 공간 부분의 바닥 표면의 일부를 노출시키는 단계를 포함하는 패턴 형성 방법이 제공된다.According to another aspect of the invention, patterning a resist film provided on one main surface of the process target substrate to form a resist pattern; Performing a dissolution process on the resist film remaining in the space portion of the resist pattern; Supplying a liquid for removing the resist film, the liquid comprising a pattern forming complementary material formed into a film through interaction with the resist film; Selectively forming the pattern forming complementary film on the inner surface of the space portion by causing the pattern forming complementary material to interact with the resist film; And removing a portion of the pattern forming complementary material which is not formed in the film from the inside of the space portion, while remaining the portion of the patterned complementary film in the space portion, thereby removing a portion of the bottom surface of the space portion. A pattern forming method is provided that includes exposing.

본 발명에 따르면, 미세 패턴이 형성될 수 있도록 하는 패턴 형성 방법이 제공될 수 있다.According to the present invention, a pattern forming method for forming a fine pattern can be provided.

(제1 실시예)(First embodiment)

우선, 본 발명의 제1 실시예에 따른 패턴 형성 방법을 도 1, 도 2a, 도 2b, 도 3a, 도 3b, 도 4a, 도 4b, 도 5a, 도 5b 및 도 5c를 참조하여 설명할 것이다. 본 실시예에서는 패턴 형성 상보막이 레지스트 패턴으로 작용하도록 함으로써 협소 공간을 형성하는 기술을 주로 설명할 것이다. 협소 공간의 형성을 가능하게 하는 것에 더하여, 본 실시예에 따른 협소 공간 형성 기술은 공간부에서 결함을 감소시킨다.First, the pattern forming method according to the first embodiment of the present invention will be described with reference to FIGS. 1, 2A, 2B, 3A, 3B, 4A, 4B, 5A, 5B, and 5C. . In the present embodiment, a technique for forming a narrow space by making the pattern forming complementary film act as a resist pattern will mainly be described. In addition to enabling the formation of a narrow space, the narrow space formation technique according to the present embodiment reduces defects in the space portion.

예를 들면, 레지스트막에 형성된 제1 레지스트 패턴의 공간부(공간 패턴)에 남아있는 레지스트 결함은 제거되는 한편, 공간부는 협소하게 된다. 다음에, 협소해진 공간 패턴을 기초로, 상호접속부를 형성하는 비아 플러그 또는 콘택트 플러그 또는 트렌치 패턴과 같은 플러그 형성을 위한 홀 패턴이 형성된다. 본 실시예에 따라 형성된 협소 공간 패턴을 구비한 제2 레지스트 패턴은 결함이 거의 없는 마이크로패턴이다. 따라서, 본 실시예를 적용하게 되면 반도체 장치 및 액정 장치와 같은 다양한 전자 장치의 신뢰성을 향상시킨다. 즉, 본 실시예에 따른 패턴 형성 기술은 반도체 장치 및 액정 장치를 제조하는 방법과 같은 전자 장치 제조 방법에 적용가능하다. 이하에 본 실시예를 상세히 설명한다.For example, the resist defect remaining in the space portion (space pattern) of the first resist pattern formed in the resist film is removed while the space portion is narrowed. Next, based on the narrowed spatial pattern, hole patterns for plug formation such as via plugs or contact plugs or trench patterns forming interconnects are formed. The second resist pattern having the narrow space pattern formed according to the present embodiment is a micropattern with almost no defects. Therefore, applying the present embodiment improves the reliability of various electronic devices such as semiconductor devices and liquid crystal devices. That is, the pattern forming technique according to the present embodiment is applicable to a method of manufacturing an electronic device such as a method of manufacturing a semiconductor device and a liquid crystal device. The present embodiment will be described in detail below.

우선, 도 1 및 도 2a에 도시된 바와 같이, 예를 들면, SiO2로 구성된 층간 절연막(2)이, 프로세스 타겟 기판으로서의 반도체 기판(1)의 하나의 주면(프론트 표면) 상에 일종의 프로세스 타겟 막으로서 형성된다. 이것은 도 1의 흐름도에서 단계 1 (S-1)로서 도시되어 있다. 계속해서, ArF 광에 대한 반사 방지막(3)이 스핀 코팅법에 의해 층간 절연막(2) 상에 또한 일종의 프로세스 타겟 막으로서 형성된다. 이것은 도 1의 흐름도에서 단계 2 (S-2)로서 도시되어 있다. 계속해서, AfF 광에 대한 감광성인 화학 증폭 레지스트막(4)이 스핀 코팅법에 의해 반사 방지막 상에 형성된다. 이것은 도 1의 흐름도에서 단계 3 (S-3)로서 도시되어 있다.First, as shown in FIGS. 1 and 2A, an interlayer insulating film 2 composed of SiO 2 , for example, is a kind of process target on one main surface (front surface) of the semiconductor substrate 1 as a process target substrate. It is formed as a film. This is shown as step 1 (S-1) in the flowchart of FIG. Subsequently, an antireflection film 3 for ArF light is formed on the interlayer insulating film 2 by the spin coating method as a kind of process target film. This is shown as step 2 (S-2) in the flowchart of FIG. Subsequently, a chemically amplified resist film 4 that is photosensitive to AfF light is formed on the antireflection film by spin coating. This is shown as step 3 (S-3) in the flowchart of FIG.

다음에, 방사 또는 하전 입자 라인을 사용하여 레지스트막(4) 상에 잠상(latent image)이 형성된다. 이 경우에, 반도체 기판(1) 상에 형성될 상호접속 패턴(도시 생략)에 정렬되지만, 이하에 설명되는 패턴(5a)은 ArF 노광 장치(도시 생략)를 사용하여 노광된다. 이것은 도 1의 흐름도에서 단계 4 (S-4)로 도시되어 있다. 이러한 ArF 노광 단계에서, 도면에는 도시되지 않았지만, ArF 노광 장치 상에 설치된 노광 마스크에 형성되는 홀 패턴을 포함하는 마스크 패턴이, 감소되도록 반도체 기판(1) 상의 레지스트막(4) 상에 투영된다. ArF 노광 단계에서, 노광 마스크 및 반도체 기판(1)은 마스크 패턴을 노광하고 마스크 패턴 정렬 동안 레지스 트 마스크(4)의 프론트 표면으로 마스크 패턴을 이송하도록 서로 상대적으로 이동된다.Next, a latent image is formed on the resist film 4 using the spinning or charged particle lines. In this case, although aligned to the interconnection pattern (not shown) to be formed on the semiconductor substrate 1, the pattern 5a described below is exposed using an ArF exposure apparatus (not shown). This is shown in step 4 (S-4) in the flow chart of FIG. In this ArF exposure step, although not shown in the figure, a mask pattern including a hole pattern formed in an exposure mask provided on the ArF exposure apparatus is projected onto the resist film 4 on the semiconductor substrate 1 so as to be reduced. In the ArF exposure step, the exposure mask and the semiconductor substrate 1 are moved relative to each other to expose the mask pattern and to transfer the mask pattern to the front surface of the resist mask 4 during mask pattern alignment.

계속해서, 마스크 패턴이 노광되고 이송되는 레지스트막(4)을 포함하는 전체의 반도체 기판(1) 상에 적어도 대략 75℃에서 가열 프로세스가 실행된다. 이것은 도 1의 흐름도에서 단계 5 (S-5)로 도시되어 있다. 후노광 베이킹 프로세스가 실행되는 온도는 레지스트막(4)에 산확산 반응이 유효하게 발생하는 값으로 설정될 필요가 있다. 이 경우에, 후노광 베이킹 프로세스는 현상된 레지스트 패턴의 치수 균일도가 수용가능한 범위 내에 들어오는 대략 120℃에서 실행된다. 다음에, 후노광 베이킹 프로세스가 행해지는 전체의 반도체 기판(1)은 실온으로 냉각된다.Subsequently, a heating process is performed at least at approximately 75 ° C. on the entire semiconductor substrate 1 including the resist film 4 to which the mask pattern is exposed and transferred. This is shown in step 5 (S-5) in the flowchart of FIG. The temperature at which the post exposure baking process is performed needs to be set to a value at which an acid diffusion reaction effectively occurs in the resist film 4. In this case, the post-exposure bake process is performed at approximately 120 ° C. where the dimensional uniformity of the developed resist pattern falls within an acceptable range. Next, the whole semiconductor substrate 1 to which the post-exposure baking process is performed is cooled to room temperature.

다음에, 잠상이 형성된 레지스트막(4)의 영역 또는 잠상이 형성되지 않은 레지스트막의 영역이 선택적으로 제거하여 제1 레지스트 패턴(5)을 형성한다. 이 경우에, 냉각된 레지스트막(4)에 현상 프로세스를 실행하여, 레지스트막(4) 상에 공간 패턴(공간부)으로 홀 패턴(5a)을 포함하는 제1 레지스트 패턴(5)을 형성한다. 이것은 도 1의 흐름도에서 단계 6 (S-6)으로 도시되어 있다. 이 경우에, 형성된 제1 홀 패턴(5a)의 직경은 대략 100nm이다. 또한, 현상 프로세스 단계의 완료 후에, 본 발명자들은 분해능이 대략 60nm인 DUV 광 결함 검사 장치를 사용하여 결함에 대한 결과 구조를 검사하였다. 제1 레지스트 패턴(5)의 프론트 표면에서는 미개방된 제1 홀 패턴(5a)은 관찰되지 않았다. 그러나, 제1 홀 패턴(5a) 내측에 원치않는 레지스트막(4a)이 잔류물로서 남아있었다.Next, the region of the resist film 4 in which the latent image is formed or the region of the resist film in which the latent image is not formed is selectively removed to form the first resist pattern 5. In this case, the development process is performed on the cooled resist film 4 to form the first resist pattern 5 including the hole pattern 5a in a space pattern (space portion) on the resist film 4. . This is shown in step 6 (S-6) in the flow chart of FIG. In this case, the diameter of the formed first hole pattern 5a is approximately 100 nm. In addition, after completion of the development process step, the inventors inspected the resulting structure for defects using a DUV optical defect inspection apparatus having a resolution of approximately 60 nm. An unopened first hole pattern 5a was not observed on the front surface of the first resist pattern 5. However, an unwanted resist film 4a remained inside the first hole pattern 5a as a residue.

도 1 및 도 2b에 도시된 바와 같이, 제1 레지스트 패턴(5a)에 이방성 에칭 프로세스, 일종의 건식 에칭을 실행하여, 제1 홀 패턴(5a) 내측으로부터 잔류물(4a)을 제거한다. 이것은 도 1의 흐름도에서 단계 7 (S-7)로 도시되어 있다. 이 경우에, 제1 레지스트 패턴(5)이 형성되는 반도체 기판(1)이 건식 에칭 장치에 설치된다. 다음에, 산소 플라즈마에 의해 주소 제1 홀 패턴(5a)이 건식 에칭된다. 이 때, 건식 에칭 조건은, 반도체 기판(1)의 프론트 표면(1a)에 수직인 방향에서의 에칭율이 다른 방향에서의 에칭율보다 높도록 설정된다. 보다 상세하게는, 제1 홀 패턴(5a)에 있는 잔류물(4a)을 그 잔류물(4a)의 크기 또는 양에 따라 위로부터 파쇄하여 제거할 수 있는 에칭율로 건식 에칭을 수행할 수 있다. 이 경우에, 두께(높이)가 대략 5nm인 잔류물(4a)을 위로부터 파쇄하여 제거할 수 있는 에칭율로 건식 에칭을 수행한다. 잔류물(4a)이 없는 것으로 나타나는 제1 홀 패턴(5a)에 대한 것이더라도, 상기 프로세스는 공간 패턴의 프론트 표면으로부터 유기 오염물을 제거하는데 유효하다.As shown in Figs. 1 and 2B, an anisotropic etching process, a kind of dry etching, is performed on the first resist pattern 5a to remove the residue 4a from inside the first hole pattern 5a. This is shown in step 7 (S-7) in the flow chart of FIG. In this case, the semiconductor substrate 1 on which the first resist pattern 5 is formed is provided in the dry etching apparatus. Next, the address first hole pattern 5a is dry etched by the oxygen plasma. At this time, dry etching conditions are set so that the etching rate in the direction perpendicular to the front surface 1a of the semiconductor substrate 1 is higher than the etching rate in the other direction. More specifically, the dry etching may be performed at an etching rate at which the residue 4a in the first hole pattern 5a can be crushed and removed from above according to the size or amount of the residue 4a. . In this case, dry etching is performed at an etching rate at which the residue 4a having a thickness (height) of approximately 5 nm can be crushed and removed from above. Even for the first hole pattern 5a that appears to be free of residues 4a, the process is effective to remove organic contaminants from the front surface of the spatial pattern.

잔류물 제거 프로세스는 건식 에칭에 한정되는 것은 아니다. 제1 홀 패턴(5a) 내측으로부터 잔류물(4a)을 제거하거나 가능한 패턴 결함을 회피할 수 있도록 잔류물의 크기를 줄일 수 있는 방법에 대응하는 임의의 다른 프로세스를 사용해도 된다. 그러나, 잔류물 제거 프로세스에서, 공간 패턴의 폭이 증가되면 후속의 홀 감소 효과가 열화된다. 따라서, 잔류물 제거 프로세스는 반도체 기판(1)의 프론트 표면(1a)에 수직인 방향에서의 에칭율이 다른 방향에서의 에칭율보다 높도록 이방성 에칭에 의해 수행되는 것이 바람직하다.The residue removal process is not limited to dry etching. Any other process corresponding to the method of reducing the size of the residue may be used to remove the residue 4a from inside the first hole pattern 5a or to avoid possible pattern defects. However, in the residue removal process, as the width of the spatial pattern is increased, the subsequent hole reduction effect is degraded. Therefore, the residue removal process is preferably performed by anisotropic etching so that the etching rate in the direction perpendicular to the front surface 1a of the semiconductor substrate 1 is higher than the etching rate in the other direction.

다음에, 도 1 및 도 3a에 도시된 바와 같이, 스핀 코팅법에 의해 제1 레지스 트 패턴(5) (레지스트막(4)) 상에 패턴 형성 상보막(7)용 재료가 제공되는 한편, 잔류물(4a)이 제거된 홀 패턴(5a)에 채워진다; 레지스트막(4)과의 상호작용에 의해 패턴 형성 상보막(7)에 재료(6)가 형성된다. 이것은 도 1의 흐름도에서 단계 8 (S-8)로 도시되어 있다. 패턴 형성 상보막(7)의 재료(6)는 RELACS™(Resolution Enhancement Lithography Assisted by Chemical Shrink) 재료로 불린다.Next, as shown in FIGS. 1 and 3A, the material for the pattern forming complementary film 7 is provided on the first resist pattern 5 (resist film 4) by the spin coating method. The residue 4a is filled in the removed hole pattern 5a; The material 6 is formed in the pattern forming complementary film 7 by interaction with the resist film 4. This is shown in step 8 (S-8) in the flow chart of FIG. The material 6 of the pattern forming complementary film 7 is called RELACS ™ (Resolution Enhancement Lithography Assisted by Chemical Shrink) material.

다음에, 도 1 및 도 3b에 도시된 바와 같이, RELACS™ 재료(6) 및 레지스트막(4)에 그 RELACS™ 재료(6)와 레지스트막(4)이 서로 상호작용하여 제1 레지스트 패턴(5) (레지스트막(4))의 프론트 표면 위에 패턴 형성 상보막(7)을 형성하도록 가열 프로세스(베이킹 프로세스)를 실행한다. 이것은 도 1의 흐름도에서 단계 9 (S-9)로 도시되어 있다. 특히, RELACS™와 레지스트막(4)이 혼합되는 혼합층을 열적으로 가교화하기 위해 베이킹 프로세스를 실행함으로써 패턴 형성 상보막(7)이 형성된다. 따라서, 패턴 형성 상보막(7)은 제1 홀 패턴(5a)의 전체 내부를 채우기 위해 형성되는 것은 아니다. 패턴 형성 상보막(7)은 제1 홀 패턴(5a)의 내부측 표면을 커버하기 위해 홀 패턴(5a)의 바닥 표면의 에지에 선택적으로 성장됨으로써 형성된다. 패턴 형성 상보막(7)은 이후 RELACS™막으로 칭한다. 계속해서, RELACS™막(7)이 형성되는 전체의 반도체 기판(1)이 냉각된다.Next, as shown in FIGS. 1 and 3B, the RELACS ™ material 6 and the resist film 4 interact with each other in the RELACS ™ material 6 and the resist film 4 so that the first resist pattern ( 5) A heating process (baking process) is performed to form the pattern forming complementary film 7 on the front surface of the (resist film 4). This is shown in step 9 (S-9) in the flowchart of FIG. In particular, the pattern forming complementary film 7 is formed by performing a baking process to thermally crosslink the mixed layer where RELACS ™ and the resist film 4 are mixed. Therefore, the pattern forming complementary film 7 is not formed to fill the entire inside of the first hole pattern 5a. The pattern forming complementary film 7 is formed by selectively growing at the edge of the bottom surface of the hole pattern 5a to cover the inner surface of the first hole pattern 5a. The pattern forming complementary film 7 is hereinafter referred to as RELACS ™ film. Subsequently, the entire semiconductor substrate 1 on which the RELACS ™ film 7 is formed is cooled.

다음에, 도 1 및 도 4a에 도시된 바와 같이, 냉각된 전체의 반도체 기판(1)을, 예를 들면, 순수한 물로 세척하여, 제1 홀 패턴(5a) 내측으로부터 그리고 제1 레지스트 패턴(5)의 프론트 표면으로부터 아직 막에 형성되지 않은 RELACS™ 재료(6)를 제거한다. 따라서, 제1 홀 패턴(5a)의 내부 측 표면 상에 그리고 제1 레 지스트 패턴(5)의 프론트 표면 상에 RELACS™막(7)만이 남게 된다. 이것은 도 1의 흐름도에서 단계 10 (S-10)으로 도시되어 있다. 결과적으로, 제1 홀 패턴(5a)은 부분적으로 노광, 즉, 바닥 표면의 에지를 제외한 제1 홀 패턴(5a)의 전체 영역을 노광함으로써 줄어든다. 이 경우에, 제1 홀 패턴(5a)은 패턴(5a)의 직경이 전술한 대략 100nm에서 대략 80nm로 감소되도록 줄어든다. 제1 홀 패턴(5a)이 감소된 협소 공간 패턴을 이후 제2 홀 패턴(8a)이라 칭한다. 제2 홀 패턴(8a)을 포함하고 제1 레지스트 패턴(5)과 RELACS™막(7)으로 구성된 레지스트 패턴을 제2 레지스트 패턴(8)이라 칭한다. 세척 프로세스 단계를 완료한 후, 본 발명자들은 분해능이 대략 60nm인 DUV 광을 사용하여 결함에 대해 결과의 반도체 기판을 검사하였다. 다음에, 미개방 제2 홀 패턴(8a) 대 개방 제2 홀 패턴(8a)의 비율은 대략 1 대 1억(100 million)이었다. 따라서, 본 실시예에 따른 패턴 형성 방법의 주요 단계가 완료된다.Next, as shown in FIGS. 1 and 4A, the cooled entire semiconductor substrate 1 is washed with, for example, pure water, from the inside of the first hole pattern 5a and the first resist pattern 5. Remove the RELACS ™ material 6 not yet formed in the film from the front surface of the < RTI ID = 0.0 > Thus, only the RELACS ™ film 7 remains on the inner side surface of the first hole pattern 5a and on the front surface of the first resist pattern 5. This is shown in step 10 (S-10) in the flow chart of FIG. As a result, the first hole pattern 5a is reduced by partially exposing, that is, exposing the entire area of the first hole pattern 5a except the edge of the bottom surface. In this case, the first hole pattern 5a is reduced such that the diameter of the pattern 5a is reduced from about 100 nm to about 80 nm described above. The narrow space pattern in which the first hole pattern 5a is reduced is referred to as a second hole pattern 8a. The resist pattern including the second hole pattern 8a and composed of the first resist pattern 5 and the RELACS ™ film 7 is referred to as a second resist pattern 8. After completing the cleaning process steps, we examined the resulting semiconductor substrate for defects using DUV light with a resolution of approximately 60 nm. Next, the ratio of the unopened second hole pattern 8a to the open second hole pattern 8a was approximately 1 to 100 million (100 million). Thus, the main steps of the pattern forming method according to the present embodiment are completed.

다음에, 도 1 및 도 4b에 도시된 바와 같이, 마스크로서 제2 레지스트 패턴(8)을 통해 반사 방지막(3)이 프로세싱되어, 제2 홀 패턴(8a)과 통신하도록 반사 방지막(3)을 관통하는 제1 쓰루홀(9)이 형성된다. 이 경우에, 제1 쓰루홀(9)은 반도체 기판(1)의 프론트 표면(1a)에 수직인 방향에서의 에칭율이 다른 방향에서의 에칭율보다 높도록 반사 방지막(3)에 이방성 에칭 프로세스(건식 에칭 프로세스)를 가하기 위해 산소 플라즈마를 사용하여 형성된다. 계속해서, 마스크로서 제1 쓰루홀(9)이 형성되는 반사 방지막(3)과 제1 레지스트 패턴(8)을 통해 층간 절연막(2)이 프로세싱되어, 제1 쓰루홀(9)과 통신하도록 층간 절연막(2)을 관통하는 제2 쓰 루홀(10)이 형성된다. 이 경우에, 제2 쓰루홀(10)은 플루오르화 탄소 함유 가스를 사용하여 제1 쓰루홀(9)이 형성되는 조건과 동일한 조건 하에서 층간 절연막(2)에 건식 에칭 프로세스를 실행함으로써 형성된다. 플러그 형성 홀 패턴(10)은 미세하고 제2 홀 패턴(8a)과 유사하게 직경이 대략 80nm이다.Next, as shown in FIGS. 1 and 4B, the anti-reflection film 3 is processed through the second resist pattern 8 as a mask to apply the anti-reflection film 3 so as to communicate with the second hole pattern 8a. The first through hole 9 penetrates is formed. In this case, the first through hole 9 is an anisotropic etching process on the antireflection film 3 such that the etching rate in the direction perpendicular to the front surface 1a of the semiconductor substrate 1 is higher than the etching rate in the other direction. It is formed using an oxygen plasma to apply (dry etching process). Subsequently, the interlayer insulating film 2 is processed through the antireflection film 3 and the first resist pattern 8 on which the first through hole 9 is formed as a mask to communicate with the first through hole 9. The second through hole 10 penetrating the insulating film 2 is formed. In this case, the second through hole 10 is formed by performing a dry etching process on the interlayer insulating film 2 under the same conditions as those under which the first through hole 9 is formed using a fluorocarbon containing gas. The plug formation hole pattern 10 is fine and has a diameter of approximately 80 nm similar to the second hole pattern 8a.

다음에, 도 5a에 도시된 바와 같이, 플러그 형성 홀 패턴(10)이 형성되는 층간 절연막의 프론트 표면으로부터 레지스트막(4)과 반사 방지막(3)이 제거된다. 계속해서, 도 5b에 도시된 바와 같이, 콘택트 플러그 (비아 플러그)를 구성하는 장벽 금속막(11)과 컨덕터(12)가 제2 쓰루홀(10) 내측 및 층간 절연막(2)의 프론트 표면 상에 순차적으로 적층된다. 다음에, 도 5c에 도시된 바와 같이, 컨덕터(12) 및 장벽 금속막(11)이, 예를 들면, CMP법에 의해 플러그 형성 홀 패턴(10)에 채워진다. 따라서, 직경이 대략 80nm인 미세한 콘택트 플러그(12)가 층간 절연막(2) 내측에 형성되어, 플러그(12)의 측면과 바닥 표면이 장벽 금속막(11)으로 커버된다. 따라서, 본 실시예에 따른 전자 장치의 제조 방법의 주요 단계가 완료된다.Next, as shown in Fig. 5A, the resist film 4 and the anti-reflection film 3 are removed from the front surface of the interlayer insulating film on which the plug formation hole pattern 10 is formed. Subsequently, as shown in FIG. 5B, the barrier metal film 11 and the conductor 12 constituting the contact plug (via plug) are placed inside the second through hole 10 and on the front surface of the interlayer insulating film 2. Laminated sequentially. Next, as shown in Fig. 5C, the conductor 12 and the barrier metal film 11 are filled in the plug formation hole pattern 10 by, for example, the CMP method. Thus, a fine contact plug 12 having a diameter of approximately 80 nm is formed inside the interlayer insulating film 2 so that the side and bottom surfaces of the plug 12 are covered with the barrier metal film 11. Therefore, the main steps of the manufacturing method of the electronic device according to the present embodiment are completed.

이제, 본 실시예의 비교예를 설명한다.Now, a comparative example of this embodiment will be described.

본 발명자들은 도 2b를 참조하여 전술되고 도 1의 흐름도에서 단계 7 (S-7)에 대응하는 이방성 에칭 단계(잔류물 제거 프로세스 단계)를 실행하지 않고, RELACS™막을 실험적으로 형성하였다. 즉, 제1 홀 패턴의 내측으로부터 잔류물을 제거하지 않고 제1 레지스트 패턴의 측벽 표면 및 상부 표면에 선택적으로 성장하여 형성된다. 따라서, 제1 홀 패턴의 직경은 대략 100nm에서 대략 80nm로 감소되어 제2 홀 패턴을 형성한다.The inventors experimentally formed the RELACS ™ film without performing the anisotropic etching step (residue removal process step) described above with reference to FIG. 2B and corresponding to step 7 (S-7) in the flowchart of FIG. 1. That is, it is formed by selectively growing on the sidewall surface and the upper surface of the first resist pattern without removing residue from the inside of the first hole pattern. Thus, the diameter of the first hole pattern is reduced from about 100 nm to about 80 nm to form the second hole pattern.

본 발명자들은 제2 홀 패턴이 전술한 단계들에 의해 형성되는 레지스트막의 전체 프론트 표면을 결함에 대해 검사하기 위해 분해능이 대략 60nm인, 본 실시예에 사용된 것과 동일한 DUV 광 결함 검사 장치를 사용하였다. 미개방 제2 홀 패턴대 개방 제2 홀 패턴의 비율은 대략 1 대 10000이었다. 또한, 미개방 제2 홀 패턴의 단면 형상을 검사하여, 레지스트막의 잔류물과 그 잔류물 상에 퇴적된 RELACS™막으로 이루어진 대형 잔류물을 발견하였다. 보다 상세하게, 본 발명자들은 레지스트막과 RELACS™막으로 구성된 잔류물이 제2 홀 패턴의 바닥 표면과 내측 표면에 형성되었다는 것을 발견하였다; 잔류물은 레지스트막과 RELACS™ 재료 간 상호작용을 통한 레지스트막과 RELACS™막의 성장 결과로 형성되었고, 그 폭은 대략 70nm였다. 그러한 잔류물은 현상 프로세스(단계 6)의 스테이지에서 제1 홀 패턴 내부의 현상액의 치환의 어려움에 기인한 불완전한 현상 프로세스에 의해 형성된 것으로 생각된다. 따라서, 레지스트막과 RELACS™막으로 구성된 잔류물이 미개방 제2 홀 패턴을 형성하였고, 결함 패턴으로 검출되었다는 것을 발견하였다.The inventors used the same DUV light defect inspection apparatus as used in this example, in which the second hole pattern had a resolution of approximately 60 nm to inspect for defects the entire front surface of the resist film formed by the above-described steps. . The ratio of the unopened second hole pattern to the open second hole pattern was approximately 1 to 10000. The cross-sectional shape of the unopened second hole pattern was also examined to find a large residue consisting of a residue of the resist film and a RELACS ™ film deposited on the residue. More specifically, the inventors found that a residue composed of a resist film and a RELACS ™ film was formed on the bottom surface and the inner surface of the second hole pattern; The residue was formed as a result of the growth of the resist film and the RELACS ™ film through the interaction between the resist film and the RELACS ™ material, and the width was approximately 70 nm. Such residue is thought to have been formed by an incomplete developing process due to the difficulty of substitution of the developer inside the first hole pattern at the stage of the developing process (step 6). Thus, it was found that a residue composed of a resist film and a RELACS ™ film formed an unopened second hole pattern and was detected as a defect pattern.

따라서, 본 실시예의 경우와는 달리, 현상 단계(단계 6) 후의 잔류물 제거 프로세스 단계(단계 7)를 생략한 채로 RELACS™막이 형성된 비교예에서는 제1 홀 패턴 내측에 원치않는 레지스트막이 남을 수 있다. 레지스트막이 제1 홀 패턴 내측에 남게 될 때, RELACS™막이 잔류물 상에 형성된다. 따라서, 잔류물이 더 성장하게 되고, 홀이 블록킹될 수 있다. 즉, 제1 홀 패턴은 원하는 개방 형상을 갖도록 형성되지 않을 수 있고, 따라서 결함이 될 수 있다. 결함 패턴은 그 결함 패턴을 기초로 형성되는 플러그 형성 패턴 또는 상호접속 형성 패턴에 결함이 생기게 하는 경향이 있다. 결함있는 플러그 또는 상호접속 형성 패턴에 채워질 때, 컨덕터는 충분한 접촉을 보장하는데 어려움이 있다. 결과적으로, 전자 장치의 성능, 품질, 신뢰성, 내구성 등이 열화된다.Thus, unlike the case of this embodiment, in the comparative example in which the RELACS ™ film is formed without omitting the residue removal process step (step 7) after the developing step (step 6), an unwanted resist film may remain inside the first hole pattern. . When the resist film is left inside the first hole pattern, a RELACS ™ film is formed on the residue. Thus, the residue grows more and the holes can be blocked. That is, the first hole pattern may not be formed to have a desired open shape, and thus may be a defect. The defect pattern tends to cause defects in the plug formation pattern or the interconnect formation pattern formed based on the defect pattern. When filled with a defective plug or interconnect formation pattern, the conductor has difficulty in ensuring sufficient contact. As a result, the performance, quality, reliability, durability, and the like of the electronic device deteriorate.

본 발명자들은 전술한 비교예에 따른 패턴 형성 방법에 의해 형성된 제1 및 제2 홀 패턴을 기초로 플러그 형성 패턴을 실험적으로 형성하였다. 실험 결과, 미개방 플러그 형성 패턴 대 수용가능한 플러그 형성 패턴의 비율은 대략 1 대 3,000이라는 것을 알았다. 즉, 그 결과, 플러그 형성 패턴의 형성시 결함 패턴의 발생율은 제2 홀 패턴의 형성시 결함 패턴의 것보다 적어도 3배 이상 높았다. 그러한 결함있는 개구는 제1 홀 패턴이 제2 홀 패턴으로 축소될 때 전술한 잔류물에 기인한 다수의 결함의 결과라는 것을 발견하였다. 또한, 그러한 결함 개구가 있는 플러그 형성 패턴은 층간 절연막을 구성하는 SiO2막을 완전히 에칭하지 못하거나 프로세스동안 SiO2막의 에칭을 정지하지 못하여 형성된다. 또한, SiO2막의 에칭 후, 홀 축소 후의 결과는 결함 패턴 발생율의 증가를 보였다. 이것은 RELACS™막뿐만 아니라 잔류물이 성장한 다수의 플러그 형성 패턴이 레지스트막의 잔류물 상에 남아 있기 때문으로 그 크기는 검출 민감도 이하였다. The present inventors experimentally formed the plug formation pattern based on the first and second hole patterns formed by the pattern formation method according to the comparative example described above. As a result of the experiment, it was found that the ratio of the unopened plug formation pattern to the acceptable plug formation pattern was approximately 1 to 3,000. That is, as a result, the occurrence rate of the defect pattern at the time of formation of the plug formation pattern was at least three times higher than that of the defect pattern at the time of formation of the second hole pattern. It has been found that such defective openings are the result of a number of defects due to the aforementioned residues when the first hole pattern is reduced to the second hole pattern. In addition, the plug formation pattern with such a defect opening is formed by not fully etching the SiO 2 film constituting the interlayer insulating film or stopping the etching of the SiO 2 film during the process. In addition, after etching the SiO 2 film, the result after hole reduction showed an increase in the defect pattern occurrence rate. This is because the size of the plug was less than the detection sensitivity because not only the RELACS ™ film but also a large number of plug formation patterns in which the residue was grown remain on the residue of the resist film.

대조적으로, 본 실시예에서, 플러그 형성 패턴(10)의 형성 후 미개방 플러그 형성 패턴(10)의 발생 확률은 전술한 바와 같이 대략 1 대 1억의 비율에 대응한다. 즉, 본 실시예에서, 잔류물 제거 프로세스 단계(단계 7)는 현상 단계(단계 6) 후에 실행되어, 제1 홀 패턴(5a) 내측으로부터 레지스트막(4)의 잔류물(4a)을 제거하고, RELACS™막(7)이 홀 축소용으로 형성된다. 따라서, SiO2막 에칭 단계 후에 결함 패턴 발생율이 증가하지 않았다. 따라서, 본 발명은 전술한 비교예에 비해 플러그 형성 패턴(10)의 형성 후 미개방 플러그 형성 패턴(10)의 발생 확률을 상당히 향상시킨다.In contrast, in this embodiment, the probability of occurrence of the unopened plug formation pattern 10 after formation of the plug formation pattern 10 corresponds to a ratio of approximately 1 to 100 million as described above. That is, in this embodiment, the residue removing process step (step 7) is performed after the developing step (step 6) to remove the residue 4a of the resist film 4 from inside the first hole pattern 5a and , A RELACS ™ film 7 is formed for hole reduction. Therefore, the defect pattern incidence rate did not increase after the SiO 2 film etching step. Accordingly, the present invention significantly improves the probability of occurrence of the unopened plug formation pattern 10 after the formation of the plug formation pattern 10 as compared with the comparative example described above.

전술한 바와 같이, 노광 장치의 분해능의 한계를 초과하는 미세한 협소 공간 패턴(8a)에 대해서라도, 제1 실시예는 그러한 다수의 협소 공간 패턴(8a)을 원하는 형상으로 형성하도록 하는 한편, 협소 공간 패턴(8a)의 결함 발생율을 줄일 수 있다. 또한, 협소 공간 패턴(8a)을 기초로 콘택트 플러그(12) 등을 형성함으로써, 반도체 장치 및 액정 장치 같은 다양한 전자 장치를 그 장치의 성능, 품질, 신뢰성, 내구성 등을 열화시키지 않으면서 매우 소형화하고 집적되도록 제조할 수 있다. 또한, 미세한 콘택트 또는 비아 플러그가 통상적으로 형성될 때, 부적절한 전기 컨덕턴스 같은 결함에 대한 구제책으로서 각각의 상호접속에 대하여 두 개의 콘택트 또는 비아 플러그를 형성하는, 이중 비아라 불리우는 기술을 사용할 수 있다. 그러나, 이 기술은 두 개의 플러그를 형성할 필요가 있고, 따라서 필요한 단계의 수를 증가시켜 제조 효율을 감소시킬 수 있다. 대조적으로, 본 실시예는 미세한 콘택트 또는 비아 플러그가 거의 결함없이 형성되도록 할 수 있다. 결과적으로, 각각의 상호접속에 대해 하나의 콘택트 또는 비아를 형성하면 충분하다. 따라서, 본 실시예는 전자 장치의 제조 효율을 향상시킬 수 있고 제조 비용을 줄일 수 있다.As described above, even for the fine narrow space pattern 8a exceeding the limit of the resolution of the exposure apparatus, the first embodiment allows such a plurality of narrow space patterns 8a to be formed in a desired shape, while The defect occurrence rate of the pattern 8a can be reduced. Further, by forming the contact plug 12 or the like based on the narrow space pattern 8a, various electronic devices such as semiconductor devices and liquid crystal devices can be miniaturized without deteriorating the performance, quality, reliability, durability, etc. of the device. It can be manufactured to be integrated. In addition, when fine contacts or via plugs are typically formed, a technique called double vias can be used that forms two contact or via plugs for each interconnect as a remedy for defects such as improper electrical conductance. However, this technique needs to form two plugs, and thus can increase the number of steps required to reduce manufacturing efficiency. In contrast, this embodiment allows fine contacts or via plugs to be formed with almost no defects. As a result, it is sufficient to form one contact or via for each interconnect. Therefore, the present embodiment can improve the manufacturing efficiency of the electronic device and reduce the manufacturing cost.

본 실시예에서, ArF 노출이 전술한 본 방법에 적용된다. 그러나, 본 발명은 이러한 양상에 국한되지 않는다. 예를 들면, ArF 광 대신 KrF 광을 노출 광원으로서 사용하고, ArF 화학 증폭 레지스트(chemical amplification resist)(4) 대신 KrF 화학 증폭 레지스트를 이용한 노출 처리에 본 실시예를 적용함으로써 전술한 것과 유사한 효과가 발휘될 수 있다. 대안으로, 전술한 것과 유사한 효과는 미세한 홀 패턴이 노출되게 하는 EUV 노출 처리 또는 EUV 노출 처리에 비해 상대적으로 큰 패턴을 노출시키는 수은(mercury) 램프로부터의 I 라인을 이용한 노출 처리에 본 발명을 적용함으로써 발휘될 수 있다. 게다가, 물론, 전술한 것과 유사한 효과는 많은 홀이 개방되지 않는 경우, 예를 들면, 매우 높은 프로세싱 정확도를 요구하며, 필라(pillar) 패턴의 팁이 부러지거나 닿아 해진 나노-인쇄(nano-imprint) 리소그라피 프로세스라고 불리는 것에 본 발명을 적용함으로써 발휘될 수 있다.In this embodiment, ArF exposure is applied to the method described above. However, the present invention is not limited to this aspect. For example, by using KrF light as an exposure light source instead of ArF light and applying this embodiment to an exposure process using KrF chemical amplification resist instead of ArF chemical amplification resist 4, the effect similar to that described above is obtained. Can be exercised. Alternatively, the effect similar to that described above applies the invention to an EUV exposure treatment which exposes a fine hole pattern or an exposure treatment using an I line from a mercury lamp which exposes a relatively large pattern compared to the EUV exposure treatment. Can be exerted. In addition, of course, effects similar to those described above require very high processing accuracy, for example, when many holes are not opened, and nano-imprints in which the tip of the pillar pattern is broken or touched. It can be exercised by applying the present invention to what is called a lithography process.

본 실시예는 제1 홀 패턴의 직경을 약 100nm 내지 약 80nm로 감소시키는 프로세스를 수행한다. 그러나, 제1 홀 패턴(5a) 또는 제2 홀 패턴(8a)의 크기는 이 양상에 국한되지 않는다. 본 실시예는 예를 들면, 노광 장치에 대한 조명 조건 및 NA 조건에 의해 결정된 임계 분해능에 근접한 크기로 홀 패턴 또는 공간 패턴의 폭을 감소시키는 단계에 물론 적용가능하다. 게다가, 제1 홀 패턴(5a)에서 제2 홀 패턴(8a)로의 축소량(홀이 좁아지는 양)은 약 20nm이다. 그러나, 축소량은 이 양상에 국한되지 않는다. 일반적으로, 결함 패턴 발생율은 축소량과 일관되게 증가한다. 따라서, 물론 협소 공간 패턴 형성 프로세스동안의 축소량의 증가는 본 발명의 응용성을 보다 편리하게 한다.This embodiment performs a process to reduce the diameter of the first hole pattern to about 100 nm to about 80 nm. However, the size of the first hole pattern 5a or the second hole pattern 8a is not limited to this aspect. This embodiment is of course applicable to the step of reducing the width of the hole pattern or the spatial pattern to a size close to the threshold resolution determined by the illumination condition and the NA condition for the exposure apparatus, for example. In addition, the amount of reduction (the amount of holes narrowing) from the first hole pattern 5a to the second hole pattern 8a is about 20 nm. However, the reduction is not limited to this aspect. In general, the defect pattern occurrence rate increases consistently with the shrinkage amount. Thus, of course, increasing the amount of shrinkage during the narrow space pattern forming process makes the applicability of the present invention more convenient.

더욱이, 통상의 반사 방지막(3)은 산(acid)을 포함할 수도 있다. 산은 RELACSTM 재료(6)와 반응하여 제1 홀 패턴(5a)의 바닥 표면 전체에 걸쳐 RELACSTM막(7)을 형성할 수도 있다. 따라서, 본 실시예에서, 반사 방지막(3)이 형성되는 온도는 반사 방지막내의 산이 비활성화되는 온도로 증가된다. 이는 RELACSTM 막(7)이 반사 방지막(3) 상에 형성되어, 제1 홀 패턴(5a)의 바닥 표면을 형성하는 것을 억제한다.Moreover, the conventional antireflection film 3 may contain an acid. The acid may react with the RELACS material 6 to form a RELACS film 7 over the bottom surface of the first hole pattern 5a. Therefore, in this embodiment, the temperature at which the antireflection film 3 is formed is increased to the temperature at which the acid in the antireflection film is deactivated. This suppresses that the RELACS film 7 is formed on the antireflection film 3 to form the bottom surface of the first hole pattern 5a.

이에 비해, 만일 반사 방지막(3)이 형성되는 온도가 반사 방지막내의 산이 비활성화되는 온도보다 낮은 경우, 반사 방지막(3)내에 산이 계속해서 존재하게 된다. 따라서, 반사 방지막(3)이 낮은 온도에서 형성될 때, RELACSTM 막(7)의 일부가 레지스트 패턴(5)(레지스트막(4))의 프론트 표면 상에 형성된 RELACSTM 막(7)의 일부만큼 두껍지 않다고 할지라도, RELACSTM 막(7)은 제1 홀 패턴(5a)의 바닥 표면으로부터 노출된 반사 방지막(3)의 일부 상에 형성될 수도 있다. 그러나, 반사 방지막(3)상에 형성된 RELACSTM 막(7)의 일부가 매우 얇기 때문에, 이 부분은 반사 방지막(3)내에 제1 쓰루홀(9)이 형성될 때 스크랩(scrap)된다. 따라서, 이 경우, 전술한 것과 유사한 효과가 발휘될 수 있다.In contrast, if the temperature at which the antireflection film 3 is formed is lower than the temperature at which the acid in the antireflection film is deactivated, the acid continues to exist in the antireflection film 3. Thus, when formed on the antireflective film 3 is a low temperature, a portion of the RELACS TM film 7 RELACS TM film 7, a part formed on the front surface of the resist pattern 5 (resist film 4) of the Although not as thick as this, the RELACS film 7 may be formed on a portion of the antireflective film 3 exposed from the bottom surface of the first hole pattern 5a. However, since a part of the RELACS film 7 formed on the antireflection film 3 is very thin, this part is scraped when the first through hole 9 is formed in the antireflection film 3. In this case, therefore, an effect similar to that described above can be exerted.

(제2 실시예)(2nd Example)

이제, 본 발명의 제2 실시예에 따른 패턴 형성 방법이 도 6, 도 7a, 도 7b, 도 8a, 도 8b, 도 9a 및 도 9b를 참조하여 기술될 것이다. 제1 실시예의 것과 동일한 제2 실시예의 구성요소들은 동일 참조 번호로 표시되며 상세히 기술되지 않을 것이다. 제1 실시예와는 달리, 본 실시예는 반사 방지막 대신에 하드 마스크층을 사용한다. 게다가, 레지스트 패턴 노출시, 소프트 X-레이(극도로 짧은 파장의 자외선; 극자외선(EUV: extreme ultraviolet))는 ArF 광 대신에 노출 광원으로서 사용된다. 게다가, 이방성 에칭 대신에, 홀 패턴 내부로부터의 잔류물을 제거하기 위해 액체가 사용된다. 본 실시예에 따른 패턴 형성 방법이 상세히 기술될 것이다.Now, a pattern forming method according to a second embodiment of the present invention will be described with reference to FIGS. 6, 7A, 7B, 8A, 8B, 9A, and 9B. Components of the second embodiment that are identical to those of the first embodiment are denoted by the same reference numerals and will not be described in detail. Unlike the first embodiment, this embodiment uses a hard mask layer instead of the antireflection film. In addition, upon exposure of the resist pattern, soft X-rays (ultra-short wavelength ultraviolet light; extreme ultraviolet (EUV)) are used as the exposure light source instead of ArF light. In addition, instead of the anisotropic etching, a liquid is used to remove the residue from inside the hole pattern. The pattern forming method according to this embodiment will be described in detail.

우선, 도 6 및 도 7a에 나타난 바와 같이, 하드 마스크층(21), 일종의 프로세스 타켓막은 반도체 기판(1)의 프론트 표면(1a) 상에 형성된 층간 절연막(2)상에 스핀 코팅법으로 형성된다. 이 경우, 하드 마스크층(21)은 탄소 함유 코팅막과 스핀 온 글라스 코팅막을 순차적으로 형성함으로써 제조되었다. 이는 도 6의 흐름도에 단계 11(S-11)로서 나타나 있다. 순차적으로, 소프트 X-레이(EUV)에 민감한 화학 증폭 레지스트막(22)은 스핀 코팅법에 의해 하드 마스크층(21)상에 형성된다. 이는 도 6의 흐름도에 단계 12(S-12)로서 나타나 있다.First, as shown in FIGS. 6 and 7A, the hard mask layer 21, a kind of process target film, is formed by spin coating on the interlayer insulating film 2 formed on the front surface 1a of the semiconductor substrate 1. . In this case, the hard mask layer 21 was manufactured by sequentially forming a carbon-containing coating film and a spin on glass coating film. This is shown as step 11 (S-11) in the flowchart of FIG. Subsequently, a chemically amplified resist film 22 sensitive to soft X-rays (EUV) is formed on the hard mask layer 21 by spin coating. This is shown as step 12 (S-12) in the flowchart of FIG.

그 다음에, 제1 실시예에 따른 단계 4(S-4)의 경우에서와 같이, 잠상(미도시됨)은 레지스트막(22) 상에 선택적으로 형성된다. 그러나, 제1 실시예의 경우와 달리, 본 실시예에서는, 레지스트막(22)이 ArF 노광 장치 대신에 EUV 노광 장치(미도시됨)를 사용하여 잠상에 노출된다. 이는 도 6의 흐름도에 단계 13(S-13)으로서 나타나 있다.Then, as in the case of step 4 (S-4) according to the first embodiment, a latent image (not shown) is selectively formed on the resist film 22. However, unlike the case of the first embodiment, in this embodiment, the resist film 22 is exposed to the latent image using an EUV exposure apparatus (not shown) instead of the ArF exposure apparatus. This is shown as step 13 (S-13) in the flowchart of FIG.

다음으로, 제1 실시예에 따른 단계 5(S-5)의 경우에서와 같이, 잠상이 형성되는 레지스트막(22)을 포함한 전체 반도체 기판(1)은 약 75℃에서 가열된다. 후노광되는 전체 반도체 기판(1)은 실온로 냉각된다.Next, as in the case of step 5 (S-5) according to the first embodiment, the entire semiconductor substrate 1 including the resist film 22 on which the latent image is formed is heated at about 75 ° C. The entire semiconductor substrate 1 after exposure is cooled to room temperature.

그 다음에, 제1 실시예에 따른 단계 6(S-6)의 경우에서와 같이, 제1 홀 패턴(23a)을 포함한 제1 레지스트 패턴(23)은 레지스트막(22) 상에 형성된다. 그러나, 본 실시예는 제1 실시예의 경우에서와 같이 직경이 100nm인 제1 홀 패턴 대신에 직경이 45nm인 제1 홀 패턴(5a)을 형성한다. 제1 실시예에 따른 제1 홀 패턴(5a)의 경우에서와 같이 제1 홀 패턴(23a) 내부에 원치 않는 레지스트막(22a)이 남겨져 있다.Then, as in the case of step 6 (S-6) according to the first embodiment, the first resist pattern 23 including the first hole pattern 23a is formed on the resist film 22. However, this embodiment forms the first hole pattern 5a having a diameter of 45 nm instead of the first hole pattern having a diameter of 100 nm as in the case of the first embodiment. As in the case of the first hole pattern 5a according to the first embodiment, an unwanted resist film 22a is left inside the first hole pattern 23a.

다음으로, 제1 실시예에 따른 단계 7(S-7)의 경우에서와 같이, 잔류물(22a)은 제1 홀 패턴(23a)로부터 제거된다. 그러나, 제1 실시예와는 달리, 본 실시예는 잔류물(22a)를 제거하기 위해 이방성 에칭을 사용하지 않는다. 본 실시예에서, 우선, 레지스트 패턴(23)에 대해 용해 프로세스를 수행하며, 이는 레지스트막(22)이 제1 홀 패턴(23a) 내부에 남겨져 있는 잔류물(22a)을 제거하는데 사용되는 액체에 쉽게 용해되도록 하기 위한 것이다. 그 다음으로, 제거용 액체는 잔류물(22a)을 제거하는데 사용된다. 이 프로세스는 이하에 보다 구체적으로 기술될 것이다.Next, as in the case of step 7 (S-7) according to the first embodiment, the residue 22a is removed from the first hole pattern 23a. However, unlike the first embodiment, this embodiment does not use anisotropic etching to remove the residue 22a. In this embodiment, first, a dissolution process is performed on the resist pattern 23, which is applied to the liquid used to remove the residue 22a in which the resist film 22 is left inside the first hole pattern 23a. It is intended to be easily dissolved. Next, the removal liquid is used to remove the residue 22a. This process will be described in more detail below.

우선, 제1 레지스트 패턴(23)의 전체 프론트 층 부분 및 전체 잔류물(22a)에 대해 물 용해 프로세스를 수행하여, 레지스트막(22)의 프론트 표면이 수용액에 쉽게 용해되도록 한다. 이 경우, 레지스트막(22)의 프론트 표면(제1 레지스트 패턴(23))은 물에 세척된다. 다음으로, 레지스트막(22)은 건조 시간을 적절히 조절 하면서 스핀 건조된다. 이는 레지스트막(22)의 프론트 표면이 수분(수증기)을 흡착하게 하여 얇은 수분 함유막을 형성한다. 이러한 흡착 프로세스는 전술한 방법에 국한되지 않는다. 도면에는 나타나 있지 않지만, 얇은 수분막(moisture film)(24)은 또한 다음과 같이 레지스트막의 프론트 표면상에 형성될 수 있다. 레지스트막(22)의 프론트 표면 상에 형성된 수막(water film)으로 인해, 반도체 기판(1)은 많아야 0℃로 냉각되어 레지스트막(22)의 프론트 표면상에 얼음층을 형성한다. 동결되지 않은 수막은 레지스트막(22)의 프론트 표면으로부터 빠르게 제거되어 레지스트막(22)의 프론트 표면상에 약 1㎛ 두께의 얼음막을 형성한다. 대안으로, 얇은 수분막(24)은 높은 습도 영역에서, 반도체 기판(1)을 냉각하거나 반도체 기판(1)상에 응결수를 유발시킴으로써 레지스트막(22)의 프론트 표면상에 형성될 수 있다.First, a water dissolution process is performed on the entire front layer portion of the first resist pattern 23 and the entire residue 22a so that the front surface of the resist film 22 is easily dissolved in an aqueous solution. In this case, the front surface of the resist film 22 (first resist pattern 23) is washed with water. Next, the resist film 22 is spin dried while appropriately adjusting the drying time. This causes the front surface of the resist film 22 to adsorb moisture (water vapor) to form a thin moisture-containing film. This adsorption process is not limited to the method described above. Although not shown in the figure, a thin moisture film 24 may also be formed on the front surface of the resist film as follows. Due to the water film formed on the front surface of the resist film 22, the semiconductor substrate 1 is cooled to at most 0 ° C. to form an ice layer on the front surface of the resist film 22. The unfrozen water film is quickly removed from the front surface of the resist film 22 to form an ice film having a thickness of about 1 탆 on the front surface of the resist film 22. Alternatively, the thin moisture film 24 may be formed on the front surface of the resist film 22 in a high humidity region by cooling the semiconductor substrate 1 or causing condensation water on the semiconductor substrate 1.

다음으로, 도 6 및 도 7b에 나타난 바와 같이, 수분 함유막(24)로부터 수분를 흡수하여 래디컬(radical)을 생성하기 위해, 프론트 표면상에 형성된 수분 함유막(24)를 갖는 레지스트막(22)(제1 레지스트 패턴(23))에는 약 200nm 이하의 파장 λ을 갖는 광이 조사된다. 따라서, 제1 레지스트 패턴(23) 상에 흡착된 수분은 소수성 수지층인, 제1 레지스트 패턴(23)의 프론트 표면에 수산기(OH기)를 첨가하여 래디컬화된다. 그 결과, 제1 레지스트 패턴(23)의 프런트층 부분은 친수성층(25)으로 바뀐다. 도면에 도시되지 않았지만, 약 200nm 이하의 파장 λ을 갖는 광을 레지스트막(22)에 조사할 수 있는 간단한 장치의 일례로는 엑시머 램프(excimer lamp)가 있다. 바람직하기로는, 엑시머 램프용 노출 광원은, 예를 들면, 172nm의 파장 λ을 갖는 Xe2 광원, 146nm의 파장 λ을 갖는 Kr2 광원, 또는 126nm의 파장 λ을 갖는 Ar2 광원이 있다. 본 발명자의 실험의 결과는 레지스트막(22)에 방사된 조사광의 감소된 파장은 조사광의 촉진을 막 표면에서 억제할 수 있게 한다. 이는 전술한 물에 의한 세척 단계동안 레지스트 패턴(23)의 디싱(dishing)을 쉽게 방지할 수 있게 한다.Next, as shown in FIG. 6 and FIG. 7B, a resist film 22 having a water containing film 24 formed on the front surface in order to absorb moisture from the water containing film 24 to generate radicals. (First resist pattern 23) is irradiated with light having a wavelength? Of about 200 nm or less. Therefore, moisture adsorbed on the first resist pattern 23 is radicalized by adding hydroxyl groups (OH groups) to the front surface of the first resist pattern 23, which is a hydrophobic resin layer. As a result, the front layer portion of the first resist pattern 23 is turned into the hydrophilic layer 25. Although not shown, an excimer lamp is an example of a simple device capable of irradiating the resist film 22 with light having a wavelength? Of about 200 nm or less. Preferably, the exposure light source for an excimer lamp is, for example, an Xe 2 light source having a wavelength λ of 172 nm, a Kr 2 light source having a wavelength λ of 146 nm, or an Ar 2 light source having a wavelength λ of 126 nm. The results of our experiments show that the reduced wavelength of the irradiated light emitted to the resist film 22 makes it possible to suppress the promotion of the irradiated light at the film surface. This makes it possible to easily prevent dishing of the resist pattern 23 during the cleaning step with water described above.

물론, 상술되었으며 흡착 프로세스 및 광 조사 프로세스로 이루어진 물 용해 프로세스는 제1 홀 패턴(23a)내의 잔류물(22a)에 대해서도 유사하게 수행된다. 따라서, 잔류물(22a)은 전술한 것과 유사한 반응을 하기 때문에 친수성 잔류물(25)로 바뀐다.Of course, the water dissolution process, which has been described above and consists of an adsorption process and a light irradiation process, is similarly performed for the residue 22a in the first hole pattern 23a. Thus, residue 22a is converted to hydrophilic residue 25 because it reacts similar to that described above.

다음으로, 도 6 및 도 8a에 나타난 바와 같이, 프런트층 부분 및 친수성 잔류물(25) 상에 형성된 친수성층(25)을 갖는 제1 레지스트 패턴(23)의 프론트 표면에 대해 세척이 수행된다. 따라서, 제1 레지스트 패턴(23)(레지스트막(22)의 프런트층 부분은 약 3nm만큼 용해된다. 제1 홀 패턴(23a)내에 남겨진 약 30nm의 폭을 가진 친수성 잔류물(레지스트 결함)(25)은 물에 용해됨으로써 제거된다. 전술한 물 용해 프로세스 및 물 세정 프로세스는 도 6의 흐름도에서 단계 14(S-14)로서 나타나 있다. 단계 I4에 나타난 물 용해 프로세스 및 물 세정 프로세스는 습식 에칭 프로세스용 프리프로세스(preprocess) 및 습식 에칭 프로세스의 종류라고 고려될 수 있다.Next, as shown in FIGS. 6 and 8A, cleaning is performed on the front surface of the first resist pattern 23 having the front layer portion and the hydrophilic layer 25 formed on the hydrophilic residue 25. Thus, the first resist pattern 23 (the front layer portion of the resist film 22 is dissolved by about 3 nm.) A hydrophilic residue (resist defect) 25 having a width of about 30 nm left in the first hole pattern 23a. ) Is removed by dissolving in water The aforementioned water dissolving process and water washing process are shown as step 14 (S-14) in the flowchart of Fig. 6. The water dissolving process and the water washing process shown in step I4 are wet etching processes. It can be considered a kind of preprocess and wet etching process.

다음으로, 도 6 및 도 8b에 나타난 바와 같이, 제1 실시예에 따른 단계 8(S- 8)의 경우에서와 같이, RELACSTM 막(7)을 포함하는 수용액(26)이 제1 레지스트 패턴(23)(레지스트막(22))에 제공되어, 잔류물(25)이 제거되어진 제1 홀 패턴(23a)의 내부에 수용액(26)이 채워지게 된다.Next, as shown in Figs. 6 and 8B, as in the case of step 8 (S-8) according to the first embodiment, the aqueous solution 26 including the RELACS TM film 7 is formed into a first resist pattern. (23) (resist film 22), the aqueous solution 26 is filled in the first hole pattern 23a from which the residue 25 has been removed.

다음으로, 도 6 및 도 9A에 나타난 바와 같이, 수용성 RELACSTM 재료(26)는 스핀 건조된다. 따라서, 수용성 RELACSTM 재료(26)내에 포함된 거의 모든 수분은 증발하게 되어 RELACSTM 재료(26)는 건조 스핀 코팅막(27)으로 바뀐다.Next, as shown in FIGS. 6 and 9A, the water soluble RELACS material 26 is spin dried. Thus, almost all moisture contained in the water-soluble RELACS material 26 will evaporate and the RELACS material 26 will turn into a dry spin coating film 27.

다음으로, 도 6 및 도 9b에 나타난 바와 같이, 제1 실시예에 따른 단계 9(S-9)의 경우에서와 같이, RELACSTM 재료(26)를 포함하는 스핀 코팅막(27)과 레지스트막(22)에 대해 베이킹 프로세스가 수행된다. 이러한 스핀 코팅막(27)의 RELACSTM 재료는 레지스트막(22)과 상호작용하여 제1 레지스트 패턴(23)(레지스트막(22))의 프론트 표면 상에 RELACSTM 막(28)을 형성한다. 다음으로, RELACSTM 막(28)이 형성된 전체 반도체 기판(1)이 냉각된다.Next, as shown in FIGS. 6 and 9B, as in the case of step 9 (S-9) according to the first embodiment, the spin coating film 27 and the resist film (including the RELACS TM material 26) For 22) a baking process is performed. The RELACS material of this spin coating film 27 interacts with the resist film 22 to form a RELACS film 28 on the front surface of the first resist pattern 23 (resist film 22). Next, the entire semiconductor substrate 1 on which the RELACS film 28 is formed is cooled.

다음으로, 도면에는 도시되지 않았지만, 제1 실시예에 따른 단계 10(S-10)의 경우에서와 같이, 전체 냉각된 반도체 기판(1)은 물로 세정되어, 제1 홀 패턴(23a)의 내부로부터 그리고 제1 레지스트 패턴(23)의 프런트 표면으로부터, RELACSTM 막(28)으로 바뀌지 않은 수용성 스핀 코팅막(27)을 제거한다. 따라서, RELACSTM 막(28)만이 제1 홀 패턴(23a)의 내부측 표면과 제1 레지스트 패턴(23)의 프론트 표면상에 남겨진다. 그 결과, 제1 홀 패턴(23a)는 부분적으로 노출됨으로써 감소되며, 즉 바닥 표면의 에지를 제외한 제1 홀 패턴(23a)의 전체 영역을 노출함으로써 감소된다. 이 경우, 제1 홀 패턴(23a)이 감소되어, 패턴(23a)의 직경이 전술한 약 45nm에서 약 30nm로 감소되게 된다. 제1 홀 패턴(23a)이 감소되어진 협소 공간 패턴은 제2 홀 패턴을 구성한다. 제2 홀 패턴을 포함하고 제1 레지스트 패턴(23)과 RELACSTM 막(28)로 이루어진 레지스트 패턴은 제2 레지스트 패턴을 구성한다. 단계 10, 즉, 물 세정 단계에서, 수용액은 물 대신에 세정액(wash fluid)로서 사용되는 경우, 수용액은 세정용으로 사용될 수 있다. 따라서, 본 실시예에 따른 패턴 형성 방법의 주요 단계들이 완료되었다.Next, although not shown in the figure, as in the case of step 10 (S-10) according to the first embodiment, the entire cooled semiconductor substrate 1 is washed with water to form the interior of the first hole pattern 23a. From and from the front surface of the first resist pattern 23, the water soluble spin coating film 27 that is not changed to the RELACS film 28 is removed. Thus, only the RELACS film 28 is left on the inner surface of the first hole pattern 23a and the front surface of the first resist pattern 23. As a result, the first hole pattern 23a is reduced by partially exposing, that is, reduced by exposing the entire area of the first hole pattern 23a except the edge of the bottom surface. In this case, the first hole pattern 23a is reduced so that the diameter of the pattern 23a is reduced from about 45 nm to about 30 nm. The narrow space pattern in which the first hole pattern 23a is reduced constitutes the second hole pattern. The resist pattern including the second hole pattern and consisting of the first resist pattern 23 and the RELACS film 28 constitutes the second resist pattern. In step 10, that is, in the water washing step, when the aqueous solution is used as a wash fluid instead of water, the aqueous solution can be used for washing. Thus, the main steps of the pattern forming method according to this embodiment have been completed.

다음으로, 도면에는 도시되지 않았지만, 도 4b 및 도 5a 내지 도 5c를 참조하여 제1 실시예에서 기술된 것과 유사한 단계들은 층간 절연막(2) 내부에, 측면 및 하부면상에 베리어 금속막으로 피복되며 약 45nm의 직경을 갖는 미세한 콘택 플러그(12)를 형성하기 위해 수행된다. 따라서, 본 실시예에 따른 전자 장치를 제조하는 방법의 주요 단계들이 완료되었다.Next, although not shown in the figures, steps similar to those described in the first embodiment with reference to FIGS. 4B and 5A to 5C are covered with a barrier metal film on the side and bottom surfaces inside the interlayer insulating film 2. To form a fine contact plug 12 having a diameter of about 45 nm. Thus, the main steps of the method of manufacturing the electronic device according to the present embodiment have been completed.

본 발명자들은 전자빔의 조사에 기초한 충전 현상(charge up phenomenon)을 활용하는 전압 콘트라스트 방법을 이용하여 결함 홀 발생율을 위하여, 제2 홀 패턴에 기초하여 층간 절연막(2)에 콘택 플러그가 형성되는 콘택 홀 패턴을 실험하였다. 그 결과, 미개방 콘택 홀 패턴 대 수용가능한 콘택 홀 패턴의 비율은 1 내지 1억이었다. 즉, 실험 결과는, 본 실시예에 따르면, 미세한 콘택 플러그가 형성되는 콘택 홀 패턴의 결함의 발생율은 제1 실시예의 경우만큼 매우 낮다는 것을 나타낸다. 따라서, 본 실시예는 잔류물 제거 프로세스가 수행되지 않은 경우에 비해 결함 발생율이 현저하게 향상시킨다.The present inventors have contact holes in which contact plugs are formed in the interlayer insulating film 2 based on a second hole pattern for a defect hole generation rate using a voltage contrast method utilizing a charge up phenomenon based on the irradiation of an electron beam. The pattern was tested. As a result, the ratio of unopened contact hole pattern to acceptable contact hole pattern was 100 to 100 million. That is, the experimental results indicate that, according to the present embodiment, the incidence of defects in the contact hole patterns in which the fine contact plugs are formed is very low as in the case of the first embodiment. Thus, this embodiment significantly improves the defect incidence rate as compared with the case where no residue removal process is performed.

이제, 본 실시예의 비교예가 기술될 것이다. 본 발명자들은 잔류물 제거 프로세스 단계를 생략한 채로 RELACSTM 막을 형성하였다; 이 잔류물 제거 프로세스 단계는 물 용해 단계 및 물 세정 단계로 이루어지며, 도 6의 흐름도의 단계 14(S-14)에 대응하고 도 7a, 도7b 및 도 8a를 참조하여 상술되었다. 즉, 전술한 제1 실시예의 비교예의 경우에서와 같이, 제1 홀 패턴에 남겨진 레지스트막의 잔류물을 제거하지 않고, RELACSTM 막은 제1 레지스트 패턴의 상부면 및 측벽 표면상에 선택적으로 성장 및 형성되었다. 따라서, 제1 홀 패턴의 직경은 약 45nm에서 약 30nm로 감소되어 제2 홀 패턴을 형성하였다.Now, a comparative example of this embodiment will be described. We formed a RELACS membrane with the residue removal process step omitted; This residue removal process step consists of a water dissolving step and a water washing step, corresponding to step 14 (S-14) of the flowchart of FIG. 6 and described above with reference to FIGS. 7A, 7B and 8A. That is, as in the case of the comparative example of the first embodiment described above, without removing the residue of the resist film left in the first hole pattern, the RELACS film is selectively grown and formed on the top surface and sidewall surfaces of the first resist pattern. It became. Thus, the diameter of the first hole pattern was reduced from about 45 nm to about 30 nm to form a second hole pattern.

그 다음으로, 전술한 단계들로 형성된 제2 홀 패턴에 기초하여, 콘택 플러그가 형성된 콘택 홀 패턴은 층간 절연막내에 형성되었다. 그 다음, 콘택 홀 패턴은 전술한 전압 콘트라스트 방법을 이용하여 결함 홀 발생율에 대해 실험되었다. 그 결과, 미개방 콘택 홀 패턴 대 수용가능한 콘택 홀 패턴의 비율은 약 10 내지 1만이었다. 이 콘택 홀 패턴의 결함 홀 발생율은 전술한 본 발명에 따른 것보다 훨씬 더 높으며, 즉, 본 실시예에 따른 것만큼 약 10만배 높다. Then, based on the second hole pattern formed in the above steps, the contact hole pattern in which the contact plug was formed was formed in the interlayer insulating film. The contact hole pattern was then tested for defective hole incidence using the voltage contrast method described above. As a result, the ratio of unopened contact hole pattern to acceptable contact hole pattern was about 10 to 10,000. The defect hole occurrence rate of this contact hole pattern is much higher than that according to the present invention described above, that is, about 100,000 times higher than that according to the present embodiment.

또한, 본 발명의 발명자들은 미개방 제2 홀 패턴의 단면 형태를 조사하여, 약 30㎚ 폭의 레지스트막의 잔류물이 미개방 제2 홀 패턴 내에 형성된다는 것을 발견하였다. 이 레지스트막의 잔류물은 RELACS™ 재료와 상호작용하여 잔류물을 성장시키고, 이에 따라, 제2 홀 패턴의 바닥부를 실질적으로 완전히 채우게 된다. 그 결과, 허용 홀 패턴에 대한 결함 홀 패턴의 비율은 약 10 내지 10000이 된다. 이러한 결함의 발생 메카니즘은 제1 실시예에서 기술한 바와 같으므로 후술하지 않는다. In addition, the inventors of the present invention examined the cross-sectional shape of the unopened second hole pattern and found that a residue of the resist film having a width of about 30 nm was formed in the unopened second hole pattern. The residue of this resist film interacts with the RELACS ™ material to grow the residue, thereby substantially filling the bottom of the second hole pattern substantially completely. As a result, the ratio of the defect hole pattern to the allowable hole pattern is about 10 to 10000. The mechanism of occurrence of such a defect is as described in the first embodiment and will not be described later.

전술한 바와 같이, 제2 실시예는 전술한 제1 실시예와 유사한 효과를 발휘할 수 있다. 건식 에칭 공정에 의해 잔류물 제거 프로세스를 수행하는 제1 실시예와 달리, 본 실시예는, 습식 에칭 공정에 의해 잔류물 제거 프로세스를 수행한다. 일반적으로, 습식 에칭 공정은 건식 에칭 공정보다 에칭 효율성이 더 높고, 에칭 장치의 구성을 더 간단하게 한다. 따라서, 본 실시예는 제1 실시예보다 더 효율적이며 제조 비용이 덜 요구된다. As described above, the second embodiment can have an effect similar to that of the first embodiment described above. Unlike the first embodiment, in which the residue removal process is performed by the dry etching process, the present embodiment performs the residue removal process by the wet etching process. In general, the wet etching process has higher etching efficiency than the dry etching process and makes the construction of the etching apparatus simpler. Therefore, this embodiment is more efficient than the first embodiment and requires less manufacturing cost.

본 실시예는 전술한 바와 같이 EUV 노출을 이용한다. 그러나, 본 발명은 이 양상으로 제한되는 것은 아니다. 본 발명자들의 실험의 결과는, 제1 실시예의 경우와 같이, EUV 화학 증폭 레지스트(22) 대신에 KrF 화학 증폭 레지스트를 이용하고 노출 광원으로서 EUV 광 대신에 KrF 광을 이용하는 노출 프로세스를 본 실시예에 적용함으로써, 전술한 것과 유사한 효과를 또한 얻을 수 있다는 것을 보여준다. 마찬가지로, 본 실시예에서는, ArF 노출 프로세스 또는 수은 램프로부터의 I 라인을 사용하는 노출 프로세스가 적용될 때에도 전술한 것과 유사한 효과를 얻을 수 있다는 것이 발견되었다. This embodiment uses EUV exposure as described above. However, the present invention is not limited to this aspect. As a result of the experiments of the present inventors, as in the case of the first embodiment, an exposure process using KrF chemical amplification resist instead of EUV chemical amplification resist 22 and KrF light instead of EUV light as an exposure light source is shown in this embodiment. By application, it is shown that effects similar to those described above can also be obtained. Similarly, in the present embodiment, it has been found that effects similar to those described above can be obtained even when an ArF exposure process or an exposure process using an I line from a mercury lamp is applied.

본 실시예는 약 45㎚ 내지 약 30㎚의 제1 홀 패턴(23a)의 직경을 감소시키는 프로세스를 수행한다. 그러나, 제1 홀 패턴(23a) 또는 제2 홀 패턴의 사이즈는 이러한 양상으로 제한되는 것은 아니다. 제1 실시예와 유사하게, 본 실시예는, 예를 들어, 노광 장치에 대한 조명 조건 및 NA 조건에 의해 결정되는 임계 분해능에 근접한 사이즈로 홀 또는 공간 패턴의 폭을 감소시키는 공정에도 물론 적용가능하다. 또한, 제1 홀 패턴(23a)으로부터 제2 홀 패턴으로의 축소량(홀이 좁아지는 양)은 약 15㎚이다. 그러나, 이 축소량은 이러한 양상으로 제한되는 것은 아니다. 제1 실시예의 경우에서와 같이, 협소 공간 패턴 형성 프로세스 동안의 축소량의 증가는 본 실시예의 적용가능성을 더욱 유리하게 한다. This embodiment performs a process of reducing the diameter of the first hole pattern 23a of about 45 nm to about 30 nm. However, the size of the first hole pattern 23a or the second hole pattern is not limited to this aspect. Similar to the first embodiment, this embodiment is of course also applicable to a process of reducing the width of a hole or space pattern to a size close to the critical resolution determined by, for example, an illumination condition and an NA condition for an exposure apparatus. Do. In addition, the amount of reduction (the amount by which the hole is narrowed) from the first hole pattern 23a to the second hole pattern is about 15 nm. However, this reduction amount is not limited to this aspect. As in the case of the first embodiment, an increase in the amount of reduction during the narrow space pattern forming process makes the applicability of the present embodiment more advantageous.

또한, 공간부 또는 홀부와 같은 제1 패턴(23a)이 수축될 필요가 없더라도, 제1 공간 패턴(23a)이 레지스트 패턴의 형성 후에 높은 결함 발생을 나타내는 경우에, 본 프로세스는 물론 효과적으로 적용가능하다. 더욱이, 제1 실시예의 경우와 같이, 본 실시예의 적용은, 노광 장치의 분해능의 한계에 근접한 사이즈로 미세한 공간 패턴을 형성하는 공정으로 제한되는 것은 아니다. 본 실시예는, 예를 들어, 전술한 도 6의 공정 14에서 도시된 물 용해 프로세스 및 물 세척 프로세스에서, 제1 레지스트 패턴(23)(레지스트막(22))이 물 또는 수용액에서 용해되어 제1 공간 패턴(23a)으로 확장하는 경우에 적용가능하고, 그 다음 본 실시예는 확장된 제1 공간 패턴(23a)을 조정한다. 본 실시예는 제1 공간 패턴(23a)의 확장에 대응하는 양의 RELACS™ 막(28)을 형성하여, 제1 공간 패턴(23a)을 좁힐 수 있다. 따라서, 통상 사이즈의 공간 패턴이 노출광으로서 일반적인 자외선 광을 이용해서 형성되더라도, 본 실시예는 결함 발생율을 급격하게 낮추면서 원하는 형태로 패턴을 형성할 수 있다.Further, even if the first pattern 23a, such as the space portion or the hole portion, does not need to be shrunk, in the case where the first space pattern 23a exhibits high defect occurrence after the formation of the resist pattern, the process is of course effectively applicable. . Furthermore, as in the case of the first embodiment, the application of this embodiment is not limited to the process of forming a fine spatial pattern at a size close to the limit of the resolution of the exposure apparatus. In this embodiment, for example, in the water dissolving process and the water washing process shown in the process 14 of FIG. 6 described above, the first resist pattern 23 (resist film 22) is dissolved in water or an aqueous solution, Applicable in the case of extending into one spatial pattern 23a, the present embodiment then adjusts the expanded first spatial pattern 23a. In this embodiment, the amount of the RELACS ™ film 28 corresponding to the expansion of the first spatial pattern 23a may be formed to narrow the first spatial pattern 23a. Therefore, even if a spatial pattern of normal size is formed using general ultraviolet light as the exposure light, the present embodiment can form the pattern in a desired shape while drastically lowering the defect occurrence rate.

또한, 전술한 바와 같이, 본 실시예에서, 용해하기 쉬운 제1 레지스트 패턴(23)을 형성하는 공정은 물에서 용해가능한 제1 레지스트 패턴(23)을 형성하는 공정에 대응한다. 본 실시예는, 물 또는 수용액이 제1 홀 패턴(23a) 내부로부터 잔류물(22a)을 제거하기 위한 부식액(etchant)으로서 사용되는 것을 특징으로 한다. 소수성 잔류물(22a)이 물 또는 수용액을 사용해서 제거되게 하기 위해서, 본 실시예는 소수성 제1 레지스트 패턴(23) 및 잔류물(22a)의 프론트 표면 상에 수분 함유 막(24)을 형성한 다음, 자외선 광으로 제1 레지스트 패턴(23)을 조사한다. 따라서, 수산기 래디컬(OH 래디컬)이 제1 레지스트 패턴(23) 및 잔류물(22a)의 프론트 표면 상에 생성되어, 제1 레지스트 패턴(23) 및 잔류물(22a)의 프론트 표면이 수산기 래디컬과 반응할 수 있도록 한다. 이는, 수산기 래디컬과 반응한 제1 레지스트 패턴(23) 및 잔류물(22a)의 프론트 표면에서의 수산기의 수를 증가시킨다. 따라서, 소수성 제1 레지스트 패턴(23) 및 잔류물(22a)은 물 또는 수용액에서 높은 용해도를 나타낸다. In addition, as described above, in this embodiment, the process of forming the first resist pattern 23 that is easy to dissolve corresponds to the process of forming the first resist pattern 23 that is soluble in water. This embodiment is characterized in that water or an aqueous solution is used as an etchant for removing the residue 22a from inside the first hole pattern 23a. In order to allow the hydrophobic residue 22a to be removed using water or an aqueous solution, this embodiment forms a water-containing film 24 on the front surface of the hydrophobic first resist pattern 23 and the residue 22a. Next, the first resist pattern 23 is irradiated with ultraviolet light. Thus, hydroxyl radicals (OH radicals) are produced on the front surfaces of the first resist pattern 23 and the residue 22a, so that the front surfaces of the first resist pattern 23 and the residue 22a are combined with the hydroxyl radicals. Allow it to respond. This increases the number of hydroxyl groups on the front surface of the first resist pattern 23 and the residue 22a that reacted with the hydroxyl radicals. Accordingly, the hydrophobic first resist pattern 23 and the residue 22a exhibit high solubility in water or in aqueous solution.

그러나, 이러한 원리는 제1 레지스트 패턴(23)의 프론트 표면이 수분 함유 막(24)을 형성하도록 물을 흡수하게 하는 방법으로 제한되는 것은 아니다. 제1 레지스트 패턴(23) 및 잔류물(22a)의 프론트 표면이 예를 들어, 물 대신에 과산화수소를 흡수하도록 함으로써 유사한 효과가 얻어질 수 있다. 제1 레지스트 패턴(23) 및 잔류물(22a)의 프론트 표면이 과산화수소를 흡수하게 되면, 제1 레지스트 패 턴(23) 및 잔류물(22a)의 프론트 표면은, 최대 약 250㎚의 파장을 가지며 과산화수소에 의해 흡수될 수 있는 파장을 갖는 광으로 조사될 것이다. 따라서, 패턴 형성 프로세스는, 전술한 바와 같은 본 실시예에 따른 프로세스와 유사하게 구현될 수 있다. 즉, 제1 레지스트 패턴(23) 및 잔류물(22a)로 방사되는 광은 전술한 엑시머 선(excimer ray)으로 제한되는 것은 아니다. 전술한 본 실시예에 따른 프로세스와 유사한 패턴 형성 프로세스가, 제1 레지스트 패턴(23) 및 잔류물(22a)의 프론트 표면 상에 흡수된 물 또는 과산화수소에 의해 흡수될 수 있는 파장을 갖는 광을 이용해서 구현될 수 있다. However, this principle is not limited to the manner in which the front surface of the first resist pattern 23 allows water to be absorbed to form the moisture containing film 24. Similar effects can be obtained by allowing the front surfaces of the first resist pattern 23 and the residue 22a to absorb hydrogen peroxide, for example instead of water. When the front surfaces of the first resist pattern 23 and the residue 22a absorb hydrogen peroxide, the front surfaces of the first resist pattern 23 and the residue 22a have a wavelength of up to about 250 nm. It will be irradiated with light having a wavelength that can be absorbed by hydrogen peroxide. Thus, the pattern forming process can be implemented similarly to the process according to the present embodiment as described above. That is, the light emitted to the first resist pattern 23 and the residue 22a is not limited to the excimer ray described above. A pattern forming process similar to the process according to this embodiment described above uses light having a wavelength that can be absorbed by water or hydrogen peroxide absorbed on the front surface of the first resist pattern 23 and the residue 22a. Can be implemented.

또한, 레지스트 패턴(23)의 형성 후에 제1 홀 패턴(공간부)(23a)에 남아 있는 원하지 않는 레지스트막(잔류물)(22a)을 제거하기 위해서, 전술한 방법은 레지스트 패턴(23) 상에 용해 프로세스를 수행하여 액체에 쉽게 용해될 수 있는 레지스트막을 형성한 다음, 그 액체를 사용해서 제거 프로세스를 수행한다. 그러나, 이 방법의 적용예는, 본 실시예의 경우에서와 같이 제거 프로세스 후에 공간 패턴을 감소시키는 프로세스로 제한되는 것은 아니다. 본 실시예에서 사용된 방법은, 프로세스가 프로세싱 공정으로 바로 진행하는 공간부에 남아 있는 원하지 않는 레지스트막의 제거 프로세스에도 물론 적용가능하다. 이 경우에, 용해 프로세스가 아직 수행되지 않은 공간 패턴은, 레지스트 패턴이 용해 프로세스 및 제거 프로세스의 결과로서 확장되는 공간 폭만큼 미리 좁아지는(미리 가늘어지는) 것이 바람직하다. Further, in order to remove the unwanted resist film (residue) 22a remaining in the first hole pattern (space portion) 23a after the formation of the resist pattern 23, the above-described method is performed on the resist pattern 23. The dissolution process is performed to form a resist film that can be easily dissolved in a liquid, and then the removal process is performed using the liquid. However, the application of this method is not limited to the process of reducing the spatial pattern after the removal process as in the case of this embodiment. The method used in this embodiment is, of course, also applicable to the process of removing the unwanted resist film remaining in the space portion where the process proceeds directly to the processing process. In this case, it is preferable that the space pattern for which the dissolution process has not yet been performed is narrowed in advance by the space width in which the resist pattern is expanded as a result of the dissolution process and the removal process.

(제3 실시예)(Third Embodiment)

이제, 본 발명의 제3 실시예에 따른 패턴 형성 방법이 도 10, 11a 및 11b를 참조해서 설명될 것이다. 제1 및 제2 실시예의 구성요소와 동일한 제3 실시예의 구성요소는 동일한 참조 번호로 표시되고 상세하게 설명되지 않을 것이다. 본 실시예는 홀 패턴 내부로부터 잔류물을 제거하는 공정을 제외하고는 제1 실시예와 실질적으로 유사하다. 제3 실시예가 구체적으로 후술될 것이다.Now, the pattern forming method according to the third embodiment of the present invention will be described with reference to FIGS. 10, 11A and 11B. Components in the third embodiment that are identical to those in the first and second embodiments are denoted by the same reference numerals and will not be described in detail. This embodiment is substantially similar to the first embodiment except for the process of removing residue from inside the hole pattern. The third embodiment will be described later in detail.

먼저, 도 10 및 11a에 도시된 바와 같이, 제1 실시예에 따른 공정 1(S-1) 내지 6(S-6)의 경우에서와 같이, 약 100㎚ 직경의 제1 홀 패턴(5a)을 포함하는 제1 레지스트 패턴(5)이 반도체 기판(1)의 프론트 표면(1a) 상에 제공되는 레지스트막(4) 상에 형성된다. 원하지 않는 레지스트막(4a)이 잔류물로서 제1 홀 패턴 내에 남아 있다. First, as shown in FIGS. 10 and 11A, as in the case of the processes 1 (S-1) to 6 (S-6) according to the first embodiment, the first hole pattern 5a having a diameter of about 100 nm. A first resist pattern 5 including is formed on the resist film 4 provided on the front surface 1a of the semiconductor substrate 1. Undesired resist film 4a remains in the first hole pattern as a residue.

후속하여, 제1 실시예의 공정 7(S-7)의 경우에서와 같이, 원하지 않는 레지스트막(4a)이 제1 홀 패턴(5a) 내부로부터 제거된다. 그러나, 제1 실시예와 달리, 본 실시예는 잔류물(22a)을 제거하기 위해 이방성 에칭을 이용하지 않는다. 본 실시예에서는, 먼저, 제2 실시예에 따른 공정 14(S-14)의 경우에서와 같이, 제1 홀 패턴(5a)에 남아 있는 원하지 않는 레지스트막(4a)을 제거하기 위해서, 용해 프로세스가 레지스트 패턴(5) 상에 수행되어 레지스트막(4)이 액체에 쉽게 용해되도록 한다. 후속하여, 제거 액체는 원하지 않는 레지스트막(4a)을 제거하는 프로세스를 수행하는데 사용된다. 그러나, 제2 실시예와는 달리, 본 실시예는 물 대신에 알칼리 용액을 사용해서 원하지 않는 레지스트막(4a)을 제거하는 프로세스를 수행한다. 본 실시예는 보다 구체적으로 후술될 것이다. Subsequently, as in the case of step 7 (S-7) of the first embodiment, the unwanted resist film 4a is removed from inside the first hole pattern 5a. However, unlike the first embodiment, this embodiment does not use anisotropic etching to remove the residue 22a. In this embodiment, first, as in the case of step 14 (S-14) according to the second embodiment, in order to remove the unwanted resist film 4a remaining in the first hole pattern 5a, a dissolution process Is performed on the resist pattern 5 so that the resist film 4 is easily dissolved in the liquid. Subsequently, the removal liquid is used to perform the process of removing the unwanted resist film 4a. However, unlike the second embodiment, this embodiment performs a process of removing the unwanted resist film 4a by using an alkaline solution instead of water. This embodiment will be described below in more detail.

우선, 용해 프로세스가 레지스트막(4)의 전체 제1 레지스트 패턴(5) 및 잔류물(4a) 상에서 수행되어 레지스트막(4)의 프론트 표면이 알칼리 용액에 쉽게 용해되도록 한다. 도시하지는 않았지만, 잔류물(4a)을 형성하는 레지스트막(4) 및 제1 레지스트 패턴(5)의 프론트 표면은 193㎚ 파장의 ArF 광으로 전체적으로 조사된다. 따라서, 도 11a에 도시된 바와 같이, 레지스트막(4)으로 구성된 전체 잔류물(4a) 및 제1 레지스트 패턴(5)의 프론트 표면 층 부분 상에 산이 생성되어, 제1 레지스트 패턴(5)의 제1 층 부분의 프론트 표면 층 부분 및 전체 잔류물(4a)을, 알칼리 용액에 쉽게 용해될 수 있는 쉽게 용해가능한 막(31)으로 변화시킨다. 이 경우에, ArF 광의 조사량은, 부식액으로서 약 12의 pH 값을 갖는 알칼리 용액을 이용해서 잔류물(4a)이 제거될 때, 레지스트막(4)의 프론트 표면 층 부분이 약 5㎚만큼 용해되어 디싱을 발생시키는 조건을 만족하도록 설정된다. First, a dissolution process is performed on the entire first resist pattern 5 and the residue 4a of the resist film 4 so that the front surface of the resist film 4 is easily dissolved in the alkaline solution. Although not shown, the front surfaces of the resist film 4 and the first resist pattern 5 forming the residue 4a are entirely irradiated with ArF light having a wavelength of 193 nm. Thus, as shown in FIG. 11A, an acid is generated on the entire residue 4a composed of the resist film 4 and on the front surface layer portion of the first resist pattern 5, so that the first resist pattern 5 is formed. The front surface layer part and the entire residue 4a of the first layer part are changed into an easily soluble film 31 which can be easily dissolved in the alkaline solution. In this case, when the residue 4a is removed using an alkaline solution having a pH value of about 12 as the corrosion solution, the amount of ArF light is dissolved by about 5 nm by dissolving the front surface layer portion of the resist film 4. It is set to satisfy the condition for generating dishing.

그 다음, 도 10 및 11b에 도시된 바와 같이, 제1 홀 패턴(5a)의 내부는, 세척액으로서, 순수 물로 테트라메틸 암모늄 수산화물(TMAH;tetramethyl ammonium hydroxide) 현상액을 희석하여 생성되는 약 12의 pH 값을 갖는 알칼리 용액을 이용해서 세척된다. 레지스트 결함으로서 제1 홀 패턴(5a) 내에 남아 있는 잔류물(31)(4a)은 패터닝 동안에 이미 노출되었다. 따라서 잔류물(31)(4a)은, 패터닝 동안에 노출되지 않은 레지스트 패턴부(레지스트막(4)) 보다 약 12의 pH 값을 갖는 알칼리 용액에 더욱 잘 용해될 수 있다. 그 결과, 약 20㎚의 사이즈를 갖더라도, 잔류물(31)(4a)은 제1 홀 패턴(5a) 내부로부터 실질적으로 완전하게 제거될 수 있다. 그러나, 레지스트막(4)의 프론트 표면 층 부분은 약 5㎚만큼 알칼리 용액에 용해되어 디싱을 발생시킨다. 전술한 용해 프로세스(광 조사 프로세스) 및 세척 프로세스는 도 10의 플로우차트에서 공정 21(S-21)로 도시된다. Next, as shown in FIGS. 10 and 11B, the inside of the first hole pattern 5a has a pH of about 12 generated by diluting a tetramethyl ammonium hydroxide (TMAH) developer with pure water as a washing solution. It is washed with an alkaline solution having a value. Residues 31 (4a) remaining in the first hole pattern 5a as resist defects were already exposed during patterning. Thus, the residues 31 and 4a can be more dissolved in an alkaline solution having a pH value of about 12 than the resist pattern portion (resist film 4) not exposed during patterning. As a result, even with a size of about 20 nm, the residues 31 and 4a can be substantially completely removed from inside the first hole pattern 5a. However, the front surface layer portion of the resist film 4 is dissolved in an alkaline solution by about 5 nm to generate dishing. The above-mentioned dissolution process (light irradiation process) and washing process are shown as process 21 (S-21) in the flowchart of FIG.

후속하여, 도시하지는 않았지만, 제1 실시예에 따른 공정 8(S-8) 내지 10(S-10)의 경우에서와 같이, RELACS™ 막(7)은 제1 홀 패턴(5a)의 바닥면의 에지 상, 및 제1 홀 패턴(5a)의 내부측 표면에 걸쳐 선택적으로 형성된다. 이에 따라 약 80㎚ 직경의 제2 홀 패턴(8a)이 형성된다. 제2 홀 패턴(8a)의 형성 공정이 완료된 후에, 본 발명의 발명자들은, 제1 실시예의 경우에서와 같이, 약 60㎚의 분해능을 갖는 DUV 광 결함 검사 장치를 사용해서 결함 검사를 수행한다. 그 다음, 수용가능한 제2 홀 패턴(8a)에 대한 미개방 제2 홀 패턴(8a)의 비율은, 제1 실시예의 경우에서와 같이 약 1 내지 100,000,000이 된다. 이에 따라 본 실시예에 따른 패턴 형성 방법의 주 공정이 완료된다. Subsequently, although not shown, as in the case of processes 8 (S-8) to 10 (S-10) according to the first embodiment, the RELACS ™ film 7 has a bottom surface of the first hole pattern 5a. It is selectively formed over the edge of and over the inner surface of the first hole pattern 5a. As a result, a second hole pattern 8a having a diameter of about 80 nm is formed. After the process of forming the second hole pattern 8a is completed, the inventors of the present invention perform defect inspection using a DUV optical defect inspection apparatus having a resolution of about 60 nm, as in the case of the first embodiment. Then, the ratio of the unopened second hole pattern 8a to the acceptable second hole pattern 8a is about 1 to 100,000,000 as in the case of the first embodiment. Thereby, the main process of the pattern formation method which concerns on a present Example is completed.

그 다음, 도시하지는 않았지만, 도 4b 및 5a 내지 5c를 참조해서 제1 실시예에서 기술된 공정과 유사한 공정이 수행되어, 층간 절연막(2) 내부에, 측면 및 바닥면 상에 장벽 금속막이 피복되고 약 80㎚의 직경을 갖는 미세 컨택 플러그(12)를 형성한다. 이에 따라 본 실시예에 따른 전자 장치 제조 방법의 주 공정이 완료된다. Then, although not shown, a process similar to the process described in the first embodiment with reference to FIGS. 4B and 5A to 5C is performed to coat the barrier metal film on the side and bottom surfaces inside the interlayer insulating film 2. Fine contact plugs 12 having a diameter of about 80 nm are formed. As a result, the main process of the electronic device manufacturing method according to the present embodiment is completed.

전술한 바와 같이, 제3 실시예는 전술한 제1 및 제2 실시예와 유사한 효과를 발휘할 수 있다. 또한, RELACS™ 막(7)의 재료(6)가 약 12의 pH 값을 갖는 알칼리 수용액이면, 잔류물(4a)을 제거하는 공정은 RELACS™ 막(7)을 형성하는 공정과 결합될 수 있다. 이는 제5 실시예에서 후술될 것이다. 세척액(부식액)의 pH 값은 반드시 약 12로 설정될 필요는 없다. 세척액의 pH 값은, 잔류물(4a)이 잔류물(4a)의 사이즈 등에 따라 적절하게 제거될 수 있도록 적절하게 변경될 수 있다. As described above, the third embodiment can exert effects similar to those of the first and second embodiments described above. In addition, if the material 6 of the RELACS ™ film 7 is an aqueous alkaline solution having a pH value of about 12, the process of removing the residue 4a may be combined with the process of forming the RELACS ™ film 7. . This will be described later in the fifth embodiment. The pH value of the wash (corrosive) does not necessarily need to be set to about 12. The pH value of the washing liquid can be appropriately changed so that the residue 4a can be properly removed depending on the size of the residue 4a and the like.

본 실시예는 제1 홀 패턴(5a)의 직경을 약 100㎚에서 약 80㎚로 줄이는 프로세스를 수행한다. 그러나, 제1 홀 패턴(5a) 및 제2 홀 패턴(8a)의 사이즈는 이러한 양상으로 제한되는 것은 아니다. 제1 실시예와 마찬가지로, 본 실시예는 예를 들어, 노광 장치에 대한 조명 조건 및 NA 조건에 의해 결정되는 임계 분해능에 근접한 사이즈로 홀 또는 공간 패턴의 폭을 감소시키는 공정에도 물론 적용가능하다. 또한, 본 실시예에서, 제1 홀 패턴(5a)으로부터 제2 홀 패턴(8a)으로의 축소량은 제1 실시예의 경우에서와 같이, 약 20㎚(알칼리 세척 공정 후에, 약 30㎚)이다. 그러나, 이 축소량은 이러한 양상으로 제한되는 것은 아니다. 제1 실시예의 경우에서와 같이, 협소 공간 패턴 형성 프로세스 동안의 축소량의 증가는 본 실시예의 적용가능성을 더욱 유리하게 한다. This embodiment performs a process of reducing the diameter of the first hole pattern 5a from about 100 nm to about 80 nm. However, the size of the first hole pattern 5a and the second hole pattern 8a is not limited to this aspect. Like the first embodiment, this embodiment is of course also applicable to the process of reducing the width of the hole or spatial pattern to a size close to the critical resolution determined by, for example, the illumination condition and the NA condition for the exposure apparatus. Further, in this embodiment, the amount of reduction from the first hole pattern 5a to the second hole pattern 8a is about 20 nm (about 30 nm after the alkali cleaning process), as in the case of the first embodiment. . However, this reduction amount is not limited to this aspect. As in the case of the first embodiment, an increase in the amount of reduction during the narrow space pattern forming process makes the applicability of the present embodiment more advantageous.

전술한 바와 같이, 본 실시예에서, 용해하기 쉬운 제1 레지스트 패턴(5)의 프론트 표면 층 부분을 형성하는 공정은, 제1 레지스트 패턴(5)의 프론트 표면 층 부분 상에 산을 생성하여 이 프론트 표면 층 부분이 알칼리 용액에 쉽게 용해가능하게 하는 공정에 대응한다. 본 실시예는, 알칼리 용액이 제1 홀 패턴(5a)에서 잔류물(4a)을 제거하기 위한 세척액(부식액)으로서 사용되는 것을 특징으로 한다. 또한, 제1 레지스트 패턴(5)의 프론트 표면 층 부분 상에 산을 생성하기 위해서, 본 실시예는, 레지스트막(4)이 민감한 파장을 갖는 광으로 레지스트막(4)의 프론트 표면을 조사한다. 프론트 표면에 방사된 광의 강도는, 제1 홀 패턴(5a)에 남아 있 는 잔류물(4a)(레지스트 결함)이 이 광에 의해 용해될 수 있을 때 충분하고, 디싱과 같은 제1 레지스트 패턴(5)의 저하가 허용가능한 범위내에 포함되도록 설정되는 것이 바람직하다. As described above, in the present embodiment, the process of forming the front surface layer portion of the first resist pattern 5 which is easy to dissolve generates acid on the front surface layer portion of the first resist pattern 5, thereby producing the acid. Corresponds to the process in which the front surface layer portion is readily soluble in alkaline solution. This embodiment is characterized in that an alkaline solution is used as a washing liquid (corrosion liquid) for removing the residue 4a in the first hole pattern 5a. In addition, in order to generate an acid on the front surface layer portion of the first resist pattern 5, the present embodiment irradiates the front surface of the resist film 4 with light in which the resist film 4 has a sensitive wavelength. . The intensity of the light emitted on the front surface is sufficient when the residue 4a (resist defect) remaining in the first hole pattern 5a can be dissolved by this light, and the first resist pattern (such as dishing) It is preferable that the deterioration of 5) be set so as to fall within an acceptable range.

또한, 알칼리 세척액은 전술한 TMAH 현상액의 희석 용액으로 제한되는 것은 아니다. TMAH 현상액의 희석 용액 대신에 세척액으로서 콜린과 같은 유기 알칼리 용액 또는 KOH와 같은 무기 알칼리 용액을 이용해서 본 실시예와 유사한 효과가 얻어질 수 있다. 즉, 제1 레지스트 패턴(5)을 형성하는 레지스트막(4)을 실질적으로 용해시키지는 않으면서, 레지스트막(4)의 잔류물(4a)을 용해하도록 용액의 농도 및 pH값이 설정된 임의의 다양한 타입의 알칼리 용액이 세척액으로서 사용될 수 있다. In addition, the alkaline washing solution is not limited to the dilute solution of the TMAH developer described above. A similar effect to this example can be obtained by using an organic alkaline solution such as choline or an inorganic alkaline solution such as KOH as a washing solution instead of a dilute solution of the TMAH developer. That is, any variety of solutions in which the concentration and pH value of the solution are set to dissolve the residue 4a of the resist film 4 without substantially dissolving the resist film 4 forming the first resist pattern 5. Type alkaline solutions can be used as washing liquid.

(제4 실시예)(Example 4)

이제, 본 발명의 제4 실시예에 따른 패턴 형성 방법이 도 12 및 13을 참조하여 설명될 것이다. 제1 내지 제3 실시예의 구성요소와 동일한 제4 실시예의 구성요소는 동일한 참조 부호에 의해서 표시되며, 상세히 설명되지 않을 것이다. 본 실시예는 RELACSTM 물질을 포함하는 수용액이 잔류물을 제거하기 위한 세척액(부식액)으로 사용된다는 점을 제외하고는 제2 실시예와 실질적으로 유사하다. 제4 실시예가 아래에서 보다 구체적으로 기술될 것이다.Now, the pattern forming method according to the fourth embodiment of the present invention will be described with reference to FIGS. 12 and 13. Elements of the fourth embodiment that are identical to those of the first to third embodiments are denoted by the same reference numerals and will not be described in detail. This example is substantially similar to the second example except that an aqueous solution comprising RELACS material is used as a wash (corrosive) to remove residue. The fourth embodiment will be described in more detail below.

먼저, 도 12 및 13에 도시된 바와 같이, 약 45㎚의 직경을 가지는 제1 홀 패턴(23a)을 포함하는 제1 레지스트 패턴(23)이, 제1 실시예에 따른 단계 1(S-1) 내지 단계 6(S-6)에서처럼 반도체 기판(1)의 프론트 표면(1a) 상에 제공되는 레지스 트막(22) 상에 형성된다. 도면에 도시되지는 않지만, 불필요한 레지스트막(22a)은 제1 홀 패턴(23a) 내부에 잔류물로서 남는다.First, as shown in FIGS. 12 and 13, the first resist pattern 23 including the first hole pattern 23a having a diameter of about 45 nm is formed in step 1 (S-1) according to the first embodiment. ) Is formed on the resist film 22 provided on the front surface 1a of the semiconductor substrate 1 as in step 6 (S-6). Although not shown in the figure, the unnecessary resist film 22a remains as a residue inside the first hole pattern 23a.

이어서, 도면에 도시되지는 않았지만, 용해 프로세스(물 용해 프로세스)가 전체 잔류물(22) 및 제1 레지스트 패턴(23)의 프론트 표면층부 상에서 수행되어, 레지스트막(22)의 프론트 표면이 RELACSTM 막(7)을 포함하는 수용액에서 용해되기 쉽게 한다. 이 경우에, 레지스트막(22)의 프론트 표면은, 도 6, 7a 및 7b를 참조하여 제2 실시예에서 기술된 바와 같이, 흡착 프로세스 및 발광 프로세스로 이루어지는 물 용해 프로세스에 의해서 RELACSTM 재료(6)를 포함하는 수용액(26)에 용해되기 쉽게 할 수 있다. 이것은 도 12의 흐름도의 단계 31(S-31)에 도시되어 있다.Then, although not shown in the figure, a dissolution process (water dissolution process) is performed on the entire residue 22 and the front surface layer portion of the first resist pattern 23 so that the front surface of the resist film 22 is RELACS ™. It is easy to dissolve in an aqueous solution containing the membrane (7). In this case, the front surface of the resist film 22, FIG. 6, 7a and see 7b in the as described in the second embodiment, RELACS by water dissolution processes comprising the adsorption process and the emission process TM material (6 It can be made easy to melt | dissolve in the aqueous solution 26 containing). This is shown in step 31 (S-31) of the flowchart of FIG.

이어서, 도 12 및 13에 도시된 바와 같이, 제2 실시예에 따른 단계 8(S-8)과 유사한 단계가 수행되어 제1 레지스트 패턴(23)(레지스트막, 22)의 물에 용해된 프론트 표면 상에, 그리고 제1 홀 패턴(23a) 내부에 RELACSTM 재료(6)를 포함하는 수용액을 제공한다. 이리하여, 제1 홀 패턴(23a) 내의 물에 용해된 잔류물(22a)이 수용액(26)에 용해되어 세척된다(에칭됨).Then, as shown in Figs. 12 and 13, a step similar to step 8 (S-8) according to the second embodiment is performed to dissolve the water dissolved in the water of the first resist pattern 23 (resist film 22). An aqueous solution comprising RELACS material 6 is provided on the surface and inside the first hole pattern 23a. Thus, the residue 22a dissolved in water in the first hole pattern 23a is dissolved in the aqueous solution 26 and washed (etched).

그 후에, 도면에 도시되지는 않았지만, 제2 실시예에 따른 단계 9(S-9) 및 단계 10(S-10)의 경우에서처럼, RELACSTM 막으로 형성되지 않은 수용액(26)은 제1 홀 패턴(23a)의 내부로부터, 그리고 제1 레지스트 패턴(23)의 프론트 표면으로부터 제거된다. 이때에, 수용액에 용해된 잔류물(22a)은 RELACSTM 막(28)으로 형성되지 않은 수용액(26)과 함께 제1 홀 패턴(23a)의 내부로부터 제거된다. 이리하여, 제2 실시예에서와 마찬가지로, RELACSTM 막(28)은 제1 홀 패턴(23a)의 바닥 표면의 에지 및 제1 홀 패턴(23a)의 내측 표면 위에 선택적으로 남겨져서 약 30㎚의 직경의 제2 홀 패턴을 형성한다. 본 실시예에 따른 패턴 형성 방법의 주 단계는 이렇게 완료된다.Thereafter, although not shown in the figure, as in the case of steps 9 (S-9) and 10 (S-10) according to the second embodiment, the aqueous solution 26 not formed of the RELACS TM film is formed in the first hole. It is removed from the inside of the pattern 23a and from the front surface of the first resist pattern 23. At this time, the residue 22a dissolved in the aqueous solution is removed from the inside of the first hole pattern 23a together with the aqueous solution 26 which is not formed of the RELACS film 28. Thus, as in the second embodiment, the RELACS film 28 is selectively left over the edge of the bottom surface of the first hole pattern 23a and the inner surface of the first hole pattern 23a so that it is about 30 nm. A second hole pattern of diameter is formed. The main step of the pattern forming method according to the present embodiment is thus completed.

그 후에, 도면에 도시되지는 않았지만, 도 4b, 5a-5c를 참조하여 제1 실시예에서 기술된 것과 유사한 단계들이 수행되어 층간 절연막(2) 내부에 측면 및 하부면 상에 장벽 금속막으로 피복되고, 약 30㎚의 직경을 가지는 미세 콘택트 플러그(fine contact plug, 12)를 형성한다. 이리하여, 본 실시예에 따른 전자 장치 제조 방법의 주 단계가 완료된다.Thereafter, although not shown in the figures, steps similar to those described in the first embodiment with reference to FIGS. 4B and 5A-5C are performed to coat the barrier metal film on the side and bottom surfaces inside the interlayer insulating film 2. And form a fine contact plug 12 having a diameter of about 30 nm. Thus, the main steps of the manufacturing method of the electronic device according to the present embodiment are completed.

전술한 바와 같이, 제 4 실시예는 제1 내지 제3 실시예의 효과와 유사한 효과를 낼 수 있다. 또한, RELACSTM 재료(6)를 포함하는 수용액(26)이 제1 홀 패턴(23a)의 내부로부터 잔류물(22a)을 제거하기 위한 세척액으로서 사용될 수도 있다. 따라서, 본 실시예는, 제2 실시예와 비교하여, 단순화를 위하여 필요한 패턴 형성 단계 및 전자 장치 제조 단계의 수를 감소시킬 수 있다. 이것은 패턴 형성 단계와 전자 장치 제조 단계에서의 효율성의 증가 및 패턴 형성 단계 및 전자 장치 제조 단계의 비용의 추가적인 감소를 가능하게 한다.As described above, the fourth embodiment can produce effects similar to those of the first to third embodiments. In addition, an aqueous solution 26 comprising RELACS material 6 may be used as the cleaning liquid for removing the residue 22a from the inside of the first hole pattern 23a. Therefore, this embodiment can reduce the number of pattern forming steps and electronic device manufacturing steps necessary for simplicity, in comparison with the second embodiment. This makes it possible to increase the efficiency in the pattern forming step and the electronic device manufacturing step and further reduce the cost of the pattern forming step and the electronic device manufacturing step.

(제5 실시예)(Example 5)

이제, 본 발명의 제5 실시예에 따른 패턴 형성 방법이 도 14, 15a 및 15b를 참조하여 기술될 것이다. 제1 내지 제3 실시예의 구성요소와 동일한 구성요소는 동일한 참조부호에 의해서 표시되며, 상세히 기술되지 않을 것이다. 본 실시예는 RELACSTM 재료를 포함하는 알칼리 용액이 잔류물을 제거하기 위한 세척액(부식액)으로서 이용된다는 점을 제외하고는 제3 실시예와 실질적으로 동일하다. 아래에 제5 실시예가 구체적으로 기술될 것이다.Now, a pattern forming method according to the fifth embodiment of the present invention will be described with reference to FIGS. 14, 15A and 15B. The same components as those of the first to third embodiments are denoted by the same reference numerals and will not be described in detail. This example is substantially the same as the third example except that an alkaline solution comprising a RELACS material is used as a wash (corrosive) to remove residues. The fifth embodiment will be described in detail below.

먼저, 도 14 및 15a에 도시된 바와 같이, 약 100㎚의 직경을 가지는 제1 홀 패턴(5a)을 포함하는 제1 레지스트 패턴(5)이, 제3 실시예에 따른 단계 1(S-1) 내지 단계 6(S-6)의 경우에서처럼, 반도체 기판(1)의 프론트 표면(1a) 상에 제공되는 레지스트막(4) 상에 형성된다. 도면에 도시되지는 않았지만, 불필요한 레지스트막(4a)이 제1 홀 패턴(5a) 내부에 잔류물로서 남는다.First, as shown in FIGS. 14 and 15A, the first resist pattern 5 including the first hole pattern 5a having a diameter of about 100 nm is obtained by step 1 (S-1) according to the third embodiment. ), As in the case of Step 6 (S-6), is formed on the resist film 4 provided on the front surface 1a of the semiconductor substrate 1. Although not shown in the figure, an unnecessary resist film 4a remains as a residue inside the first hole pattern 5a.

이어서, 용해 프로세스(물 용해 프로세스)가 전체 잔류물(4a) 및제1 레지스트 패턴(5)의 프론트 표면층부 상에서 수행되어 레지스트막(4)의 프론트 표면이 RELACSTM 재료(6)를 포함하는 알칼리 용액(41)에 용해되기 쉽도록 한다. 이러한 경우에, 도 10 및 11a를 참조하여 제3 실시예에서 설명한 바와 같은 발광 프로세스로 이루어지는 용해 프로세스를 수행함으로써, 레지스트막(4)의 프론트 표면이 RELACSTM 재료(6)를 포함하는 알칼리 용액에 용해되기 쉽도록 한다. 이것은 도 14의 흐름도의 단계 41(S-41)에 도시되어 있다.Subsequently, a dissolution process (water dissolution process) is performed on the entire residue 4a and the front surface layer portion of the first resist pattern 5 so that the front surface of the resist film 4 includes an alkaline solution containing RELACS material 6. It is easy to dissolve in (41). In this case, by performing the dissolution process consisting of the light emitting process as described in the third embodiment with reference to FIGS. 10 and 11A, the front surface of the resist film 4 is subjected to the alkaline solution containing the RELACS material 6. Make it easy to dissolve. This is shown in step 41 (S-41) of the flowchart of FIG.

그 후에, 도 14 및 15a에 도시된 바와 같이, 제3 실시예의 단계 8(S-8)에서 처럼, RELACSTM 재료(6)를 포함하는 알칼리 용액이 제1 레지스트 패턴(5)의 용해된 프론트 표면(레지스트막, 4) 상에, 그리고 제1 홀 패턴(5a) 내부에 제공된다. 이리하여, 제1 홀 패턴(23a) 내의 용해된 잔류물(31, 4a)은 수용액(26)에 용해되어 세척된다(에칭됨).Thereafter, as shown in Figs. 14 and 15A, as in step 8 (S-8) of the third embodiment, an alkaline solution comprising RELACS material 6 is dissolved in the front of the first resist pattern 5. It is provided on the surface (resist film 4) and inside the first hole pattern 5a. Thus, the dissolved residues 31 and 4a in the first hole pattern 23a are dissolved in the aqueous solution 26 and washed (etched).

그 후에, 도면에 도시되지는 않았지만, 제3 실시예에 따른 단계 9(S-9) 및 단계 10(S-10)의 경우에서처럼, RELACSTM 막(7) 내에 형성되지 않은 알칼리 용액(41)이 제1 홀 패턴(5a) 내부 및 제1 레지스트 패턴(5)의 프론트 표면으로부터 제거된다. 이때에, 알칼리 용액(41)에 용해된 잔류물(31)은 RELACSTM 막(7) 내에 형성되지 않은 알칼리 용액(26)과 함께 제1 홀 패턴(5a) 내부에서 제거된다. 따라서, 제3 실시예와 마찬가지로, RELACSTM 막(7)은 제1 홀 패턴(5a)의 하부면의 에지 상에, 그리고 제1 홀 패턴(5a)의 내측 표면 위에 선택적으로 남겨져서 약 80㎚의 직경의 제2 홀 패턴을 형성한다. 본 실시예에 따른 패턴 형성 방법의 주 단계는 이렇게 완료된다.Thereafter, although not shown in the figure, as in the case of Step 9 (S-9) and Step 10 (S-10) according to the third embodiment, an alkali solution 41 not formed in the RELACS TM film 7 is provided. The inside of the first hole pattern 5a and the front surface of the first resist pattern 5 are removed. At this time, the residue 31 dissolved in the alkaline solution 41 is removed inside the first hole pattern 5a together with the alkaline solution 26 which is not formed in the RELACS film 7. Thus, as in the third embodiment, the RELACS film 7 is selectively left on the edge of the bottom surface of the first hole pattern 5a and on the inner surface of the first hole pattern 5a to be about 80 nm. To form a second hole pattern having a diameter. The main step of the pattern forming method according to the present embodiment is thus completed.

그 후에, 도면에 도시되지는 않았지만, 도 4b, 5a 내지 5c를 참조하여 제1 실시예에서 기술된 것과 유사한 단계가 수행되어, 층간 절연막(2) 내부에, 측면 및 하부면 상에 약 80㎚의 직경을 가지는 장벽 금속막으로 피복된 미세 콘택트 플러그(12)를 형성한다. 본 실시예에 따른 전자 장치 제조 방법의 주 단계가 이렇게 완료된다.Thereafter, although not shown in the figures, a similar step to that described in the first embodiment with reference to FIGS. 4B, 5A to 5C is performed, so that about 80 nm inside the interlayer insulating film 2, on the side and bottom surfaces thereof. The fine contact plug 12 coated with a barrier metal film having a diameter of 9 is formed. The main steps of the electronic device manufacturing method according to the present embodiment are thus completed.

전술한 바와 같이, 제5 실시예는 제1 내지 제4 실시예에서의 효과와 유사한 효과를 얻을 수 있다. 또한, RELACSTM 재료(6)를 포함하는 알칼리 용액(41)은 제1 홀 패턴(5a) 내부로부터 잔류물(31, 4a)을 제거하기 위한 세척액으로도 이용될 수 있다. 이처럼, 본 실시예는 제3 실시예와 비교할 때에 단순화를 위하여 필요한 패턴 형성 단계와 전자 장치 제조 단계의 수를 감소시킬 수 있다. 이것은 패턴 형성 단계 및 전자 장치 제조 단계의 효율성의 증가 및 패턴 형성 단계 및 전자 장치 제조 단계에서의 비용의 추가적인 감소를 가능하게 한다.As described above, the fifth embodiment can obtain effects similar to those in the first to fourth embodiments. In addition, the alkaline solution 41 including the RELACS material 6 may also be used as a cleaning liquid for removing the residues 31 and 4a from inside the first hole pattern 5a. As described above, the present embodiment can reduce the number of pattern forming steps and electronic device manufacturing steps necessary for simplicity when compared with the third embodiment. This makes it possible to increase the efficiency of the pattern forming step and the electronic device manufacturing step and further reduce the cost in the pattern forming step and the electronic device manufacturing step.

(제6 실시예)(Example 6)

이제, 본 발명의 제6 실시예가 도 16, 17a, 17b, 18a, 18b, 19a, 19b 및 20을 참조하여 기술될 것이다.Now, a sixth embodiment of the present invention will be described with reference to FIGS. 16, 17a, 17b, 18a, 18b, 19a, 19b and 20. FIG.

제6 실시예는, 전술한 제1 내지 제5 실시예에 따른 제조 단계에 대응하며, 레지스트 패턴(기준 패턴)의 바닥 공간의 폭(공간 상부 치수)이 레지스트 패턴의 상부의 폭(공간 바닥 치수)보다 상당히 작아 레지스트 패턴이 개방되지 않을 가능성을 나타내며, 패턴은 레지스트 패턴의 바닥 공간의 폭이 레지스트 패턴의 상부 공간의 폭에 근접하도록 보정된다.The sixth embodiment corresponds to the manufacturing steps according to the first to fifth embodiments described above, wherein the width (space upper dimension) of the bottom space of the resist pattern (reference pattern) is the width (space bottom dimension) of the top of the resist pattern. Significantly smaller than), indicating the possibility that the resist pattern will not open, and the pattern is corrected such that the width of the bottom space of the resist pattern is close to the width of the top space of the resist pattern.

임계 분해능에 가까운 크기를 가지는 마이크로패턴에 대하여, 도 17a에 도시된 바와 같이, 그렇지 않은 경우에는 프로세스 타겟 막(51) 상에 개방되는 레지스트 패턴(52)이, (예를 들면 노출량 또는 베이킹 온도의 변화, 또는 현상 중의 세정 조건의 변화와 같은) 리소그래피 프로세스에서의 약간의 변동에 기인하여, 도 18a 에 도시된 바와 같이 풋팅 조건(footing condition)이거나, 도 19a에 도시된 바와 같이 하프 개방 조건일 수 있을 것이다. 이러한 조건에서, 전술한 RELACS 또는 2300MOTIF가 인가되어 퇴적막(53)을 형성하고, 도 17b에 도시된 바와 같이 공간 퇴적막이 제거되며, 도 18b 및 19b에 도시된 바와 같이 패턴이 개방되지 않을 수 있을 것이다.For a micropattern having a size close to the critical resolution, as shown in FIG. 17A, if not, a resist pattern 52 opened on the process target film 51 may be formed (for example, by exposure or baking temperature). Due to slight variations in the lithography process, such as changes, or changes in cleaning conditions during development, may be a footing condition as shown in FIG. 18A or a half-opening condition as shown in FIG. 19A. There will be. In this condition, the above-described RELACS or 2300MOTIF is applied to form the deposition film 53, the space deposition film is removed as shown in FIG. 17B, and the pattern may not be opened as shown in FIGS. 18B and 19B. will be.

이리하여, 레지스트 패턴이 개방되지 않을 경우에는, 레지스트 패턴이 전술한 바와 같이 형성된 후에, 바닥 공간 폭이 상부 공간 폭에 근접하도록 패턴이 보정된다.Thus, when the resist pattern is not opened, after the resist pattern is formed as described above, the pattern is corrected so that the bottom space width approaches the upper space width.

이제, 패턴 보정이 보다 상세하기 구체적으로 기술될 것이다.Now, pattern correction will be described in more detail.

도 20은 제6 실시예에 따른 프로세스 흐름을 도시한다. 먼저, 프로세스 타겟 기판이 준비된다. 그 후에, 레지스트막이 기판의 프로세스 타겟 막 상에 형성된다. 100㎚의 직경의 상호접속 비아가 형성되는 홀 패턴이 노출 및 현상에 의해서 형성된다(단계 S-51). 본 발명자는 SEM을 이용하여 패턴 형상에 대하여 기판의 전체 프론트 표면을 위에서 관찰하여(S-52) 몇몇 패턴의 바닥 공간의 폭이 매우 작음을 발견하였다.20 shows a process flow according to the sixth embodiment. First, a process target substrate is prepared. Thereafter, a resist film is formed on the process target film of the substrate. A hole pattern in which interconnect vias of 100 nm in diameter are formed is formed by exposure and development (step S-51). The inventors observed the entire front surface of the substrate from above with respect to the pattern shape using the SEM (S-52) and found that the width of the bottom space of some patterns was very small.

따라서, 기판은 진공 챔버로 운반된다(S-53). 산소 기체가 진공 챔버(chamber)로 유입되어 이방성 에칭을 위한 산소 플라즈마를 형성한다(S-54). 패턴의 하부에 남은 레지스트는 주로 현상 동안의 부적절한 세정에 기인한다. 남은 레지스트는 레지스트 패턴, 즉 기준 패턴보다 더 많은 공극을 가지는 막이다. 따라서, 가속 전압, 전계, 자계 등의 이방성 및 처리 속도를 포함하는 제어 인자를 최적화함으로써, 패턴 형태가 거의 유지되면서 바닥 공간 폭이 상부 공간 폭과 실질적으로 동일하게 커질 수 있다.Therefore, the substrate is transferred to the vacuum chamber (S-53). Oxygen gas flows into the vacuum chamber to form an oxygen plasma for anisotropic etching (S-54). The resist remaining at the bottom of the pattern is mainly due to inadequate cleaning during development. The remaining resist is a resist pattern, i.e., a film having more voids than the reference pattern. Thus, by optimizing the control factors including the anisotropy of the accelerating voltage, the electric field, the magnetic field, and the processing speed, the bottom space width can be made substantially equal to the upper space width while maintaining the pattern shape substantially.

그 후에, 기판이 배치된 동일한 챔버 내의 기체 종류가 CF4를 포함하는 플루오르화 탄소 기체로 교환된다(S-55). 플루오르화 탄소가 분해되고 레지스트 패턴 상에 퇴적되는 조건하에 처리가 수행되어, 레지스트 패턴의 프론트 표면 상에 플루오르화탄소의 퇴적막을 형성한다(S-56). 이어서, 기체 종류가 산호 및 플루오르화탄소(예를 들면, C4F6 함유 기체)로 교환된다(S-57). 기준 패턴 공간부에서의 퇴적막은 더 에칭되어 프로세스 타겟막을 노출시킨다(S-58).Thereafter, the gas type in the same chamber in which the substrate is placed is exchanged with the fluorinated carbon gas containing CF 4 (S-55). The treatment is performed under the condition that the fluorinated carbon is decomposed and deposited on the resist pattern, thereby forming a deposited film of carbon fluoride on the front surface of the resist pattern (S-56). The gas species is then exchanged for coral and carbon fluoride (eg, C 4 F 6 containing gas) (S-57). The deposition film in the reference pattern space portion is further etched to expose the process target film (S-58).

이어서, 기판이 진공 챔버 밖으로 운반된다(S-59). 새롭게 형성된 패턴은 75㎚의 직경을 가지는데, 이것은 최초 패턴보다 25㎚ 더 작다. 프로세스 타겟 막은 새로운 패턴을 마스크로 하여 에칭된다(S-60). 그 후에, 프로세스 타겟 막 상에 금속이 퇴적된다(S-61). 과도한 금속은 CMP에 의해서 제거된다(S-62). 상호접속 비아가 형성된다(S-63).Subsequently, the substrate is transported out of the vacuum chamber (S-59). The newly formed pattern has a diameter of 75 nm, which is 25 nm smaller than the original pattern. The process target film is etched using the new pattern as a mask (S-60). Thereafter, metal is deposited on the process target film (S-61). Excess metal is removed by CMP (S-62). Interconnect vias are formed (S-63).

전술한 제조 방법에 따르면, 레지스트 패턴의 바닥 공간의 폭이 레지스트 패턴의 상부 공간의 폭보다 상당히 작은 경우에는, 레지스트 패턴이 개방되지 않을 가능성을 나타내며, 레지스트 패턴의 바닥 공간의 폭이 레지스트 패턴의 상부 공간의 폭에 가까워지도록 패턴이 보정된다. 이것은 본 발명이 이용되지 않는 경우와 비교할 때에, 결함, 즉 개방되지 않은 패턴의 수의 상당한 감소를 가능하게 한다. 본 발명자는 결함 검사를 통해서 결함, 즉 개방되지 않는 패턴의 수는 최대 1/10까 지 감소될 수 있음을 확인하였다.According to the above-described manufacturing method, when the width of the bottom space of the resist pattern is considerably smaller than the width of the top space of the resist pattern, it indicates the possibility that the resist pattern is not opened, and the width of the bottom space of the resist pattern is the top of the resist pattern. The pattern is corrected to approximate the width of the space. This enables a significant reduction in the number of defects, i.e., unopened patterns, when compared to the case where the present invention is not used. The inventors have confirmed through defect inspection that the number of defects, i.e., unopened patterns, can be reduced by up to 1/10.

본 실시예에서, 미세 비아의 형성이 예시되었다. 그러나, 본 실시예는 미세 매립 상호접속(마이크로글로브) 패턴 형성에도 적용가능하다. 또한, 본 실시예는 임계 분해능에 근접한 크기를 가지고, 충분한 프로세스 마진을 제공하기 어려운 패턴 타입에도 적용가능하여, 분해능에 대한 충분한 마진을 제공하는 크기를 가지는 패턴의 소형화를 향상시키고, 제조 수율을 향상시킨다.In this example, formation of fine vias is illustrated. However, this embodiment is also applicable to the formation of fine buried interconnect (microglobe) patterns. In addition, the present embodiment is also applicable to pattern types having a size close to the critical resolution and difficult to provide sufficient process margin, thereby improving the miniaturization of the pattern having a size providing sufficient margin for resolution, and improving manufacturing yield. Let's do it.

또한, 전술한 것과 동등한 마이크로홀이 다음의 단계에 의해서 형성될 수 있다. 하드 마스크가 레지스트막 아래에 사전형성된다. 레지스트는 패터닝되고, 기판이 진공 챔버로 운반된다. 레지스트의 하부 폭은 개방(opening) 프로세스를 거치고, 하드 마스크가 처리된다. 그 후에, 플루오르화탄소를 이용하여 하드 마스크 패턴 상에 퇴적막이 형성된다. 퇴적막이 하드 마스크의 리세스부로부터 제거된다. 프로세스 타겟 마스크가 또한 처리된다.In addition, microholes equivalent to those described above can be formed by the following steps. The hard mask is preformed under the resist film. The resist is patterned and the substrate is transferred to a vacuum chamber. The lower width of the resist is subjected to an opening process and the hard mask is processed. Thereafter, a deposited film is formed on the hard mask pattern using carbon fluoride. The deposition film is removed from the recessed portion of the hard mask. Process target masks are also processed.

전술한 바와 같이, 본 발명의 제6 실시예에 따른 패턴 형성 방법은 프로세스 타겟 기판 준비 단계, 기준 패턴의 바닥 공간의 폭을 증가시켜서 기준 패턴의 바닥 공간의 폭을 기준 패턴의 상부 공간의 폭에 근접시키는 바닥 공간 폭 증가 단계 및 측벽막 증가 단계를 포함한다. 측벽막 증가 단계는 기준 패턴의 프론트 표면 상에 퇴적막을 형성하는 퇴적 단계와, 이방성 에칭에 의한 기준 패턴의 바닥 공간상의 퇴적막을 제거하여 기준 패턴의 바닥 공간보다 협소한 바닥 공간의 일부를 노출시키는 단계를 포함한다.As described above, the pattern forming method according to the sixth embodiment of the present invention increases the width of the bottom space of the reference pattern by preparing the process target substrate, and the width of the bottom space of the reference pattern to the width of the top space of the reference pattern. A bottom space width increasing step and a sidewall film increasing step of adjoining. The sidewall film increasing step includes depositing a deposited film on the front surface of the reference pattern, and removing a deposited film on the bottom space of the reference pattern by anisotropic etching to expose a portion of the floor space that is narrower than the bottom space of the reference pattern. It includes.

바람직하게, 측벽막 증가 단계는 복수 회 수행된다. 또한, 이방성 에칭은 바람직하게 제어되어 퇴적막이 기준 패턴의 프론트 표면 상에 형성되어, 기준 패턴의 바닥 공간상의 퇴적막에 대한 에칭율이 기준 패턴의 측벽부 상의 퇴적막에 대한 에칭율보다 높도록 된다.Preferably, the sidewall film increasing step is performed a plurality of times. In addition, the anisotropic etching is preferably controlled so that the deposition film is formed on the front surface of the reference pattern so that the etching rate for the deposition film on the bottom space of the reference pattern is higher than the etching rate for the deposition film on the sidewall portion of the reference pattern. .

기준 패턴이 반사를 방지할 필요가 있는 경우에, 프로세스 타겟 막 상에 반사 방지막이 형성되고, 레지스트막이 반사 방지막 상에 형성된다. 그 후에, 노광 장치가 이용되어 노출 원판 또는 빔 스캐닝 단위로 레지스트 상에 잠상을 형성한다. 필요한 경우에는 가열과 같은 잠상을 증폭하는 단계가 수행된다. 또한, 현상 단계 및 세정 단계가 수행되어 패턴을 생성한다.In the case where the reference pattern needs to prevent reflection, an antireflection film is formed on the process target film, and a resist film is formed on the antireflection film. Thereafter, an exposure apparatus is used to form a latent image on the resist in an exposure disc or beam scanning unit. If necessary, amplifying a latent image such as heating is performed. In addition, a developing step and a cleaning step are performed to generate a pattern.

이와 달리, 마크스로서의 전술한 레지스트 패턴에 의해 프로세스 타겟 막을 처리함으로써 획득되는 높은 탄소 콘텐츠를 가지는 산화막, 질화막 또는 유기막으로 기준 패턴이 형성될 수 있을 것이다.Alternatively, the reference pattern may be formed of an oxide film, a nitride film or an organic film having a high carbon content obtained by treating the process target film by the above-described resist pattern as a mark.

바닥 공간 폭의 개구부에 대하여, 패턴이 프로세스 조건의 변화 등에 의해서 저하되는 경우에는, 가능한 원인은, 개구부의 노출에도 불구하고, 낮은 강도의 노출광, 또는 불충분한 반응이다. 따라서, 기체 조건의 밸런싱(balancing) 또는 가속 전압의 변경에 의해서 에칭 선택율이 적절하게 설정됨으로써 바닥 공간 폭이 증가하도록 보정이 수행된다. 객체가 레지스트인 경우에는 보정은 알칼리 용액의 활동성을 변경함으로써(예를 들면, 알칼리 용액의 농도를 변경하거나, 기능수(functional water)를 추가함으로써) 수행될 수 있다. 객체가 산화막인 경우에는, 보정은 알칼리 용액의 농도를 변경하는 데에 플루오르산(fluoric acid) 등을 이용하여 바닥 공간 폭을 증가시킴으로써 수행될 수 있다. When the pattern is lowered due to changes in process conditions or the like with respect to the opening of the bottom space width, a possible cause is low intensity exposure light or insufficient reaction despite the exposure of the opening. Therefore, the correction is performed such that the etching selectivity is appropriately set by balancing the gas conditions or by changing the acceleration voltage, thereby increasing the bottom space width. If the object is a resist, the calibration can be performed by changing the activity of the alkaline solution (eg by changing the concentration of the alkaline solution or adding functional water). In the case where the object is an oxide film, the correction may be performed by increasing the bottom space width by using fluoric acid or the like to change the concentration of the alkaline solution.

제6 실시예는 패턴 형성 방법에 의해서 형성되는 미세 홀 또는 글루브를 이용하여 미세 비아 또는 트렌치를 처리 타킷 기판상에 형성하는 반도체 장치의 제조 방법을 제공할 수 있다.The sixth embodiment can provide a method of manufacturing a semiconductor device in which fine vias or trenches are formed on a processing target substrate using fine holes or grooves formed by a pattern forming method.

제6 실시예는 패턴 형성 방법에 의해서 형성되는 측벽 퇴적막 패턴을 이용하여 미세 상호접속을 형성하는 반도체 장치의 제조 방법 또한 제공할 수 있다.The sixth embodiment can also provide a method of manufacturing a semiconductor device for forming fine interconnections using sidewall deposited film patterns formed by a pattern forming method.

본 발명에 따른 패턴 형성 방법은 전술한 제1 내지 제6 실시예에 한정되지 않는다. 예를 들면, 제1 내지 제6 실시예는 Mitsubishi Electric Corporation에 의해서 제공된 웹 특집 기사 "Semiconductor 0.1-㎛ hole pattern forming technique RELACS"에 개시된 RELACSTM 재료를 이용하여 수행된다. 그러나, RELACSTM 재료가 반드시 이용될 필요는 없다. 본 발명자의 실험 결과에 의하면, 예를 들면 레지스트 패턴과 상호작용하지 않는 통상의 피복막이 RELACSTM 재료를 대신하여 이용될 수 있을 것이다. 피복막이 제1 홀 패턴(5a 또는 23a) 내에 제공되고 제1 레지스트 패턴(5 또는 23)이 가열되어, 피복막이 레지스트에 내포되며, 제1 홀 패턴(5a 또는 23a)의 직경의 감소를 가능하게 한다. 또한, 실험 결과는 이러한 기술이 제1 내지 제6 실시예의 효과와 유사한 효과를 나타냄을 보여준다.The pattern forming method according to the present invention is not limited to the first to sixth embodiments described above. For example, the first to sixth embodiments are performed using the RELACS material disclosed in the web feature article “Semiconductor 0.1-μm hole pattern forming technique RELACS” provided by Mitsubishi Electric Corporation. However, RELACS materials need not necessarily be used. According to the experimental results of the present inventors, for example, a conventional coating film which does not interact with the resist pattern may be used in place of the RELACS material. A coating film is provided in the first hole pattern 5a or 23a and the first resist pattern 5 or 23 is heated so that the coating film is embedded in the resist, thereby enabling a reduction in the diameter of the first hole pattern 5a or 23a. do. In addition, the experimental results show that this technique shows an effect similar to that of the first to sixth embodiments.

또한, 제1 내지 제6 실시예의 설명에서, 이들 실시예에 따른 기술은 제1 레지스트 패턴(5 또는 23)의 공간부(5 또는 23a)처럼 노광 장치의 임계 분해능에 근접한 크기를 가지는 제1 홀 패턴(5a 또는 23a)를 형성한다. 그러나, 본 발명은 이러한 측면에 한정되지 않는다. 본 발명자의 실험 결과는 제1 내지 제6 실시예에 따른 기술은 본 기술을 적용하여 통상의 설계 규칙하에 형성된 공간 패턴의 공간 폭을 감소시키고, 상호접속 재료를 층간 절연막 내에 충진함으로써 형성되는 상호접속 패턴에도 적용가능함을 보여주며, 이 경우에 상호접속 패턴의 결함 밀도는 상당이 감소된다.Further, in the description of the first to sixth embodiments, the technique according to these embodiments is a first hole having a size close to the critical resolution of the exposure apparatus, such as the space portion 5 or 23a of the first resist pattern 5 or 23. The pattern 5a or 23a is formed. However, the present invention is not limited to this aspect. The experimental results of the present inventors show that the technique according to the first to sixth embodiments is applied by applying the present technology to reduce the space width of the spatial pattern formed under the usual design rule, and to form the interconnect material by filling the interlayer insulating film. Applicable to the pattern as well, in which case the defect density of the interconnect pattern is significantly reduced.

또한, 본 발명의 실시예에 따른 패턴 형성 방법이 영향을 미치는 제1 홀 패턴(5a 또는 23a)의 직경과 제2 홀 패턴(8a)의 직경은 전술한 치수에 한정되지 않는다. 본 발명에 따른 패턴 형성 방법은, 예를 들면 형성될 홀 패턴의 직경의 치수가 최대 약 100㎚라는 가정하에, 전술한 효과와 유사한 효과를 얻을 수 있다. 또한, 예를 들면 형성될 홀 패턴이 적어도 1의 종횡비를 가진다는 가정하에 전술한 것과 유사한 효과가 얻어질 수 있다. 이와 달리, 본 발명의 실시예에 따른 패턴 형성 방법은, 예를 들면 형성될 L/S 패턴(line-and-space pattern)의 공간 패턴이 최대 약 50㎚의 폭을 가지는 것으로 형성된다는 가정하에 전술한 것과 유사한 효과를 얻을 수 있다. 또한, 본 발명의 실시예에 따른 패턴 형성 방법은, 예를 들면 형성될 L/S 패턴의 공간 패턴이 적어도 2의 종횡비를 가진다는 가정하에 전술한 것과 유사한 효과를 얻을 수 있다.In addition, the diameter of the first hole pattern 5a or 23a and the diameter of the second hole pattern 8a to which the pattern forming method according to the embodiment of the present invention affects are not limited to the above-described dimensions. The pattern forming method according to the present invention can achieve an effect similar to the above-described effect, for example, on the assumption that the dimension of the diameter of the hole pattern to be formed is at most about 100 nm. Also, similar effects to those described above can be obtained, for example, on the assumption that the hole pattern to be formed has an aspect ratio of at least one. Alternatively, the pattern forming method according to the embodiment of the present invention, for example, on the assumption that the spatial pattern of the line-and-space pattern to be formed is formed to have a width of up to about 50 nm A similar effect can be obtained. In addition, the pattern forming method according to the embodiment of the present invention can achieve an effect similar to that described above, on the assumption that the spatial pattern of the L / S pattern to be formed, for example, has an aspect ratio of at least 2.

전술한 바와 같이, 본 발명의 한 측면에 따르면, 미세 패턴이 형성될 수 있도록 하는 패턴 형성 방법이 제공될 수 있다.As described above, according to one aspect of the present invention, a pattern forming method for allowing a fine pattern to be formed may be provided.

본 기술분야의 당업자에게는 추가적인 장점 및 변형이 용이할 것이다. 따라서, 넓은 측면에서의 본 발명은 본 명세서에 도시되고 기술된 상세한 설명 및 대표적인 실시예에 한정되지 않는다. 따라서, 특허청구범위 및 그 등가물에 의해서 규 정되는 본 발명의 기술적 사상 또는 범위로부터 벗어나지 않고서 다양한 변경이 이루어 질 수 있을 것이다. Additional advantages and modifications will be readily apparent to those skilled in the art. Accordingly, the invention in its broader aspects is not limited to the details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the invention as defined by the claims and their equivalents.

도 1은 본 발명의 제1 실시예에 따른 패턴 형성 방법을 도시하는 흐름도.1 is a flowchart showing a pattern forming method according to a first embodiment of the present invention.

도 2a는 본 발명의 제1 실시예에 따른 패턴 형성 방법의 제1 단계를 도시하는 단면도.Fig. 2A is a sectional view showing the first step of the pattern forming method according to the first embodiment of the present invention.

도 2b는 본 발명의 제1 실시예에 따른 패턴 형성 방법의 제2 단계를 도시하는 단면도.Fig. 2B is a sectional view showing the second step of the pattern forming method according to the first embodiment of the present invention.

도 3a는 본 발명의 제1 실시예에 따른 패턴 형성 방법의 제3 단계를 도시하는 단면도.3A is a sectional view showing a third step of the pattern forming method according to the first embodiment of the present invention.

도 3b는 본 발명의 제1 실시예에 따른 패턴 형성 방법의 제4 단계를 도시하는 단면도.Fig. 3B is a sectional view showing the fourth step of the pattern forming method according to the first embodiment of the present invention.

도 4a는 본 발명의 제1 실시예에 따른 패턴 형성 방법의 제5 단계를 도시하는 단면도.4A is a sectional view showing a fifth step of the pattern forming method according to the first embodiment of the present invention.

도 4b는 본 발명의 제1 실시예에 따른 패턴 형성 방법의 제6 단계를 도시하는 단면도.Fig. 4B is a sectional view showing the sixth step of the pattern forming method according to the first embodiment of the present invention.

도 5a는 본 발명의 제1 실시예에 따른 전자 장치를 제조하는 방법의 제1 단계를 도시하는 단면도.Fig. 5A is a sectional view showing a first step of the method for manufacturing an electronic device according to the first embodiment of the present invention.

도 5b는 본 발명의 제1 실시예에 따른 전자 장치를 제조하는 방법의 제2 단계를 도시하는 단면도.5B is a sectional view showing a second step of the method for manufacturing an electronic device according to the first embodiment of the present invention;

도 5c는 본 발명의 제1 실시예에 따른 전자 장치를 제조하는 방법의 제3 단계를 도시하는 단면도.Fig. 5C is a sectional view showing a third step of the method for manufacturing an electronic device according to the first embodiment of the present invention.

도 6은 본 발명의 제2 실시예에 따른 패턴 형성 방법을 도시하는 흐름도.6 is a flowchart showing a pattern forming method according to the second embodiment of the present invention.

도 7a는 본 발명의 제2 실시예에 따른 패턴 형성 방법의 제1 단계를 도시하는 단면도.Fig. 7A is a sectional view showing a first step of the pattern forming method according to the second embodiment of the present invention.

도 7b는 본 발명의 제2 실시예에 따른 패턴 형성 방법의 제2 단계를 도시하는 단면도.7B is a sectional view showing a second step of the pattern forming method according to the second embodiment of the present invention.

도 8a는 본 발명의 제2 실시예에 따른 패턴 형성 방법의 제3 단계를 도시하는 단면도.8A is a sectional view showing a third step of the pattern forming method according to the second embodiment of the present invention;

도 8b는 본 발명의 제2 실시예에 따른 패턴 형성 방법의 제4 단계를 도시하는 단면도.8B is a sectional view showing a fourth step of the pattern forming method according to the second embodiment of the present invention;

도 9a는 본 발명의 제2 실시예에 따른 패턴 형성 방법의 제5 단계를 도시하는 단면도.Fig. 9A is a sectional view showing a fifth step of the pattern forming method according to the second embodiment of the present invention.

도 9b는 본 발명의 제2 실시예에 따른 패턴 형성 방법의 제6 단계를 도시하는 단면도.Fig. 9B is a sectional view showing the sixth step of the pattern forming method according to the second embodiment of the present invention.

도 10은 본 발명의 제3 실시예에 따른 패턴 형성 방법을 도시하는 흐름도.Fig. 10 is a flowchart showing a pattern forming method according to the third embodiment of the present invention.

도 11a는 본 발명의 제3 실시예에 따른 패턴 형성 방법의 제1 단계를 도시하는 단면도.Fig. 11A is a sectional view showing the first step of the pattern forming method according to the third embodiment of the present invention.

도 11b는 본 발명의 제3 실시예에 따른 패턴 형성 방법의 제2 단계를 도시하는 단면도.Fig. 11B is a sectional view showing the second step of the pattern forming method according to the third embodiment of the present invention.

도 12는 본 발명의 제4 실시예에 따른 패턴 형성 방법을 도시하는 흐름도.12 is a flowchart showing a pattern forming method according to the fourth embodiment of the present invention.

도 13은 본 발명의 제4 실시예에 따른 패턴 형성 방법의 단계를 도시하는 단 면도.Fig. 13 is a stage view showing steps of the pattern forming method according to the fourth embodiment of the present invention.

도 14는 본 발명의 제5 실시예에 따른 패턴 형성 방법을 도시하는 흐름도.Fig. 14 is a flowchart showing a pattern forming method according to the fifth embodiment of the present invention.

도 15a는 본 발명의 제5 실시예에 따른 패턴 형성 방법의 단계를 도시하는 단면도.Fig. 15A is a sectional view showing a step of the pattern forming method according to the fifth embodiment of the present invention.

도 15b는 본 발명의 제5 실시예에 따른 패턴 형성 방법의 단계를 도시하는 단면도.Fig. 15B is a sectional view showing a step of the pattern forming method according to the fifth embodiment of the present invention.

도 16은 본 발명의 제6 실시예에 따른 패턴 형성 방법에서의 패턴 수정을 도시하는 단면도.16 is a cross-sectional view showing pattern correction in the pattern formation method according to the sixth embodiment of the present invention.

도 17a는 본 발명의 제6 실시예에 따른 패턴 형성 방법을 도시하고, 개방 패턴이 정상이라는 것을 보여주는 단면도.17A is a cross sectional view showing a pattern formation method according to a sixth embodiment of the present invention, showing that the opening pattern is normal;

도 17b는 본 발명의 제6 실시예에 따른 패턴 형성 방법을 도시하고, 개방 패턴이 정상이고 정상 패턴이 형성되었다는 것을 보여주는 단면도.Fig. 17B is a cross sectional view showing a pattern forming method according to a sixth embodiment of the present invention, showing that the open pattern is normal and a normal pattern is formed.

도 18a는 본 발명의 제6 실시예에 따른 패턴 형성 방법을 도시하고, 개방 패턴이 풋팅(footing) 조건에 있다는 것을 보여주는 단면도.FIG. 18A illustrates a pattern forming method according to a sixth embodiment of the present invention, showing that the open pattern is in a footing condition; FIG.

도 18b는 본 발명의 제6 실시예에 따른 패턴 형성 방법을 도시하고, 개방 패턴이 풋팅 조건에 있으며 따라서 패턴이 개방되어 있다는 것을 보여주는 단면도.18B illustrates a pattern formation method according to a sixth embodiment of the present invention, showing that an open pattern is in a putting condition and therefore that the pattern is open;

도 19a는 본 발명의 제6 실시예에 따른 패턴 형성 방법을 도시하고, 개방 패턴이 하프 개방(half-open)되어 있다는 것을 보여주는 단면도.Fig. 19A is a cross sectional view showing a pattern formation method according to a sixth embodiment of the present invention, showing that the opening pattern is half-open;

도 19b는 본 발명의 제6 실시예에 따른 패턴 형성 방법을 도시하고, 개방 패턴이 하프 개방되고 따라서 패턴이 미개방되어 있다는 것을 보여주는 단면도.Fig. 19B is a sectional view showing a pattern forming method according to the sixth embodiment of the present invention, showing that the open pattern is half open and therefore the pattern is unopened.

도 20은 본 발명의 제6 실시예에 다른 패턴 형성 방법을 도시하는 흐름도.Fig. 20 is a flowchart showing a pattern forming method according to the sixth embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

1: 반도체 기판1: semiconductor substrate

2: 층간 절연막2: interlayer insulation film

3: 반사 방지막3: antireflection film

4: 레지스트막4: resist film

4a: 잔류물4a: residue

5: 레지스트 패턴5: resist pattern

6: 홀 패턴6: hole pattern

Claims (20)

패턴 형성 방법으로서,As a pattern formation method, 프로세스 타겟 기판의 하나의 주면 상에 제공된 레지스트막을 패터닝하여 레지스트 패턴을 형성하는 단계; 및Patterning a resist film provided on one main surface of the process target substrate to form a resist pattern; And 상기 레지스트 패턴의 공간부에 있는 상기 프로세스 타겟 기판의 프론트 표면에 수분 함유막을 형성하고, 상기 수분 함유막에 광을 조사하고, 상기 수분 함유막에 액체 함유 수분을 공급하는 단계Forming a moisture containing film on the front surface of the process target substrate in the space portion of the resist pattern, irradiating light to the moisture containing film, and supplying liquid containing moisture to the moisture containing film; 를 포함하는 패턴 형성 방법.Pattern forming method comprising a. 제1항에 있어서,The method of claim 1, 상기 프로세스 타겟 막은 반도체 기판, 상기 반도체 기판의 하나의 주면 상에 형성된 층간 절연막, 및 상기 층간 절연막 상에 형성된 반사 방지막을 포함하는 패턴 형성 방법.And the process target film comprises a semiconductor substrate, an interlayer insulating film formed on one main surface of the semiconductor substrate, and an antireflection film formed on the interlayer insulating film. 제1항에 있어서,The method of claim 1, 상기 프로세스 타겟 막은 반도체 기판, 상기 반도체 기판의 하나의 주면 상에 형성된 층간 절연막, 및 상기 층간 절연막 상에 형성된 하드 마스크층을 포함하는 패턴 형성 방법.And the process target film comprises a semiconductor substrate, an interlayer insulating film formed on one main surface of the semiconductor substrate, and a hard mask layer formed on the interlayer insulating film. 제1항에 있어서,The method of claim 1, 상기 레지스트 패턴 형성 단계는, 상기 프로세스 타겟 기판 상에 레지스트막을 형성하는 단계, 상기 레지스트막을 노출하여 잠상을 형성하는 단계, 및 상기 레지스트막에 홀 패턴을 형성하는 단계를 포함하는 패턴 형성 방법.The resist pattern forming step includes forming a resist film on the process target substrate, exposing the resist film to form a latent image, and forming a hole pattern in the resist film. 제4항에 있어서,The method of claim 4, wherein 상기 홀 패턴에 남아 있는 잔류물(residue)을 제거하는 단계를 더 포함하는 패턴 형성 방법.And removing a residue remaining in the hole pattern. 제5항에 있어서,The method of claim 5, 상기 잔류물을 제거하는 단계는, 상기 레지스트막이 상기 홀 패턴에 남아있는 잔류물 제거를 위한 액체에 용이하게 용해가능하도록 상기 레지스트 패턴 상에 용해 프로세스를 실행하는 단계 및 상기 액체를 사용하여 상기 잔류물 제거 프로세스를 실행하는 단계를 포함하는 패턴 형성 방법.Removing the residue comprises: performing a dissolution process on the resist pattern so that the resist film is readily soluble in a liquid for removing residue remaining in the hole pattern and using the liquid And performing a removal process. 제1항에 있어서,The method of claim 1, 상기 수분 함유막에 광을 조사하고 상기 수분을 함유하는 액체를 수분 함유 막에 제공하는 단계는 상기 레지스트 패턴의 프론트층 부분을 친수층(hydrophilicized layer)으로 변경하는 단계를 포함하는 패턴 형성 방법. Irradiating the water-containing film with light and providing the water-containing liquid to the water-containing film comprises changing the front layer portion of the resist pattern to a hydrophilicized layer. 제7항에 있어서,The method of claim 7, wherein 상기 광의 파장은 200nm 이하이고, 상기 레지스트 패턴에 흡수된 수분은 상기 레지스트 패턴의 프론트 표면에 수산기(hydroxyl group)를 부가하도록 래디컬화되는(radicalized) 패턴 형성 방법.The wavelength of the light is 200 nm or less, and the moisture absorbed in the resist pattern is radicalized to add hydroxyl groups to the front surface of the resist pattern. 제1항에 있어서,The method of claim 1, 상기 레지스트 패턴 형성 후에, 상기 레지스트 패턴의 공간 부분의 바닥 치수가 상기 공간 부분의 상부 치수보다 더 작으면, 바닥 공간 폭을 상부 공간 폭에 가깝도록 상기 패턴을 정정하는 단계를 더 포함하는 패턴 형성 방법.After forming the resist pattern, if the bottom dimension of the space portion of the resist pattern is smaller than the top dimension of the space portion, correcting the pattern to make the bottom space width close to the top space width. . 제9항에 있어서,The method of claim 9, 상기 패턴을 정정하는 단계는, 상기 바닥 공간 폭을 상기 상부 공간 폭에 가깝도록 상기 바닥 공간 폭을 증가시키는 단계, 및 측벽의 막 두께를 증가시키는 단계를 포함하고,Correcting the pattern includes increasing the bottom space width such that the bottom space width is close to the top space width, and increasing the film thickness of the sidewalls, 상기 측벽의 막 두께를 증가시키는 단계는 상기 레지스트 패턴의 프론트 표면 상에 퇴적막을 형성하고, 상기 퇴적막 형성에 이어, 이방성 에칭에 의해 상기 레지스트 패턴의 바닥 공간의 프론트 표면으로부터 상기 퇴적막을 제거함으로써 상기 바닥 공간보다 더 좁은 상기 바닥 공간의 일부를 노출하는 단계를 포함하는 패턴 형성 방법.Increasing the film thickness of the sidewalls includes forming a deposited film on the front surface of the resist pattern, followed by forming the deposited film, and then removing the deposited film from the front surface of the bottom space of the resist pattern by anisotropic etching. Exposing a portion of the floor space that is narrower than the floor space. 패턴 형성 방법으로서,As a pattern formation method, 프로세스 타겟 기판의 하나의 주면 상에 제공된 레지스트막을 패터닝하여 레지스트 패턴을 형성하는 단계;Patterning a resist film provided on one main surface of the process target substrate to form a resist pattern; 상기 레지스트 패턴의 공간 부분에 남아있는 상기 레지스트막에 용해 프로세스를 실행하는 단계;Performing a dissolution process on the resist film remaining in the space portion of the resist pattern; 상기 레지스트막 제거를 위한 액체를 공급하여, 상기 레지스트 패턴의 공간 부분에 남아있는 상기 레지스트막을 제거하는 단계;Supplying a liquid for removing the resist film to remove the resist film remaining in the space portion of the resist pattern; 상기 레지스트막과의 상호작용을 통해 막에 형성되는 패턴 형성 상보막을 위한 재료를, 상기 레지스트 패턴의 공간 부분에 도입하는 단계;Introducing a material for the pattern forming complementary film formed in the film through interaction with the resist film, into the space portion of the resist pattern; 상기 패턴 형성 상보막을 위한 재료를 상기 레지스트막과 상호작용하도록 하여, 상기 공간 부분의 내측 표면 상에 패턴 형성 상보막을 선택적으로 형성하는 단계; 및Selectively forming a pattern forming complementary film on an inner surface of the space portion by causing a material for the pattern forming complementary film to interact with the resist film; And 패턴 형성 상보막의 나머지 부분을 상기 공간 부분에 남아있도록 하면서, 막에 형성되지 않은 상기 패턴 형성 상보막용 재료의 일부를 상기 공간 부분의 내부로부터 제거하여, 상기 공간 부분의 바닥 표면의 일부를 노출시키는 단계Removing a portion of the pattern forming complementary material which is not formed in the film from the inside of the space part, while exposing the remaining portion of the pattern forming complementary film to the space part, exposing a part of the bottom surface of the space part. 를 포함하는 패턴 형성 방법. Pattern forming method comprising a. 제11항에 있어서,The method of claim 11, 상기 패턴 형성 상보막용 재료와 상기 레지스트막 간의 상호작용은, 베이킹(baking) 처리를 수행하여 상기 레지스트막과 상기 패턴 형성 상보막용 재료 간 에 가교 혼합층을 형성하는 것을 포함하는 패턴 형성 방법.And the interaction between the pattern forming complementary film material and the resist film includes performing a baking process to form a crosslinked mixed layer between the resist film and the pattern forming complementary film material. 제11항에 있어서,The method of claim 11, 상기 공간 부분의 바닥 표면을 부분적으로 노출시킨 후에, 상기 레지스트 패턴 및 상기 패턴 형성 상보막의 나머지 부분을 마스크로서 사용하여 프로세스 타겟 기판을 처리하는 단계를 더 포함하는 패턴 형성 방법.After partially exposing the bottom surface of the space portion, processing the process target substrate using the resist pattern and the remaining portions of the pattern forming complementary film as masks. 제11항에 있어서,The method of claim 11, 상기 레지스트 패턴 형성 후에, 상기 레지스트 패턴의 공간 부분의 바닥 치수가 상기 공간 부분의 상부 치수보다 더 작으면, 바닥 공간 폭을 상부 공간 폭에 가깝도록 상기 패턴을 정정하는 단계를 더 포함하는 패턴 형성 방법.After forming the resist pattern, if the bottom dimension of the space portion of the resist pattern is smaller than the top dimension of the space portion, correcting the pattern to make the bottom space width close to the top space width. . 제14항에 있어서,The method of claim 14, 상기 패턴을 정정하는 단계는, 상기 바닥 공간 폭을 상기 상부 공간 폭에 가깝도록 상기 바닥 공간 폭을 증가시키는 단계, 및 측벽의 막 두께를 증가시키는 단계를 포함하고,Correcting the pattern includes increasing the bottom space width such that the bottom space width is close to the top space width, and increasing the film thickness of the sidewalls, 상기 측벽의 막 두께를 증가시키는 단계는 상기 레지스트 패턴의 프론트 표면 상에 퇴적막을 형성하고, 상기 퇴적막 형성에 이어, 이방성 에칭에 의해 상기 레지스트 패턴의 바닥 공간의 프론트 표면으로부터 상기 퇴적막을 제거함으로써 상기 바닥 공간보다 더 좁은 상기 바닥 공간의 일부를 노출하는 단계를 포함하는 패 턴 형성 방법.Increasing the film thickness of the sidewalls includes forming a deposited film on the front surface of the resist pattern, followed by forming the deposited film, and then removing the deposited film from the front surface of the bottom space of the resist pattern by anisotropic etching. Exposing a portion of the floor space that is narrower than the floor space. 패턴 형성 방법으로서,As a pattern formation method, 프로세스 타겟 기판의 하나의 주면 상에 제공된 레지스트막을 패터닝하여 레지스트 패턴을 형성하는 단계;Patterning a resist film provided on one main surface of the process target substrate to form a resist pattern; 상기 레지스트 패턴의 공간 부분에 남아있는 상기 레지스트막에 용해 프로세스를 실행하는 단계;Performing a dissolution process on the resist film remaining in the space portion of the resist pattern; 상기 레지스트막 제거를 위한 액체를 공급하는 단계 - 상기 액체는 상기 레지스트막과의 상호작용을 통해 막으로 형성되는 패턴 형성 상보막용 재료를 포함함 -;Supplying a liquid for removing the resist film, the liquid comprising a pattern forming complementary material formed into a film through interaction with the resist film; 상기 패턴 형성 상보막용 재료를 상기 레지스트막과 상호작용하도록 하여, 상기 공간 부분의 내측 표면 상에 상기 패턴 형성 상보막을 선택적으로 형성하는 단계; 및Selectively forming the pattern forming complementary film on the inner surface of the space portion by causing the pattern forming complementary material to interact with the resist film; And 상기 패턴 형성 상보막의 나머지 부분을 상기 공간 부분에 남아있도록 하면서, 막에 형성되지 않은 상기 패턴 형성 상보막용 재료의 일부를 상기 공간 부분의 내부로부터 제거하여, 상기 공간 부분의 바닥 부분의 일부를 노출시키는 단계Removing the portion of the pattern forming complementary material which is not formed in the film from the inside of the space portion, while exposing the remaining portion of the pattern forming complementary film to the space portion, thereby exposing a portion of the bottom portion of the space portion. step 를 포함하는 패턴 형성 방법.Pattern forming method comprising a. 제16항에 있어서,The method of claim 16, 상기 패턴 형성 상보막용 재료와 상기 레지스트막 간의 상호작용은, 베이킹 처리를 수행하여 상기 레지스트막과 상기 패턴 형성 상보막용 재료 간에 가교 혼합층을 형성하는 것을 포함하는 패턴 형성 방법.And the interaction between the pattern forming complementary film material and the resist film comprises baking to form a crosslinked mixed layer between the resist film and the pattern forming complementary film material. 제16항에 있어서,The method of claim 16, 상기 공간 부분의 바닥 표면을 부분적으로 노출시킨 후에, 상기 레지스트 패턴 및 상기 패턴 형성 상보막의 나머지 부분을 마스크로서 사용하여 프로세스 타겟 기판을 처리하는 단계를 더 포함하는 패턴 형성 방법.After partially exposing the bottom surface of the space portion, processing the process target substrate using the resist pattern and the remaining portions of the pattern forming complementary film as masks. 제16항에 있어서,The method of claim 16, 상기 레지스트 패턴 형성 후에, 상기 레지스트 패턴의 공간 부분의 바닥 치수가 상기 공간 부분의 상부 치수보다 더 작으면, 바닥 공간 폭을 상부 공간 폭에 가깝도록 상기 패턴을 정정하는 단계를 더 포함하는 패턴 형성 방법.After forming the resist pattern, if the bottom dimension of the space portion of the resist pattern is smaller than the top dimension of the space portion, correcting the pattern to make the bottom space width close to the top space width. . 제19항에 있어서,The method of claim 19, 상기 패턴을 정정하는 단계는, 상기 바닥 공간 폭을 상기 상부 공간 폭에 가깝도록 상기 바닥 공간 폭을 증가시키는 단계, 및 측벽의 막 두께를 증가시키는 단계를 포함하고,Correcting the pattern includes increasing the bottom space width such that the bottom space width is close to the top space width, and increasing the film thickness of the sidewalls, 상기 측벽의 막 두께를 증가시키는 단계는 상기 레지스트 패턴의 프론트 표면 상에 퇴적막을 형성하고, 상기 퇴적막 형성에 이어, 이방성 에칭에 의해 상기 레지스트 패턴의 바닥 공간의 프론트 표면으로부터 상기 퇴적막을 제거함으로써 상 기 바닥 공간보다 더 좁은 상기 바닥 공간의 일부를 노출하는 단계를 포함하는 패턴 형성 방법.Increasing the film thickness of the sidewalls may be achieved by forming a deposited film on the front surface of the resist pattern, followed by forming the deposited film, and then removing the deposited film from the front surface of the bottom space of the resist pattern by anisotropic etching. Exposing a portion of the floor space that is narrower than an existing floor space.
KR1020080067184A 2007-07-10 2008-07-10 Method of forming micropattern KR101024143B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007181318 2007-07-10
JPJP-P-2007-00181318 2007-07-10
JPJP-P-2008-00177495 2008-07-08
JP2008177495A JP2009038360A (en) 2007-07-10 2008-07-08 Method of forming pattern

Publications (2)

Publication Number Publication Date
KR20090006019A true KR20090006019A (en) 2009-01-14
KR101024143B1 KR101024143B1 (en) 2011-03-22

Family

ID=40439964

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080067184A KR101024143B1 (en) 2007-07-10 2008-07-10 Method of forming micropattern

Country Status (3)

Country Link
JP (1) JP2009038360A (en)
KR (1) KR101024143B1 (en)
TW (1) TW200919547A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200486601Y1 (en) 2017-12-08 2018-06-12 박영수 Double bellows piping device for semiconductor facilities

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192837A (en) * 2010-03-15 2011-09-29 Toshiba Corp Evaluating device and evaluating method
JP5798461B2 (en) * 2011-11-28 2015-10-21 Hoya株式会社 Mold manufacturing method and resist processing method
KR102399752B1 (en) * 2013-09-04 2022-05-20 도쿄엘렉트론가부시키가이샤 Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
CN114911141B (en) * 2022-07-11 2022-09-23 上海传芯半导体有限公司 EUV lithography method and EUV lithography apparatus

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4162756B2 (en) 1998-05-20 2008-10-08 富士通株式会社 Film patterning method
JP2000058506A (en) * 1998-08-06 2000-02-25 Mitsubishi Electric Corp Manufacture of semiconductor device and semiconductor device
US6734120B1 (en) 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200486601Y1 (en) 2017-12-08 2018-06-12 박영수 Double bellows piping device for semiconductor facilities

Also Published As

Publication number Publication date
JP2009038360A (en) 2009-02-19
KR101024143B1 (en) 2011-03-22
TW200919547A (en) 2009-05-01

Similar Documents

Publication Publication Date Title
US8956981B2 (en) Methods of eliminating pattern collapse on photoresist patterns
US7566525B2 (en) Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication
TWI261880B (en) Photolithography method to prevent photoresist pattern collapse
US20050130068A1 (en) Pattern forming method and method for manufacturing a semiconductor device
TW200523989A (en) Method for forming resist pattern and method for manufacturing semiconductor device
KR101024143B1 (en) Method of forming micropattern
CN111399338B (en) Photoetching method
JP5295968B2 (en) Method and apparatus for manufacturing semiconductor device
JP5137333B2 (en) Method for forming mask pattern for ion implantation and method for manufacturing semiconductor device
JP4718893B2 (en) Pattern formation method
JP2018072543A (en) Photomask blank, photomask and manufacturing method of photomask
US20090017401A1 (en) Method of forming micropattern
JP2010156819A (en) Semiconductor device manufacturing method
US7851139B2 (en) Pattern forming method
JP2006108564A (en) Electronic device manufacturing method and exposure system
US7998663B2 (en) Pattern formation method
JP2001326173A (en) Pattern-forming method
US20040259004A1 (en) Method for fabricating a resist mask for patterning semiconductor substrates
KR100819647B1 (en) Method of Manufacturing Semiconductor Device
Kondo et al. The optimizations of resist shrink process using track-based technology
KR100691934B1 (en) method for forming contact hole semiconductor device
JP2004207590A (en) Method of manufacturing semiconductor device
JP2005114973A (en) Method for forming fine resist pattern
KR101057178B1 (en) Manufacturing method of photo mask
JPH0822116A (en) Pattern forming method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee