KR20080109865A - 듀얼 다마신 공정에서 바닥 반사방지 코팅 층의 에칭 방법 - Google Patents

듀얼 다마신 공정에서 바닥 반사방지 코팅 층의 에칭 방법 Download PDF

Info

Publication number
KR20080109865A
KR20080109865A KR1020087025579A KR20087025579A KR20080109865A KR 20080109865 A KR20080109865 A KR 20080109865A KR 1020087025579 A KR1020087025579 A KR 1020087025579A KR 20087025579 A KR20087025579 A KR 20087025579A KR 20080109865 A KR20080109865 A KR 20080109865A
Authority
KR
South Korea
Prior art keywords
etching
barc layer
layer
dual damascene
sccm
Prior art date
Application number
KR1020087025579A
Other languages
English (en)
Inventor
잉 시아오
제랄도 에이. 델가디노
카르스텐 슈나이더
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080109865A publication Critical patent/KR20080109865A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

듀얼 다마신 구조의 BARC 층을 2 단계 에칭을 위한 방법이 제공된다. 상기 방법은 에칭 반응로 내의 기판 상에 배열되는 BARC 층으로 충전되는 비아를 갖는 기판을 제공하는 단계와, 상기 비아 내에 충전되는 상기 BARC 층의 제 1 부분을 에칭하도록 제 1 가스 혼합물을 상기 반응로 내측으로 공급하는 단계, 및 상기 비아 내에 충전되는 상기 BARC 층의 제 2 부분을 에칭하도록 NH3를 포함하는 제 2 가스 혼합물을 상기 반응로 내측으로 공급하는 단계를 포함한다.

Description

듀얼 다마신 공정에서 바닥 반사방지 코팅 층의 에칭 방법 {METHODS FOR ETCHING A BOTTOM ANTI-REFLECTIVE COATING LAYER IN DUAL DAMASCENE APPLICATION}
본 발명은 일반적으로, 반도체 처리 기술에 관한 것이며, 보더 더 구체적으로 듀얼 다마신 에칭 공정에서 바닥 반사 방지 코팅 층(BARC)을 에칭하기 위한 방법에 관한 것이다.
집적 회로들은 단일 칩 상에 백만여개의 부품(예를 들어, 트랜지스터, 커패시터 및 레지스터)을 포함하는 복잡한 장치로 진화했다. 칩 설계의 발전으로 보다 빠른 회로와 보다 양호한 회로 밀도를 계속해서 요구한다. 보다 양호한 회로 밀도에 대한 요구는 집적 회로 부품의 치수 축소를 필요로 한다.
집적 회로 부품의 치수가 감소(예를 들어, 서브-미크론급)되면서, 그러한 부품의 제조에 사용되는 재료가 이들 부품의 전기적 성능에 기여한다. 예를 들어, 저 저항의 금속 인터커넥트(interconnect)가 집적 회로 상에 있는 부품 간의 전도로를 제공한다.
통상적으로, 금속 인터커넥트는 유전체 벌크 절연 재료에 의해 서로로부터 전기적으로 절연된다. 인접 금속 인터커넥트 간의 거리 및/또는 유전체 벌크 절연 재료 두께가 서브-미크론급이면, 그러한 인터커넥트 사이에 용량성 결합이 잠재적 으로 발생한다. 인접 금속 인터커넥트 사이의 용량성 결합은 집적 회로의 전체 성능을 열화시키는 누화(crosstalk) 및/또는 RC 지연(resistance-capacitance delay)의 원인이될 수 있다.
몇몇 집적 회로 부품은 다층 인터커넥트 구조(예를 들어, 듀얼 다마신 구조)를 포함한다. 통상적으로, 이중 다마신 구조는 차례로 적층되는 구리와 같은 전도체 층 및 유전체 벌크 절연 층을 가진다. 비아(via) 및/또는 트렌치들이 유전체 벌크 절연 층으로 에칭되며 구리 전도체 층들이 계속해서 비아 및/또는 트렌치 내측에 충전되며 화학 기계적 평탄화(CMP)와 같은 공정을 사용하여 폴리싱되어서 전도체 재료만이 비아 및/또는 트렌치 내에 남게 된다. 듀얼 다마신 해결책에 있어서, 비아와 트렌치들은 유전체 재료 층이나 구리 이전의 상이한 유전체 재료의 스택으로 패턴화된다.
유전체 재료 내의 비아 및/또는 트렌치를 에칭하는 상이한 공정 시퀀스가 듀얼 다마신 공정에 사용될 수 있다. 도 1a에 도시된 예시적인 실시예에서, 비아 및/또는 트렌치를 에칭하기 위한 "비아-퍼스트(via-first)" 공정 시퀀스가 도시되어 있다. 비아(128,130)는 기판(102) 상에 배열된 유전체 스택 내에 형성된다. 유전체 스택(132)은 낮은 피쳐 밀도(예를 들어, 절연 비아(130))를 갖는 제 1 영역(116) 및 높은 피쳐 밀도(예를 들어, 조밀 비아(128))를 갖는 제 2 영역(118)을 가진다. 유전체 스택(132)은 폴리싱 스톱 층(110) 및 유전체 배리어 층(106) 상에 배열되는 유전체 벌크 절연 층(108)을 포함한다. 구리 라인(103)이 유전체 스택(132) 아래에 있는, 기판(102) 상에 배열되는 다른 유전체 스택 또는 층(104)에 존재할 수 있다. 폴리싱 스톱 층(110) 및 유전체 배리어 층(106)은 통상적으로 SiON, SiOC, SiN, SiCN, SiO2 등과 같은 유전체 재료로 형성된다. 유전체 벌크 절연 층(108)은 통상적으로 FSG, 폴리머 재료, 탄소 함유 실리콘 층(SiOC) 등과 같은 유전체 상수 4.0 미만의 유전체 재료로 형성된다.
바닥 반사 방지 코팅(BARC) 층(112)은 비아(128,130)를 충전하도록 스핀-도포되며 트렌치 리소그래피 이전에 유전체 스택(132)을 덮는다. 하드 마스크 층(134)은 에칭 마스크 층으로서의 역할을 하도록 BARC 층(112) 상에 증착된다. 하드 마스크 에칭 공정은 패턴화된 포토레지스트 층(114)을 사용하여 하부 BARC 층을 노출시키도록 수행된다. 포토레지스 층(114)에 의해 한정된 노출된 하드 마스크 층(134)이 에칭 제거된 이후에, 트렌치를 에칭하기 이전에 하드 마스크 층(134)에 의해 비아 개구(128,130) 위의 BARC 층(112)의 일부를 제거하도록 BARC 에칭 공정이 수행된다. 그러나, 스핀-도포된 BARC 층(12)이 동일한 방식으로 조밀한 비아(128)와 절연된 비아(130)를 충진시키지 못한다. 통상적으로, 절연 비아(130)는 조밀한 비아(128)보다 더 쉽게 충전되므로, 유전체 스택(132)의 상부에 있는 제 1 영역(116)과 제 2 영역(118) 사이의 BARC 두께에 커다란 변동을 초래한다. 비아 개구에 있는 BARC 층(112)이 에칭 제거되면, 유전체 스택(132) 내의 하드 마스크 층(134)에 의해 한정된 하부 폴리싱 스톱 층(11) 부분들이 도 1b에 도시한 바와 같이, BARC 에칭 공정 중에 노출된다. 유전체 스택(132)의 상부에 있는 BARC 층(112)의 상이한 두께로 인해 조밀한 비아(128) 위의 BARC 층(112)은 절연 비 아(130) 위의 BARC 층(112)의 일부분 보다 더 많이 에칭된다. 불균일한 BARC 층(112)은 다음의 트렌치 에칭 공정 중에 불균일한 트렌치 깊이를 초래한다. 도 1C에 도시한 바와 같이, BARC 층(112)은 절연 비아(130) 내의 BARC 층(112)에 비해 조밀한 비아(128) 내에서 더 빠르게 에칭되어서, 조밀한 비아(128) 내의 에칭된 BARC 층(112)이 오목한 형태(120)로 되게 하는 반면에, 절연 비아(130) 내의 BARC 층(112)은 충분히 에칭 및/또는 비아(130) 위로 표면(122)이 돌출되게 유지한다.
도 2a는 절연 비아(130) 위로 돌출된 표면(122)을 갖는 BARC 층(112)의 예시적인 구조를 도시한다. BARC 층(112)의 돌출 표면(122)은 도 2b에 추가로 도시한 바와 같이, 섀도 효과(shadowing effect)를 형성하여, BARC 층(112)에 인접한 유전체 벌크 절연 층(108)의 일부분이 유전체 절연 층(108)의 다른 부분들 보다 더 천천히 에칭되게 한다. 그와 같이, 하드 마스크 층(134)과 BARC 층(112)이 벗겨내지면, 펜스 결함(126)이 도 2c에 도시한 바와 같이, 트렌치 내에 남게 된다. BARC 층(112)의 오버 에칭 및/또는 불충분한 오목부(또는 돌출부)는 트렌치 및/또는 비아의 치수와 형상에 영향을 끼쳐, 집적 회로 장치의 전기적 성능의 열화 및 인터커넥트 통합성의 열화를 초래한다. BARC 에칭의 개선은 이들 효과를 증대시킬 수 있다.
그러므로, 구조물들의 소정 치수와 프로파일을 형성하기 위해 BARC 층의 균일한 에칭 방법이 필요하다.
듀얼 다마신 구조에서 BARC 층의 2 단계 에칭 방법이 제공된다. 일 실시예에서, 듀얼 다미산 구조에서 BARC 층의 에칭 방법은 에칭 반응로 내의 기판 상에 배열되는 BARC 층으로 충전된 비아들을 갖는 기판을 제공하는 단계와, 비아 내에 충전된 BARC 층의 제 1 부분을 에칭하도록 반응로 내측으로 제 1 가스 혼합물을 공급하는 단계, 및 비아 내에 배열된 BARC 층의 제 2 부분을 에칭하도록 반응로 내측으로 NH3 가스를 포함하는 제 2 가스를 공급하는 단계를 포함한다.
다른 실시예에서, 듀얼 다마신 구조에서 BARC 층의 에칭 방법은 유전체 벌크 절연 층 내에 형성되고 에칭 반응로 내에서 BARC 층으로 충전되는 비아를 갖는 기판을 제공하는 단계와, 비아 내에 충전된 BARC 층의 일부분을 에칭하도록 반응로 내측으로 N2와 H2를 갖는 제 1 가스 혼합물을 공급하는 단계, 및 비아 내에 배열된 BARC 층의 나머지 부분을 예정된 깊이로 에칭하도록 반응로 내측으로 NH3, CO 및 O2 가스를 포함하는 제 2 가스를 공급하는 단계를 포함한다.
다른 실시예에서, 듀얼 다마신 구조에서 BARC 층의 에칭 방법은 유전체 벌크 절연 층 내에 형성되고 에칭 반응로 내에서 상부에 배열되는 하드 마스크 층을 갖는 BARC 층으로 충전되는 비아를 갖는 기판을 제공하는 단계와, BARC 층의 표면을 노출시키기 위해 패턴화된 포토레지스트 층을 사용하여 상기 하드 마스크 층을 에칭하도록 상기 반응로 내측으로 불소 함유 가스를 갖는 가스 혼합물을 공급하는 단계, 상기 비아 내에 충전된 BARC 층의 일부분을 에칭하도록 상기 반응로 내측으로 N2와 H2를 갖는 제 1 가스 혼합물을 공급하는 단계, 및 비아 내에 배열된 BARC 층의 나머지 부분을 예정된 깊이로 에칭하도록 반응로 내측으로 NH3, CO 및 O2 가스를 포함하는 제 2 가스를 공급하는 단계를 포함한다.
본 발명의 전술한 특징들이 달성되고 더 상세히 이해될 수 있는 방식으로 첨부 도면에 도시된 본 발명의 실시예들을 참조하여 간략히 전술한 본 발명 특정 설명에 대해 더욱 상세한 설명한다.
도 1a 내지 도 1c는 절연 및 조밀 비아를 갖는 예시적인 듀얼 다마신 구조의 단면도이며,
도 2a 내지 도 2c는 다른 예시적인 듀얼 다마신 구조의 단면도이며,
도 3은 본 발명의 일 실시예에 따라 사용된 플라즈마 반응로의 개략적인 횡단면도이며,
도 4는 듀얼 다마신 구조에서 BARC 층을 에칭하기 위한 2 단계 에칭 단계를 위한 에칭 방법의 일 실시예를 도시하는 공정 흐름도이며,
도 5a 내지 도 5d는 본 발명의 일 실시예에 따라 순차적으로 에칭된 듀얼 다마신 구조의 단면도이다.
이해를 쉽게 하기 위해, 도면에 있어서 공통인 동일한 구성 요소를 지칭하는데 가능한 한 동일한 도면 부호가 사용되었다. 일 실시예의 구성 요소들과 특징들은 추가의 설명없이 다른 실시예들과 유리하게 결합될 수 있다고 이해해야 한다.
그러나, 첨부 도면들은 본 발명의 단지 예시적인 실시예들만을 도시하므로, 본 발명의 범주를 한정하는 것으로 이해해서는 않되며 균등하고 유리한 다른 실시예들이 있을 수 있다고 이해해야 한다.
본 발명의 실시예들은 듀얼 다마신 구조에서 BARC 층의 에칭을 위한 2 단계 방법을 포함한다. 상기 방법은 에칭 공정 중에 BARC 층의 프로파일과 치수를 용이하게 함으로써, 듀얼 다마신 구조에서 트렌치 형성 정밀도를 개선한다. 2 단계 에칭 방법은 양호한 측벽 및/또는 표면 보호층을 갖는 BARC 층을 에칭함으로써 상이한 패턴 밀도를 갖는 에칭 트렌치와 관련된 프로파일 편차를 최소화하도록 에칭 반응로 내측으로 2 개의 상이한 가스 혼합물을 공급하는 단계를 포함한다.
도 3은 본 발명을 수행하는데 적합한 플라즈마 소오스 에칭 반응로의 일 실시예를 도시하는 개략적인 횡단면도이다. 본 발명을 수행하는데 적합한 하나의 에칭 반응로는 미국 캘리포니아 산타클라라 소재의 어플라이드 머티리얼즈, 인코포레이티드로부터 이용가능한 인에이블러(ENABLER: 등록상표) 처리 챔버이다. 다른 제조사로부터의 처리 챔버를 포함한 다른 에칭 반응로도 본 발명에 유리하게 적용될 수 있다.
일 실시예에서, 반응로(302)는 전도체 챔버 벽(330)을 갖는 처리 챔버(310)를 포함한다. 챔버 벽(330)의 온도는 벽(330)의 내부 및/또는 주위에 위치된 액체 함유 도관(도시 않음)을 사용하여 제어된다.
챔버(310)는 드로틀 밸브(327)를 통해 진공 펌프(336)에 연결되는 고 진공 용기이다. 챔버 벽(330)은 전기 접지(334)에 연결된다. 라이너(331)는 벽(330)의 내측 표면을 커버하도록 챔버(310) 내에 배열된다. 라이너(331)는 챔버(310)의 세정 능력을 개선한다.
처리 챔버(310)도 지지 받침대(316)와 샤워헤드(332)를 포함한다. 지지 받침대(316)는 처리 중에 이격된 관계로 기판(300)을 샤워헤드(332) 아래에 지지한다. 지지 받침대(316)는 기판(300)을 유지하기 위한 정전 척(326)을 포함할 수 있다. 정전 척(326)으로의 전력은 DC 전력 공급원(320)에 의해 제어된다.
지지 받침대(316)는 동조 네트워크(324)를 통해 RF 바이어스 전력원(322)에 연결된다. 바이어스 전력원(322)은 일반적으로 약 50 ㎑ 내지 약 60 ㎒ 범위의 가변 주파수와 약 0 내지 5,000와트 범위의 바이어스 전력을 갖는 RF 신호를 생성할 수 있다. 선택적으로, 바이어스 전력원(322)은 DC 또는 펄스형 DC 공급원일 수 있다.
지지 받침대(316) 상에 지지된 기판(300)의 온도는 지지 받침대(316)의 온도를 조정함으로써 적어도 부분적으로 제어된다. 일 실시예에서, 지지 받침대(316)는 냉각제를 유동시키기 위해 내부 형성된 채널을 갖는 냉각 판(도시 않음)을 포함한다. 또한, 가스 공급원(348)으로부터의 헬륨(He) 가스와 같은 배면 가스가 기판(300)의 배면과 정전 척(326)의 표면에 형성된 홈(도시 않음) 사이에 배열되는 채널으로 내측으로 제공된다. 배면 헬륨 가스는 받침대(316)와 기판(300) 사이에 충분한 열전달을 제공한다. 정전 척(326)도 척(326)을 가열하기 위해 척의 몸체 내에 저항 히터(도시 않음)를 포함한다. 일 실시예에서, 기판(300)은 약 10 내지 약 500 ℃ 범위의 온도로 유지된다.
샤워헤드(332)는 처리 챔버(310)의 리드(313)에 장착된다. 가스 패널(338)은 샤워헤드(332)와 리드(313) 사이에 형성되는 플레넘(도시 않음)에 유체 연결된다. 샤워헤드(332)는 가스 패널(338)로부터 플레넘으로 제공되는 가스가 처리 챔버(310)로 유입될 수 있게 하는 복수의 구멍을 포함한다. 샤워헤드(332) 내의 구멍은 다수의 가스들이 다수의 체적 유동률로 챔버(310)의 내측으로 공급될 수 있도록 다른 영역 내에 배열될 수 있다.
샤워헤드(332) 및/또는 샤워헤드에 근접 위치된 상부 전극(328)은 임피던스 변압기(319)(예를 들어, 1/4 파장 동조 스텃)를 통해 RF 소오스 전력(318)에 연결된다. RF 소오스 전력은 일반적으로 약 160 ㎒의 가변 주파수와 약 0 내지 5,000 와트의 소오스 전력을 갖는 RF 신호를 생성할 수 있다.
반응로(302)도 챔버 리드(313) 근처의 챔버 벽(330) 외측에 위치되는 하나 또는 그 이상의 코일 세그먼트 또는 마그네트(312)를 포함한다. 코일 세그먼트(312)로의 전력은 DC 전력원 또는 저 주파수 AC 전력원(354)에 의해 제어된다.
처리 중, 챔버(310) 내측의 가스 압력은 가스 패널(338)과 드로틀 밸브(327)를 사용하여 제어된다. 일 실시예에서, 챔버(310) 내측의 가스 압력은 약 0.1 내지 999 mTorr로 유지된다.
중앙 처리 유닛(CPU:344), 메모리(342), 및 지원 회로(346)를 포함하는 제어기(340)는 반응로(302)의 다수의 부품에 연결되어서 본 발명의 압력 제어를 용이하게 한다. 메모리(342)는 랜덤 어쎄스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크와 같은 어떤 컴퓨터 판독가능한 매체, 또는 반응로(302) 또는 CPU(344)에 근접 또는 원거리에 있는 어떤 다른 형ㅌ내의 디지탈 저장기일 수 있다. 지원 회로(346)는 CPU를 종래의 방식으로 지원하기 위해 CPU(344)에 연결된다. 이들 회로는 캐쉬, 전력 공급원, 클록 회로, 인풋/아웃풋 회로 및 보조 시스템 등을 포함한다. 메모리(342) 내에 저장된 일련의 프로그램 명령어 또는 소프트웨어 루틴은 메모리(342) 내에 저장되며, CPU(344)에 의해 실행될 때 반응로(302)가 본 발명의 공정을 수행하게 한다.
도 3은 본 발명을 실행하는데 사용될 수 있는 다수 형태의 플라즈마 반응로의 하나의 예시적인 구성을 도시한다. 예를 들어, 상이한 형태의 소오스 전력 및 바이어스 전력이 상이한 연결 기구를 사용하여 플라즈마 챔버의 내측으로 연결될 수 있다. 소오스 전력과 바이어스 전력의 사용으로 플라즈마에 대한 기판의 바이어스 전압과 플라즈마 밀도를 독립적으로 제어할 수 있다. 몇몇 적용예에서, 소오스 전력은 필요하지 않으며 플라즈마는 바이어스 전력에 의해서만 유지된다. 플라즈마 밀도는 저 주파수(예를 들어, 0.1 내지 0.5 헤르쯔)로 구동되는 전자석, AC 전류 소오스 또는 DC 소오스를 사용하여 진공 챔버에 인가되는 자장에 의해 강화될 수 있다. 다른 적용예에서, 플라즈마는 내부에 기판이 위치되어 있는, 예를 들어 원격 플라즈마 소오스로부터 상이한 챔버 내에서 생성될 수 있으며, 플라즈마는 순차적으로 본 기술 분야의 공지된 기술에 의해 챔버의 내측으로 안내된다.
도 4는 본 발명의 일 실시예에 따라 듀얼 다마신 구조에서 BARC 에칭 공정(400)을 수행하기 위한 일 실시예에 대한 흐름도이다. 도 5a 내지 도 5d는 BARC 에칭 공정(400)을 설명하는 상이한 공정(400) 단계에 대응하는 개략적인 횡단면도 이다. 공정(400)은 제어기(340)에 의해 실행될 때 공정(400)이 반응로(302) 내에서 수행되도록 명령에 따라 메모리(342) 내에 저장될 수 있다.
공정(400)은 반응로(302) 내에 듀얼 다마신 구조를 갖는 기판을 제공함으로써 단계(402)에서 시작된다. 도 5a는 기판(502) 상에 형성되는 층(504) 상에 배열되는 유전체 스택(518)을 갖는 듀얼 다마신 구조를 도시한다. 층(504)은 내부에 배열되는 구리 라인과 같은 적어도 하나의 전도체 층(506)을 가진다. 유전체 스택(518)은 폴리싱 스톱 층(512) 및 선택적인 유전체 배리어 층(508) 위에 배열되는 유전체 벌크 절연 층(510)을 포함할 수 있다. 선택적인 유전체 배리어 층(508)이 존재하지 않는 실시예들에서, 유전체 벌크 절연 층(510)은 하부 층(504) 상에 직접 배열될 수 있다. 비아(516)는 종래의 에칭 공정에 의해 유전체 벌크 절연 층(510)과 폴리싱 스톱 층(512) 내에 형성된다. 일 실시예에서, 유전체 벌크 절연 층(510)은 4.0 미만의 유전체 상수를 갖는 유전체 재료이다. 적합한 재료의 예는 어플라이드 머티리얼즈 인코포레이티드로부터 이용가능한 블랙 다이아몬드(등록상표) 유전체 재료와 같은 탄소-함유 실리콘 산화물(SiOC), 및 폴리아미드와 같은 다른 폴리머를 포함된다.
BARC 층(514)은 비아(516)를 충전하며 유전체 스택(518)을 커버한다. BARC 층(514)은 리소그래피 공정 중에 하부 유전체 층 및/또는 스택으로부터의 반사를 제어하는데 사용된다. BARC 층(514)은 예를 들어, 통상적으로 수소와 탄소 함유 원소들을 갖는 유기 재료, 또는 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물 등과 같은 무기 재료를 포함할 수 있다. 도 5a에 도시된 실시예에서, BARC 층(514)은 트렌치 리소그래피 이전에 비아(516)를 충전하도록 기판(502) 상에 스핀되는 유기 재료이다. 다른 실시예에서, BARC 층(514)은 임의의 다른 적합한 방식으로 비아 내에 코팅, 증착 또는 충전될 수 있다.
하드 마스크 층(530)은 트렌치 에칭 중에 에칭 마스크로서의 역할을 하도록 BARC 층(514) 위에 증착될 수 있다. 일 실시예에서, 증착 스톱 층(512)은 SiO2, SiON, SiN, SiOCN, SiCN 등과 같은 유전체 층이다. 도 5a에 도시한 실시예에서, 하드 마스크 층(530)은 BARC 층(514) 상에 스핀-도포되는 SOG 층이다.
폴리싱 스톱 층(512)은 유전체 벌크 절연 층(510) 위에 증착될 수 있다. 일 실시예에서, 하드 마스크 층(512)은 SiO2, SiON, SiN, SiOCN, SiCN 등과 같은 유전체 층이다. 폴리싱 스톱 층(512)이 존재하는 실시예에서, BARC 층(514)은 유전체 벌크 절연 층(510)의 부분(524: 예를 들어 표면)을 커버하고 그 상부에 직접 증착될 수 있다.
선택적인 유전체 배리어 층(508)은 약 5.5 이하의 유전체 상수를 갖는 재료로부터 선택된다. 일 실시예에서, 유전체 층(406)은 탄소 함유 실리콘 층(SiC), 질소 도프된 탄소 함유 실리콘 층(SiCN) 등일 수 있다.
포토레지스트 층(506)은 하드 마스크 층(530) 상에 배열되어서 예정된 패턴 및/또는 피쳐를 에칭 공정을 통해 유전체 스택(518)에 전사한다. 패턴화된 포토레지스트 층(506)은 집적 회로를 패턴화하는데 사용되는 종래의 탄소-기저 유기질 또는 중합체 재료를 포함할 수 있다. 도 5a에 도시된 실시예에서, 하드 마스크 층(530) 및/또는 포토레지스트 층(506) 아래에 배열되는 BARC 층(514)은 포토레지스트 층(506)에 의해 한정된 개구(520)를 통해 에칭되어서 유전체 스택(518) 내의 비아(516) 위에 트렌치를 형성한다.
단계 404에서, 하드 마스크 에칭 공정은 개구(520)에 노출되는 하드 마스크 층(530)을 에칭하도록 수행된다. 에칭 중에, 개구(520) 내의 하드 마스크 층(530)은 도 5b에 도시한 바와 같이, 하부 BARC 층(514)의 상부 표면이 노출될 때까지 제거될 수 있다. 통상적으로, 포토레지스트 층(506)은 하드 마스크 에칭 단계 중에 에칭 제거됨으로써, 다음 에칭 공정을 위한 나머지 에칭 마스크로서 하드 마스크 층(530)을 남게 된다. 하드 마스크 에칭 공정은 플라즈마의 방출물 모니터링에 의해 개구(520) 내에 있는 하부 BARC 층(514)의 부분들이 플라즈마에 노출되었는지의 여부를 결정하는 종래의 광학적 엔드포인트 측정 기술 또는 예정된 시간 주기 이후에 종료된다.
일 실시예에서, 하드 마스크 층(530)은 불소 함유 가스 혼합물로부터 형성되는 플라즈마를 사용하여 에칭될 수 있다. 불소 함유 가스의 적합한 예는 CF4, CHF3, C2F6, C3F8, CF6, C4F8, C5F8, C4F6, NF3, SF6 등이 포함되나 이에 한정되지는 않는다. 다른 실시예에서, 하드 마스크 층(530)은 O2, N2, Ar, He, 불활성 가스 등 중의 적어도 하나를 포함하는 불소 함유 가스 혼합물로 형성되는 플라즈마를 사용하여 에칭된다. 하드 마스크 층(530)은 도 3에 도시된 반응로(302)와 같은 에칭 챔버, 또는 다른 적합한 반응로 내에서 에칭될 수 있다.
일 실시예에서, 하드 마스크 에칭 공정은 CF4 및 CHF3와 같은 불소 함유 가스의 가스 혼합물을 에칭 반응로의 내측으로 공급하며, 약 300 와트 내지 약 2000 와트 범위의 전력을 인가하며, 약 0 내지 약 60 ℃ 범위의 온도를 유지하며, 반응로 내측의 처리 압력을 약 10 내지 약 300 mTorr 범위로 제어함으로써 수행될 수 있다. CHF3 가스는 약 5 sccm 내지 약 300 sccm 범위의 유동률로 공급될 수 있다. 다른 실시예에서, 산소와 같은 적어도 하나의 불활성 가스도 불소 함유 가스 혼합물과 함께 반응로의 내측으로 공급될 수 있다. 산소 가스는 약 0 내지 약 100 sccm의 유동률로 공급될 수 있다.
단계 406에서, 제 1 BARC 에칭 단계는 제 1 가스 혼합물을 반응로(302) 내에 공급함으로써 비아(516)를 충전하고 있는 BARC 층(514)의 일부분을 초기에 에칭하도록 수행된다. 일 실시예에서, 반응로(302)의 내측으로 공급되는 제 1 가스 혼합물은 수소 가스와 질소 가스를 포함한다. 제 1 가스 혼합물도 반응로(302) 내에 유지되는 이전 단계(404)로부터의 잔류 가스, 예를 들어 불소 함유 가스를 세정하고 배출함으로써, 다음 에칭 단계에서 잔류 불소 화학물과의 화학 반응 또는 결함 생성을 방지하는데 사용된다.
일 실시예에서, BARC 층(514)은 수소 및 질소 가스를 함유하는 제 1 가스 혼합물로부터 플라즈마를 형성함으로써 먼저 에칭된다. BARC 층(514)은 도 3에 도시된 반응로(302)와 같은 에칭 챔버 또는 다른 적합한 반응로 내에서 에칭될 수 있다.
여러 공정 변수는 제 1 가스 혼합물이 반응로(302) 내측으로 공급되는 동안에 단계(406)에서 조정된다. 일 실시예에서, 에칭 반응로 내의 가스 혼합물의 압력은 약 5 내지 약 200 mTorr 범위로 조정되며 기판 온도는 약 0 내지 약 60 ℃ 범위로 유지된다. RF 소오스 전력은 약 300 와트 내지 약 2000 와트의 전력으로 인가될 수 있다. 수소 가스는 약 5 sccm 내지 약 200 sccm 범위의 유동률로 유동될 수 있다. 질소 가스는 약 5 sccm 내지 약 200 sccm 범위의 유동률로 유동될 수 있다.
일 실시예에서, 제 1 BARC 에칭 단계는 예정된 시간 주기의 만료에 의해 종료될 수 있다. 예를 들어, 제 1 BARC 에칭 단계는 약 5 초 내지 약 50 초 범위의 처리에 의해 종료된다. 다른 실시예에서, 제 1 BARC 에칭 단계는 광학적 방출물 모니터링을 포함하는 적합한 방법 또는 다른 인디케이터에 의해 종료될 수 있다.
단계 408에서, 도 5c에 도시한 바와 같이 비아(516)를 충전하고 있는 BARC 층(514)의 나머지 부분을 예정된 깊이로 에칭하도록 제 2 BARC 층 에칭 단계가 수행된다. 제 2 BARC 층 에칭 단계(408)는 반응로(302) 내측으로 공급되는 제 2 가스 혼합물을 사용하여 수행된다. 일 실시예에서, 가스 혼합물은 NH3 가스를 포함한다. 다른 실시예에서, 제 2 가스 혼합물은 NH3 가스와 산소 함유 가스를 포함한다. 적합한 산소 함유 가스들은 CO와 O2가 포함된다. 제 2 BARC 층 에칭 단계는 예정된 시간 주기의 만료, 광학적 방출물의 모니터링 또는 유전체 벌크 절연 층(510)의 표면(524) 아래에 BARC 층(514)이 예정된 깊이로 오목하게 에칭되었는가를 결정하는 다른 인디케이터에 의해 종료된다. 다른 실시예에서, 유전체 벌크 절연 층(510)의 표면 아래로 오목한 BARC 층(514)의 예정된 깊이(526)는 약 0 nm 내지 약 200 nm이다.
일 실시예에서, BARC 층(514)은 NH3 가스와 CO와 O2와 같은 산소 함유 가스를 함유하는 제 2 가스 혼합물로부터 플라즈마를 형성함으로써 에칭된다. 다른 실시예에서, BARC 층(514)은 NH3, CO와 O2를 함유하는 제 2 가스 혼합물로부터 플라즈마를 형성함으로써 에칭된다. BARC 층(514)은 도 3에 도시한 반응로(302)와 같은 에칭 챔버, 또는 다른 적합한 반응로에서 에칭될 수 있다.
여러 공정 변수는 제 2 가스 혼합물이 반응로(302) 내측으로 공급되는 동안에 단계(408)에서 조정된다. 일 실시예에서, 에칭 반응로 내의 가스 혼합물의 압력은 약 5 내지 약 200 mTorr 범위로 조정되며 기판 온도는 약 0 내지 약 60 ℃ 범위로 유지된다. RF 소오스 전력은 약 300 와트 내지 약 2000 와트의 전력으로 인가될 수 있다. NH3 가스는 약 5 sccm 내지 약 300 sccm 범위의 유동률로 유동될 수 있다. O2 가스는 약 5 sccm 내지 약 200 sccm 범위의 유동률로 유동될 수 있다. CO 가스는 약 5 sccm 내지 약 500 sccm 범위의 유동률로 유동될 수 있다. 에칭 시간은 약 20 초 내지 약 100 초 범위에서 처리될 수 있다.
제 2 BARC 에칭 단계 중에, 제 2 가스 혼합물과 함께 공급되는 NH3 가스는 BARC 층(514)과 반응하여, BARC 층(514)의 표면 및/또는 측벽 상에 보호 폴리머를 형성한다. 조밀한 비아 내의 BARC 층(514)이 절연 비아 내의 BARC 층(514)보다 더 빠르게 에칭되면서, 상당히 더 많은 양의 보호 폴리머가 절연 비아 내에서 보다 조밀한 비아 내의 BARC 층(514) 위에 축적될 수 있다. 예정된 깊이에 도달할 때까지 절연 비아 내의 BARC 층(514)이 순차적으로 에칭되는 동안에 조밀한 비아 내의 축적된 보호 폴리머는 BARC 층(514)이 에칭되는 것을 방지한다. 기판의 패턴 밀도와 관련된 상이한 에칭률은 조밀한 비아와 절연 비아 내의 축적된 보호 폴리머의 상이한 양에 의해 최소화된다. 그와 같이, 조밀한 비아와 절연 비아를 갖는 두 영역 내에서 상당히 균일한 에칭 프로파일이 달성되어서, 예를 들어 종래의 에칭 공정에서 패턴 밀도 편차와 관련된 펜스 또는 BARC 층의 오목화와 같은 결함이 방지된다.
순차적으로 개구 표면(524)으로부터 예정된 깊이(526)로 폴리싱 스톱 층(512)과 유전체 절연 층(510)을 포함하는 여러 에칭 공정은 필요에 따라 트렌치(528)를 형성하도록 수행될 수 있다. 트렌치가 형성된 이후에, 나머지 BARC 층(514), 또는 하드 마스크 층(530)은 어떤 적합한 방법에 의해 기판으로부터 벗겨지거나 제거되어서, 도 5d에 도시한 바와 같은 듀얼 다마신 구조를 형성한다.
이와 같이, 본 발명은 균일한 에칭 프로파일로 BARC 층을 에칭하기 위한 2 단계 에칭 방법을 제공한다. 상기 방법은 BARC 층을 2 단계 에칭하기 위한 상이한 가스 혼합물을 충분한 측벽 및/또는 표면 보호층에 공급함으로써 듀얼 다마신 구조에 있어서 절연 비아와 조밀한 비아 내에 트렌치 및/또는 비아의 프로파일과 치수를 용이하게 제공하는 이점이 있다.
전술한 설명들은 본 발명의 실시예에 관한 것이나, 본 발명의 기본 범주로부 터 이탈함이 없이 본 발명의 다른 추가의 실시예들이 창안될 수 있으며, 그 범주는 다음의 청구의 범위에 의해 결정된다.

Claims (20)

  1. 듀얼 다마신 구조의 BARC 층을 에칭하는 방법으로서,
    에칭 반응로 내의 기판 상에 배열되는 BARC 층으로 충전되는 비아를 갖는 기판을 제공하는 단계와,
    상기 비아 내에 충전되는 상기 BARC 층의 제 1 부분을 에칭하도록 제 1 가스 혼합물을 상기 반응로 내측으로 공급하는 단계, 및
    상기 비아 내에 배열되는 상기 BARC 층의 제 2 부분을 에칭하도록 NH3 가스를 포함하는 제 2 가스 혼합물을 상기 반응로 내측으로 공급하는 단계를 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 가스 혼합물을 공급하는 단계는,
    N2 와 H2를 상기 반응로의 내측으로 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  3. 제 2 항에 있어서,
    상기 N2 와 H2를 유동시키는 단계는,
    약 5 sccm 내지 약 200 sccm 범위의 비율로 N2를 유동시키는 단계, 및
    약 5 sccm 내지 약 200 sccm 범위의 비율로 H2를 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 제 1 가스 혼합물을 공급하는 단계는,
    약 5 mTorr 내지 약 200 mTorr 범위로 처리 압력을 유지하는 단계와,
    약 0 내지 약 60 ℃ 범위의 기판 온도를 제어하는 단계, 및
    약 300 와트 내지 약 2000 와트 범위로 플라즈마 전력을 인가하는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  5. 제 1 항에 있어서,
    상기 제 2 가스 혼합물을 공급하는 단계는,
    CO와 O2 중의 하나 이상을 상기 반응로의 내측으로 유동시키는 단계를 더 포 함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  6. 제 1 항에 있어서,
    상기 제 2 가스 혼합물을 공급하는 단계는,
    약 5 sccm 내지 약 300 sccm 범위의 비율로 NH3를 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  7. 제 5 항에 있어서,
    상기 제 2 가스 혼합물을 공급하는 단계는,
    약 5 sccm 내지 약 500 sccm 범위의 비율로 CO를 유동시키는 단계, 및
    약 5 sccm 내지 약 200 sccm 범위의 비율로 O2를 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  8. 제 1 항에 있어서,
    상기 제 2 가스 혼합물을 공급하는 단계는,
    약 5 mTorr 내지 약 200 mTorr 범위로 처리 압력을 유지하는 단계와,
    약 0 내지 약 60 ℃ 범위의 기판 온도를 제어하는 단계, 및
    약 300 와트 내지 약 2000 와트 범위로 플라즈마 전력을 인가하는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  9. 제 1 항에 있어서,
    상기 BARC 층 위에는 하드 마스크 층이 배열되는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  10. 제 9 항에 있어서,
    상기 BARC 층을 에칭하기 이전에 포토레지스트 층에 의해 한정된 상기 하드 마스크를 에칭하도록 불소 함유 가스를 갖는 가스 혼합물을 상기 반응로의 내측으로 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  11. 제 9 항에 있어서,
    상기 BARC 층을 에칭하기 이전에 불소 함유 가스를 사용하여 상기 하드 마스크 층을 에칭하는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  12. 제 10 항에 있어서,
    상기 제 1 가스 혼합물에 의해 상기 반응로 내의 잔류 불소 함유 가스를 세정해내는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  13. 제 10 항에 있어서,
    상기 불소 함유 가스를 갖는 가스 혼합물은 CF4, CHF3, C2F6, C3F8, C4F8, C5F8, C4F6, SF6 및 NF3로 이루어지는 그룹으로부터 선택되는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  14. 제 1 항에 있어서,
    상기 제 2 가스 혼합물을 상기 BARC 층과 반응시킴으로써 보호 폴리머를 상기 BARC 층 상에 형성하는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  15. 듀얼 다마신 구조의 BARC 층을 에칭하는 방법으로서,
    에칭 반응로 내에서 BARC 층으로 충전되며 유전체 벌크 절연층 내에 형성되는 비아를 갖는 기판을 제공하는 단계와,
    상기 비아 내의 BARC 층의 일부분을 에칭하도록 N2와 H2를 갖는 제 1 가스 혼합물을 상기 반응로의 내측으로 공급하는 단계, 및
    상기 비아 내의 BARC 층의 나머지 부분을 예정된 깊이로 에칭하도록 NH3, CO와 O2 가스를 포함하는 제 2 가스 혼합물을 상기 반응로의 내측으로 공급하는 단계를 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  16. 제 15 항에 있어서,
    상기 기판을 제공하는 단계는,
    상기 BARC 층을 에칭하기 이전에 상기 BARC 층 상의 포토레지스트 층에 의해 한정된 상기 하드 마스크를 에칭하도록 불소 함유 가스를 갖는 가스 혼합물을 상기 반응로의 내측으로 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  17. 제 15 항에 있어서,
    상기 제 1 가스 혼합물을 공급하는 단계는,
    약 5 sccm 내지 약 200 sccm 범위의 비율로 N2 가스를 유동시키는 단계, 및
    약 5 sccm 내지 약 200 sccm 범위의 비율로 H2 가스를 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  18. 제 15 항에 있어서,
    상기 제 2 가스 혼합물을 공급하는 단계는,
    약 5 sccm 내지 약 300 sccm 범위의 비율로 NH3 가스를 유동시키는 단계,
    약 5 sccm 내지 약 500 sccm 범위의 비율로 CO 가스를 유동시키는 단계, 및
    약 5 sccm 내지 약 200 sccm 범위의 비율로 O2 가스를 유동시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  19. 제 15 항에 있어서,
    상기 제 2 가스 혼합물을 공급하는 단계는,
    상기 BARC 층의 표면 또는 측벽 상에 폴리머 보호 층을 형성하도록 상기 제 2 가스 혼합물에 의해 상기 BARC 층과 반응시키는 단계를 더 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
  20. 듀얼 다마신 구조의 BARC 층을 에칭하는 방법으로서,
    에칭 반응로 내에서 상부에 배열되는 하드 마스크 층을 갖는 BARC 층으로 충전되며 유전체 벌크 절연층 내에 형성되는 비아를 갖는 기판을 제공하는 단계와,
    상기 BARC 층의 표면을 노출시키도록 패턴화된 포토레지스트 층을 사용하여 상기 하드 마스크 층을 에칭하기 위해 불소 함유 가스를 갖는 가스 혼합물을 상기 반응로의 내측으로 공급하는 단계와,
    상기 비아 내의 BARC 층의 일부분을 에칭하도록 N2와 H2 가스를 갖는 제 1 가스 혼합물을 상기 반응로의 내측으로 공급하는 단계, 및
    상기 비아 내의 BARC 층의 나머지 부분을 예정된 깊이로 에칭하도록 NH3, CO와 O2 가스를 포함하는 제 2 가스 혼합물을 상기 반응로의 내측으로 공급하는 단계를 포함하는,
    듀얼 다마신 구조의 BARC 층을 에칭하는 방법.
KR1020087025579A 2006-03-22 2007-03-14 듀얼 다마신 공정에서 바닥 반사방지 코팅 층의 에칭 방법 KR20080109865A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/388,232 US20070224827A1 (en) 2006-03-22 2006-03-22 Methods for etching a bottom anti-reflective coating layer in dual damascene application
US11/388,232 2006-03-22

Publications (1)

Publication Number Publication Date
KR20080109865A true KR20080109865A (ko) 2008-12-17

Family

ID=38523158

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087025579A KR20080109865A (ko) 2006-03-22 2007-03-14 듀얼 다마신 공정에서 바닥 반사방지 코팅 층의 에칭 방법

Country Status (6)

Country Link
US (2) US20070224827A1 (ko)
EP (1) EP2001814A2 (ko)
JP (1) JP2009530869A (ko)
KR (1) KR20080109865A (ko)
CN (1) CN101405234A (ko)
WO (1) WO2007109464A2 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US8252696B2 (en) * 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7910477B2 (en) * 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
CN101587856B (zh) * 2008-05-20 2010-12-22 中芯国际集成电路制造(上海)有限公司 改善刻蚀工艺中围墙与刻面问题的方法
US7879727B2 (en) * 2009-01-15 2011-02-01 Infineon Technologies Ag Method of fabricating a semiconductor device including a pattern of line segments
US8334213B2 (en) * 2009-06-05 2012-12-18 Magic Technologies, Inc. Bottom electrode etching process in MRAM cell
CN102082114B (zh) * 2009-12-01 2013-03-27 中芯国际集成电路制造(上海)有限公司 双大马士革结构的形成方法
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US10551165B2 (en) * 2015-05-01 2020-02-04 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
CN107785247A (zh) * 2016-08-24 2018-03-09 中芯国际集成电路制造(上海)有限公司 金属栅极及半导体器件的制造方法
US20200312768A1 (en) * 2019-03-27 2020-10-01 Intel Corporation Controlled organic layers to enhance adhesion to organic dielectrics and process for forming such
US20230207380A1 (en) * 2021-12-23 2023-06-29 Nanya Technology Corporation Method of manufacturing semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3917062A1 (de) * 1989-05-26 1990-11-29 Hella Kg Hueck & Co Lichtblitzwarnanlage
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5950126A (en) * 1996-12-03 1999-09-07 Nokia Telecommunications Oy Network operator controlled usage of long distance carriers
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6652712B2 (en) * 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
US6774031B2 (en) * 2002-12-17 2004-08-10 Texas Instruments Incorporated Method of forming dual-damascene structure
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6705886B1 (en) * 2003-01-23 2004-03-16 Fci Americas Technology, Inc. Electrical connector having connector position assurance member
US7253115B2 (en) * 2003-02-06 2007-08-07 Applied Materials, Inc. Dual damascene etch processes
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050059234A1 (en) * 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭

Also Published As

Publication number Publication date
US20070224827A1 (en) 2007-09-27
CN101405234A (zh) 2009-04-08
WO2007109464A3 (en) 2007-12-27
US20070224825A1 (en) 2007-09-27
WO2007109464A2 (en) 2007-09-27
JP2009530869A (ja) 2009-08-27
EP2001814A2 (en) 2008-12-17

Similar Documents

Publication Publication Date Title
US7132369B2 (en) Method of forming a low-K dual damascene interconnect structure
KR20080109865A (ko) 듀얼 다마신 공정에서 바닥 반사방지 코팅 층의 에칭 방법
US7618889B2 (en) Dual damascene fabrication with low k materials
US7227244B2 (en) Integrated low k dielectrics and etch stops
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
EP1503405A2 (en) Selective etching of carbon-doped low-k dielectrics
US20060102197A1 (en) Post-etch treatment to remove residues
US7572734B2 (en) Etch depth control for dual damascene fabrication process
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
JP5232455B2 (ja) デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング
US7253115B2 (en) Dual damascene etch processes
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US7393795B2 (en) Methods for post-etch deposition of a dielectric film

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application