KR20080108040A - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
KR20080108040A
KR20080108040A KR1020080053054A KR20080053054A KR20080108040A KR 20080108040 A KR20080108040 A KR 20080108040A KR 1020080053054 A KR1020080053054 A KR 1020080053054A KR 20080053054 A KR20080053054 A KR 20080053054A KR 20080108040 A KR20080108040 A KR 20080108040A
Authority
KR
South Korea
Prior art keywords
raw material
liquid raw
material tank
gas supply
gas
Prior art date
Application number
KR1020080053054A
Other languages
Korean (ko)
Other versions
KR101015985B1 (en
Inventor
고이치 혼다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20080108040A publication Critical patent/KR20080108040A/en
Application granted granted Critical
Publication of KR101015985B1 publication Critical patent/KR101015985B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

A substrate processing apparatus is provided to uniform the thickness of film to form on the substrate by stabilizing the supply quantity of the evaporation gas of the liquid raw material to the process chamber. A substrate processing apparatus comprises the process chamber(201), the heating unit, and the exhaust unit. The process chamber processes the substrate. The heating unit heats up the substrate. The exhaust unit exhausts the mood within the process chamber. The first liquid raw material tank and the second liquid raw material tank undercurrent the liquid raw material. The first carrier gas supply line supplies the first carrier gas to the first liquid raw material tank. The first material supply line receives the supply of the first carrier gas about the first liquid raw material tank and transmits the liquid raw material of the first liquid raw material tank to the second liquid raw material tank. The second carrier gas supply line supplies the second carrier gas to the second liquid raw material tank. The flow rate control apparatus controls the flow rate of the second carrier gas circulating the second carrier gas supply line middle. The feedback device feeds back the detection result of the flow rate detector in the flow rate control apparatus.

Description

기판 처리 장치{SUBSTRATE PROCESSING APPARATUS} Substrate Processing Unit {SUBSTRATE PROCESSING APPARATUS}

본 발명은 기판 처리 장치에 관한 것으로, 특히 액체 원료의 기화 가스를 사용하여 기판을 처리하는 기판 처리 장치에 관한 것이다. TECHNICAL FIELD This invention relates to a substrate processing apparatus. Specifically, It is related with the substrate processing apparatus which processes a board | substrate using the vaporization gas of a liquid raw material.

이 종류의 기판 처리 장치의 일례로서, 액체 원료를 저류한 액체 원료 탱크(tank)에 대하여 캐리어 가스(carrier gas)를 공급하여 액체 원료의 기화 가스를 처리실에 공급하는 이른바 버블링(bubbling) 방식의 장치가 알려져 있다. 상기 장치에서는, 액체 원료의 기화 가스의 처리실에 대한 공급량을, 액체 원료 탱크에 공급하는 캐리어 가스의 공급량으로 제어하는 경우가 있고, 특히 그 캐리어 가스의 공급량을, 액체 원료 탱크에 설치한 온도센서에 의한 액체 원료의 온도의 검출결과로 제어할 때가 있다. As an example of this kind of substrate processing apparatus, a so-called bubbling method of supplying a carrier gas to a liquid raw material tank storing a liquid raw material and supplying a vaporization gas of the liquid raw material to a processing chamber. The device is known. In the said apparatus, the supply amount to the process chamber of the vaporization gas of a liquid raw material may be controlled by the supply amount of the carrier gas supplied to a liquid raw material tank, and especially the supply amount of the carrier gas to the temperature sensor provided in the liquid raw material tank. It may control by the detection result of the temperature of the liquid raw material by the same.

이 경우에 있어서, 캐리어 가스의 공급량을 제어할 수 있어도, 실제로 액체 원료의 기화 가스의 공급량을 파악할 수 없으므로, 액체 원료의 기화 가스의 공급량을 직접적으로 제어하는 데에는 이르지 않고, 액체 원료의 기화 가스의 처리실에 대한 공급량을 안정시키는 것은 곤란하다. 이 때문에, 어떠한 원인[부(副)생성물에 의한 배관의 막힘 등]으로 액체 원료의 기화 가스의 공급이 불안정한 상태가 되어도 그것을 검출할 수 없고, 이것이 원인이 되어 기화 가스가 유통하는 배관 내에서 상기 기화 가스가 재(再)액화하고, 파티클(particle)을 발생시켜, 그 배관뿐 아니라 처리실 내에 설치된 가스 공급용의 노즐(nozzle) 등에서도 막힘이 발생하는 것이 상정된다. In this case, even if the supply amount of the carrier gas can be controlled, the supply amount of the vaporized gas of the liquid raw material cannot be grasped, so that the supply amount of the vaporized gas of the liquid raw material is not directly controlled, It is difficult to stabilize the supply amount to the processing chamber. Therefore, even if the supply of the vaporized gas of the liquid raw material becomes unstable due to any cause (blockage of the pipe by the secondary product, etc.), it cannot be detected, and this causes the above-mentioned in the piping through which the vaporized gas flows. It is assumed that the vaporized gas is liquefied again, particles are generated, and clogging occurs not only in the pipe but also in a nozzle for gas supply provided in the processing chamber.

다른 한편, 액체 원료의 온도를 검출하는 온도센서(센싱 부위)는 액체 원료 탱크의 기설정된 위치에 고정적으로 설치되어 있고, 액체 원료의 사용량에 따라 액면이 변동(감소)하면, 액체 원료의 액면의 온도를 정확하게 검출할 수 없다. 이때, 캐리어 가스의 공급량을 정확하게 제어하려고 하여도 그 정밀도를 높일 수 없으므로, 액체 원료의 기화 가스의 처리실에 대한 공급량까지 안정시키는 것이 곤란해지고, 그 결과로서 기판에 형성하고자 하는 막의 막 두께의 균일화를 향상시킬 수 없다. On the other hand, the temperature sensor (sensing part) which detects the temperature of the liquid raw material is fixedly installed in the predetermined position of the liquid raw material tank, and when the liquid level fluctuates (decreases) according to the usage amount of the liquid raw material, The temperature cannot be detected accurately. At this time, even if it tries to control the supply amount of carrier gas correctly, the precision cannot be improved, and it becomes difficult to stabilize even the supply amount of the vaporization gas of a liquid raw material to the process chamber, As a result, the film thickness of the film to be formed in a board | substrate is made uniform. Can't improve

본 발명의 주요 목적은, 액체 원료의 기화 가스의 처리실에 대한 공급을 안정시킬 수 있는 기판 처리 장치를 제공하는 것에 있다. The main object of the present invention is to provide a substrate processing apparatus capable of stabilizing the supply of a vaporized gas of a liquid raw material to a processing chamber.

본 발명에 의하면, According to the invention,

기판을 처리하는 처리실과, A processing chamber for processing a substrate,

상기 기판을 가열하는 가열 유닛과, A heating unit for heating the substrate,

상기 처리실 내의 분위기를 배기하는 배기 유닛을 구비하는 기판 처리 장치에 있어서, In the substrate processing apparatus provided with the exhaust unit which exhausts the atmosphere in the said process chamber,

액체 원료를 저류하는 제 1 액체 원료 탱크와 제 2 액체 원료 탱크와, A first liquid raw material tank and a second liquid raw material tank for storing the liquid raw material,

상기 제 1 액체 원료 탱크에 제 1 캐리어 가스를 공급하는 제 1 캐리어 가스 공급 라인과, A first carrier gas supply line for supplying a first carrier gas to the first liquid raw material tank;

상기 제 1 액체 원료 탱크에 대한 상기 제 1 캐리어 가스의 공급을 받아, 상기 제 1 액체 원료 탱크의 액체 원료를 상기 제 2 액체 원료 탱크로 압송하는 제 1 원료 공급 라인과, A first raw material supply line receiving the supply of the first carrier gas to the first liquid raw material tank and forcing the liquid raw material of the first liquid raw material tank into the second liquid raw material tank;

상기 제 2 액체 원료 탱크에 제 2 캐리어 가스를 공급하는 제 2 캐리어 가스 공급 라인과, A second carrier gas supply line for supplying a second carrier gas to the second liquid raw material tank;

상기 제 2 액체 원료 탱크에 대한 상기 제 2 캐리어 가스의 공급을 받아, 상기 제 2 액체 원료 탱크의 액체 원료의 기화 가스를 상기 처리실에 공급하는 제 2 원료 공급 라인과, A second raw material supply line receiving the supply of the second carrier gas to the second liquid raw material tank and supplying a vaporization gas of the liquid raw material of the second liquid raw material tank to the processing chamber;

상기 제 2 캐리어 가스 공급 라인 중을 유통하는 상기 제 2 캐리어 가스의 유량을 제어하는 유량 제어 장치와, A flow rate control device that controls a flow rate of the second carrier gas flowing through the second carrier gas supply line;

상기 제 2 원료 공급 라인 중을 유통하는 상기 기화 가스의 유량을 검출하는 유량 검출 장치와, A flow rate detection device for detecting a flow rate of the vaporized gas flowing in the second raw material supply line;

상기 유량 검출 장치의 검출 결과를 상기 유량 제어 장치에 피드백(feedback)하는 피드백 장치를 가지고, And a feedback device for feeding back a detection result of the flow rate detection device to the flow rate control device,

상기 제 2 액체 원료 탱크는, 상기 제 1 액체 원료 탱크보다 내용적(內容積)이 작고, 상기 제 2 액체 원료 탱크에는 1회의 처리에 필요한 상기 액체 원료가 저류되는 것을 특징으로 하는 기판 처리 장치가 제공된다. The second liquid raw material tank has a smaller inner volume than the first liquid raw material tank, and the second liquid raw material tank stores the liquid raw material required for one treatment. Is provided.

본 발명에 의하면, 피드백 장치가 검출 장치의 검출 결과를 유량 제어 장치에 피드백 하기 때문에, 실제로 액체 원료의 기화 가스의 공급량을 파악 가능하고, 제 1, 제 2 액체 원료 탱크의 액체 원료의 액면의 변동에 의하지 않고, 불활성 가스의 공급량을 정확하게 제어할 수 있고, 액체 원료의 기화 가스의 처리실에 대한 공급량을 안정시킬 수 있다. 이 때문에, 액체 원료의 기화 가스가 재액화하고, 파티클을 발생시키거나, 처리실 내에 설치된 가스 공급용의 노즐 등에서 막힘이 발생하거나 하는 것을 억제할 수 있고, 기판에 형성하고자 하는 막의 막 두께의 균일화를 향상시킬 수도 있다.  According to the present invention, since the feedback device feeds back the detection result of the detection device to the flow rate control device, it is possible to actually grasp the supply amount of the vaporized gas of the liquid raw material, and fluctuations in the liquid level of the liquid raw material of the first and second liquid raw material tanks. Irrespective of this, the supply amount of the inert gas can be accurately controlled, and the supply amount of the vaporized gas of the liquid raw material to the processing chamber can be stabilized. For this reason, the vaporization gas of a liquid raw material can be liquefied again, particle | grains generate | occur | produce, or clogging | occurrence | production from the nozzle for gas supply provided in the process chamber, etc. can be suppressed, and the uniformity of the film thickness of the film | membrane to be formed in a board | substrate You can also improve.

이하, 도면을 참조하면서 본 발명의 바람직한 실시예를 상세하게 설명한다. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the drawings.

본 실시예에 관한 기판 처리 장치는, 반도체 장치 집적회로[IC(Integrated Circuits)]의 제조에 사용되는 반도체 제조장치의 일례로서 구성되어 있는 것이다. 하기의 설명에서는, 기판 처리 장치의 일례로서, 기판에 대하여 열처리 등을 행하 는 세로형의 장치를 사용한 경우에 대하여 설명한다. The substrate processing apparatus according to the present embodiment is configured as an example of a semiconductor manufacturing apparatus used for manufacturing semiconductor device integrated circuits [IC (Integrated Circuits)]. In the following description, the case where the vertical apparatus which heat-processes a board | substrate etc. is used as an example of a substrate processing apparatus is demonstrated.

도 1에 나타내는 바와 같이, 기판 처리 장치(101)에서는, 기판의 일례가 되는 웨이퍼(wafer)(200)를 수납한 카세트(cassette)(110)가 사용되어 있고, 웨이퍼(200)는 실리콘 등의 재료로 구성되어 있다. 기판 처리 장치(101)는 박스체(111)를 구비하고 있고, 박스체(111)의 내부에는 카세트 스테이지(cassette stage)(114)가 설치되어 있다. 카세트(110)는 카세트 스테이지(114) 상에 공장 내 반송 장치(도시 생략)에 의하여 반입되거나, 카세트 스테이지(114) 상으로부터 반출되도록 되어 있다. As shown in FIG. 1, in the substrate processing apparatus 101, the cassette 110 which accommodated the wafer 200 which becomes an example of a board | substrate is used, and the wafer 200 is made of silicon, etc. It is made of material. The substrate processing apparatus 101 includes a box body 111, and a cassette stage 114 is provided inside the box body 111. The cassette 110 is carried in on the cassette stage 114 by an in-factory transport apparatus (not shown), or is carried out on the cassette stage 114.

카세트 스테이지(114)는, 공장 내 반송 장치에 의하여, 카세트(110) 내의 웨이퍼(200)가 수직 자세를 유지하고 또한 카세트(110)의 웨이퍼 출입구가 윗 방향을 향하도록 탑재된다. 카세트 스테이지(114)는, 카세트(110)를 박스체(111)의 뒤쪽으로 우회전하여 세로 방향 90°회전하고, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출입구가 박스체(111)의 뒤쪽을 향하도록 동작 가능해지도록 구성되어 있다. The cassette stage 114 is mounted by the in-factory transport apparatus so that the wafer 200 in the cassette 110 maintains the vertical posture and the wafer entrance and exit of the cassette 110 faces upward. In the cassette stage 114, the cassette 110 is rotated rightward to the rear of the box body 111 and rotated 90 ° in the vertical direction, and the wafer 200 in the cassette 110 is in a horizontal position, and the wafer of the cassette 110 is rotated. The doorway is configured to be operable to face the back of the box body 111.

박스체(111) 내의 전후 방향의 대략 중앙부에는 카세트 선반(105)이 설치되어 있고, 카세트 선반(105)은 복수단 복수열로 복수개의 카세트(110)를 보관하도록 구성되어 있다. 카세트 선반(105)에는 웨이퍼 이동 탑재 기구(125)의 반송 대상이 되는 카세트(110)가 수납되는 이동 탑재 선반(123)이 설치되어 있다. The cassette shelf 105 is provided in the substantially center part of the front-back direction in the box body 111, and the cassette shelf 105 is comprised so that the several cassette 110 may be stored in multiple rows | stage. The cassette shelf 105 is provided with the movable mounting shelf 123 in which the cassette 110 to be conveyed by the wafer movement mounting mechanism 125 is accommodated.

카세트 스테이지(114)의 위쪽에는 예비 카세트 선반(107)이 설치되고, 예비적으로 카세트(110)를 보관하도록 구성되어 있다. A preliminary cassette shelf 107 is provided above the cassette stage 114, and is configured to store the cassette 110 preliminarily.

카세트 스테이지(114)와 카세트 선반(105)과의 사이에는, 카세트 반송 장치(118)가 설치되어 있다. 카세트 반송 장치(118)는, 카세트(110)를 유지한 채 승강 가능한 카세트 엘리베이터(118a)와, 반송기구로서의 카세트 반송기구(118b)로 구성되어 있다. 카세트 반송 장치(118)는 카세트 엘리베이터(118a)와 카세트 반송기구(118b)와의 연속 동작에 의하여, 카세트 스테이지(114)와 카세트 선반(105)과 예비 카세트 선반(107)과의 사이에서, 카세트(110)를 반송하도록 구성되어 있다. The cassette conveyance apparatus 118 is provided between the cassette stage 114 and the cassette shelf 105. The cassette conveyance apparatus 118 is comprised from the cassette elevator 118a which can be lifted and hold | maintained the cassette 110, and the cassette conveyance mechanism 118b as a conveyance mechanism. The cassette conveying apparatus 118 is a cassette (114) between the cassette stage 114, the cassette shelf 105, and the spare cassette shelf 107 by the continuous operation | movement of the cassette elevator 118a and the cassette conveyance mechanism 118b. And 110).

카세트 선반(105)의 뒤쪽으로는, 웨이퍼 이동 탑재 기구(125)가 설치되어 있다. 웨이퍼 이동 탑재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 내지 직동(直動) 가능한 웨이퍼 이동 탑재 장치(125a)와, 웨이퍼 이동 탑재 장치(125a)를 승강시키기 위한 웨이퍼 이동 탑재 장치 엘리베이터(125b)로 구성되어 있다. 웨이퍼 이동 탑재 장치(125a)에는 웨이퍼(200)를 픽업하기 위한 트위저(tweezer)(125c)가 설치되어 있다. 웨이퍼 이동 탑재 장치(125)는 웨이퍼 이동 탑재 장치(125a)와 웨이퍼 이동 탑재 장치 엘리베이터(125b)와의 연속 동작에 의하여 트위저(125c)를 웨이퍼(200)의 탑재부로 하여, 웨이퍼(200)를 보트(boat)(217)에 대하여 장전(charging)하거나, 보트(217)로부터 탈장(discharging) 하도록 구성되어 있다. Behind the cassette shelf 105, the wafer movement mounting mechanism 125 is provided. The wafer movement mounting mechanism 125 includes a wafer movement mounting apparatus 125a capable of rotating or linearly rotating the wafer 200 in a horizontal direction, and a wafer movement mounting apparatus elevator for elevating the wafer movement mounting apparatus 125a. It consists of 125b. The wafer movement mounting apparatus 125a is provided with a tweezer 125c for picking up the wafer 200. The wafer movement mounting apparatus 125 uses the tweezers 125c as a mounting portion of the wafer 200 by a continuous operation between the wafer movement mounting apparatus 125a and the wafer movement mounting apparatus elevator 125b, and the wafer 200 is mounted on a boat ( It is configured to charge the boat 217 or to discharging from the boat 217.

박스체(111)의 뒷부분 위쪽에는, 웨이퍼(200)를 열처리하는 처리로(202)가 설치되어 있고, 처리로(202)의 하단부가 노 입구 셔터(shutter)(147)에 의하여 개폐되도록 구성되어 있다. Above the rear part of the box body 111, a processing furnace 202 is provided to heat-treat the wafer 200, and the lower end of the processing furnace 202 is configured to be opened and closed by a furnace inlet shutter 147. have.

처리로(202)의 아래쪽에는 처리로(202)에 대하여 보트(217)를 승강시키는 보트 엘리베이터(115)가 설치되어 있다. 보트 엘리베이터(115)의 승강대에는 암(128) 이 연결되어 있고, 암(arm)(128)에는 시일 캡(219)이 수평으로 설치되어 있다. 시일 캡(seal cap)(219)은 보트(217)를 수직으로 지지함과 동시에, 처리로(202)의 하단부를 폐쇄 가능하도록 구성되어 있다. Below the process furnace 202, the boat elevator 115 which raises and lowers the boat 217 with respect to the process furnace 202 is provided. An arm 128 is connected to the platform of the boat elevator 115, and a seal cap 219 is horizontally provided on the arm 128. The seal cap 219 is configured to support the boat 217 vertically and to close the lower end of the processing furnace 202.

보트(217)는 복수의 유지 부재를 구비하고 있고, 복수매(예를 들면50 내지 150매 정도)의 웨이퍼(200)를 그 중심을 맞추어 수직 방향으로 정렬시킨 상태에서, 각각 수평으로 유지하도록 구성되어 있다. The boat 217 is provided with a plurality of holding members, and configured to hold each of the plurality of wafers 200 (for example, about 50 to 150 sheets) horizontally while being aligned in a vertical direction with its center aligned. It is.

카세트 선반(105)의 위쪽에는, 청정화한 분위기인 클린 에어(clean air)를 공급하는 클린 유닛(134a)이 설치되어 있다. 클린 유닛(134a)은 공급 팬 및 방진 필터로 구성되어 있고, 클린 에어를 박스체(111)의 내부에 유통시키도록 구성되어 있다. Above the cassette shelf 105, the clean unit 134a which supplies clean air which is a clean atmosphere is provided. The clean unit 134a is comprised from a supply fan and a dustproof filter, and is comprised so that clean air may flow in the inside of the box body 111. As shown in FIG.

박스체(111)의 좌측 단부에는, 클린 에어를 공급하는 클린 유닛(134b)이 설치되어 있다. 클린 유닛(134b)도 공급 팬 및 방진 필터로 구성되어 있고, 클린 에어를 웨이퍼 이동 탑재 장치(125a)나 보트(217) 등의 근방을 유통시키도록 구성되어 있다. 상기 클린 에어는, 웨이퍼 이동 탑재 장치(125a)나 보트(217) 등의 근방을 유통한 후에, 박스체(111)의 외부로 배기되도록 되어 있다. The clean end 134b which supplies clean air is provided in the left end part of the box body 111. As shown in FIG. The clean unit 134b is also composed of a supply fan and a dustproof filter, and is configured to allow clean air to flow around the wafer transfer device 125a, the boat 217 and the like. The clean air is exhausted to the outside of the box body 111 after passing through the vicinity of the wafer movement mounting apparatus 125a, the boat 217 and the like.

다음에, 기판 처리 장치(101)의 주요 동작에 대하여 설명한다. Next, the main operation of the substrate processing apparatus 101 will be described.

공장 내 반송 장치(도시 생략)에 의하여 카세트(110)가 카세트 스테이지(114) 상으로 반입되면, 카세트(110)는, 웨이퍼(200)가 카세트 스테이지(114)의 위에서 수직 자세를 유지하고, 카세트(110)의 웨이퍼 출입구가 윗 방향을 향하도록 탑재된다. 그 후, 카세트(110)는, 카세트 스테이지(114)에 의하여, 카세트(110) 내 의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출입구가 박스체(111)의 뒤쪽을 향하도록, 박스체(111)의 뒤쪽으로 우회전하여 세로 방향 90°회전시켜진다. When the cassette 110 is loaded onto the cassette stage 114 by an in-factory transport device (not shown), the cassette 110 is configured such that the wafer 110 maintains a vertical posture on the cassette stage 114. The wafer entrance and exit of 110 is mounted to face upward. After that, in the cassette 110, the cassette stage 114 causes the wafer 200 in the cassette 110 to be in a horizontal position, and the wafer entrance and exit of the cassette 110 faces the back of the box body 111. It rotates rightward to the back of the box body 111, and it rotates 90 degrees longitudinally.

그 후, 카세트(110)는, 카세트 선반(105) 내지 예비 카세트 선반(107)의 지정된 선반 위치로 카세트 반송 장치(118)에 의하여 자동적으로 반송되어 주고 받고, 일시적으로 보관된 후, 카세트 선반(105) 내지 예비 카세트 선반(107)으로부터 카세트 반송 장치(118)에 의하여 이동 탑재 선반(123)으로 이동 탑재되거나, 또는 직접이동 탑재 선반(123)으로 반송된다. After that, the cassette 110 is automatically conveyed by the cassette conveying apparatus 118 to the designated shelf position of the cassette shelf 105 to the spare cassette shelf 107, and is temporarily stored, and then temporarily stored therein. From 105 to the spare cassette shelf 107, it is carried by the cassette conveying apparatus 118 to the movement mounting shelf 123, or is conveyed to the direct movement mounting shelf 123. As shown in FIG.

카세트(110)가 이동 탑재 선반(123)으로 이동 탑재되면, 웨이퍼(200)는 카세트(110)로부터 웨이퍼 이동 탑재 장치(125a)의 트위저(125c)에 의하여 웨이퍼 출입구를 통하여 픽업되고, 보트(217)에 장전(챠징)된다. 보트(217)에 웨이퍼(200)를 주고 받은 웨이퍼 이동 탑재 장치(125a)는 카세트(110)로 되돌아가고, 후속의 웨이퍼(110)를 보트(217)에 장전한다. When the cassette 110 is moved to the movable mounting shelf 123, the wafer 200 is picked up from the cassette 110 by the tweezers 125c of the wafer movement mounting apparatus 125a through the wafer entrance and the boat 217. Is charged). The wafer movement mounting apparatus 125a which has exchanged the wafer 200 to the boat 217 returns to the cassette 110 and loads the subsequent wafer 110 into the boat 217.

미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 처리로(202)의 하단부를 폐쇄하고 있던 노 입구 셔터가 개방되고, 처리로(202)의 하단부가 개방된다. 그 후, 웨이퍼(200)군(群)을 유지한 보트(217)가 보트 엘리베이터(115)의 상승 동작에 의하여 처리로(202) 내로 반입(로딩)되고, 처리로(202)의 하부가 시일 캡(219)에 의하여 폐쇄된다. When the predetermined number of wafers 200 are loaded in the boat 217, the furnace entrance shutter that closed the lower end of the processing furnace 202 is opened, and the lower end of the processing furnace 202 is opened. Thereafter, the boat 217 holding the wafer 200 group is carried in (loaded) into the processing furnace 202 by the lifting operation of the boat elevator 115, and the lower portion of the processing furnace 202 is sealed. Closed by a cap 219.

로딩 후는, 처리로(202)에서 웨이퍼(200)에 대하여 임의의 열처리가 실시된다. 그 열처리 후는, 상기의 반대의 순서로, 웨이퍼(200) 및 카세트(110)가 박스 체(111)의 외부로 반출된다. After loading, an arbitrary heat treatment is performed on the wafer 200 in the processing furnace 202. After the heat treatment, the wafer 200 and the cassette 110 are carried out of the box body 111 in the reverse order described above.

도 2에 나타내는 바와 같이, 처리로(202)에는 가열장치인 히터(207)가 설치되어 있다. 히터(207)의 안쪽에는, 기판인 웨이퍼(200)를 처리하는 반응용기로서 반응관(203)이 설치되어 있다. 반응관(203)의 하단에는, O링(O-ring)(220)을 거쳐 예를 들면 스테인리스(stainless steel) 등으로 이루어지는 매니폴드(manifold)(209)[고리형상의 플랜지(flange)]가 걸어 맞추어져 있다. 매니폴드(209)는 유지부재로서의 히터 베이스(heater base)(251)에 고정되어 있다. 매니폴드(209)의 하단 개구(開口)는, O링(220)를 거쳐 덮개체인 시일 캡(219)에 의하여 기밀하게 폐쇄되어 있다. 본 실시예에서는, 적어도, 히터(207), 반응관(203), 매니폴드(209) 및 시일 캡(219)에 의하여 처리로(202)가 형성되어 있다. 또한 본 실시예에서는, 적어도, 반응관(203), 매니폴드(209) 및 시일 캡(219)에 의하여 처리실(201)이 형성되어 있다. As shown in FIG. 2, the processing furnace 202 is provided with a heater 207 that is a heating device. Inside the heater 207, a reaction tube 203 is provided as a reaction vessel for processing the wafer 200 as a substrate. At the lower end of the reaction tube 203, a manifold 209 (ring flange) made of, for example, stainless steel or the like is provided via an O-ring 220. I'm hooked. The manifold 209 is fixed to the heater base 251 as a holding member. The lower end opening of the manifold 209 is hermetically closed by the seal cap 219 which is a cover body via the O-ring 220. In the present embodiment, the processing furnace 202 is formed of at least the heater 207, the reaction tube 203, the manifold 209, and the seal cap 219. In the present embodiment, at least, the processing chamber 201 is formed of the reaction tube 203, the manifold 209, and the seal cap 219.

시일 캡(219)에는 보트 지지대(218)를 거쳐 보트(217)가 세워져 설치되어 있고, 보트 지지대(218)는 보트(217)를 유지하는 유지체로 되어 있다. 보트(217)는 처리실(201)에 삽입되어 있다. 보트(217)에는 배치(batch) 처리되는 복수매의 웨이퍼(200)가 수평 자세를 유지한 상태에서 도 2 에서 상하 방향으로 다단으로 적재되어 있다. 히터(207)는 처리실(201)에 삽입된 웨이퍼(200)를 기설정된 온도로 가열하도록 되어 있다. The boat cap 219 is provided in the seal cap 219 via the boat support 218, and the boat support 218 is a holding body which holds the boat 217. As shown in FIG. The boat 217 is inserted into the processing chamber 201. In the boat 217, a plurality of wafers 200 to be batch processed are stacked in multiple stages in the vertical direction in FIG. 2 while maintaining a horizontal posture. The heater 207 heats the wafer 200 inserted into the process chamber 201 to a predetermined temperature.

처리실(201)에 대해서는 복수 종류(본 실시예에서는 3 종류)의 원료 가스를 공급하는 3개의 원료 가스 공급관(232a, 232b, 232e)이 설치되어 있다. 원료 가스 공급관(232a, 232b, 232e)은, 매니폴드(209)의 하부를 관통하여 설치되어 있다. 원료 가스 공급관(232a)과 원료 가스 공급관(232b)은 처리실(201) 내에서 하나의 다공 노즐(233a)에 합류, 연통하고 있다. 두개의 원료 가스 공급관(232a, 232b)과 다공 노즐(233a)로 뒤에서 설명하는 합류 타입 가스 공급 노즐(233)을 형성하고 있다. In the processing chamber 201, three source gas supply pipes 232a, 232b, and 232e for supplying a plurality of types of source gases (three types in this embodiment) are provided. The source gas supply pipes 232a, 232b, and 232e are provided through the lower part of the manifold 209. The source gas supply pipe 232a and the source gas supply pipe 232b are joined to and communicate with one porous nozzle 233a in the processing chamber 201. Two source gas supply pipes 232a and 232b and a porous nozzle 233a form a confluence type gas supply nozzle 233 described later.

원료 가스 공급관(232e)은 단독으로 다른 다공 노즐(234a)에 연통하고 있다. 하나의 원료 가스 공급관(232e)과 다공 노즐(234a)로 뒤에서 설명하는 분리 타입 가스 공급 노즐(234)을 형성하고 있다. 처리실(201) 내에는, 합류 타입 가스 공급 노즐(233)과, 분리 타입 가스 공급 노즐(234)의 2개의 가스 공급 노즐이 설치되어 있다. The source gas supply pipe 232e independently communicates with another porous nozzle 234a. The separation type gas supply nozzle 234 described later is formed by one source gas supply pipe 232e and the porous nozzle 234a. In the processing chamber 201, two gas supply nozzles, a joining type gas supply nozzle 233 and a separation type gas supply nozzle 234, are provided.

합류 타입 가스 공급 노즐(233)은, 처리실(201) 내에서 원료 가스 공급관(232b)에서 공급되는 TMA의 분해 온도 이상의 영역으로 그 상부가 연장되어 있다. 그러나, 원료 가스 공급관(232b)이, 처리실(201) 내에서 원료 가스 공급관(232a)과 합류하고 있는 부분은, TMA의 분해 온도 미만의 영역이고, 웨이퍼(200) 및 웨이퍼(200) 부근의 온도보다도 낮은 온도의 영역이다. The upper portion of the confluence type gas supply nozzle 233 extends to a region equal to or higher than the decomposition temperature of the TMA supplied from the source gas supply pipe 232b in the processing chamber 201. However, the portion where the source gas supply pipe 232b joins the source gas supply pipe 232a in the processing chamber 201 is an area below the decomposition temperature of the TMA, and the temperature near the wafer 200 and the wafer 200. It is an area of lower temperature.

원료 가스 공급관(232a)에는, 유량 제어수단인 매스 플로우 컨트롤러(mass flow controller)(241a) 및 개폐 밸브인 밸브(243a)가 설치되어 있다. 본 실시예에서는, 매스 플로우 컨트롤러(241a) 및 밸브(243a)를 거쳐, 원료 가스 공급관(232a)에서 합류 타입 가스 공급 노즐(233)을 통하여 처리실(201)에 원료 가스(O3)가 공급 된다. 원료 가스 공급관(232a)의 밸브(243a)보다 하류측에는 불활성 가스 공급관(232d)이 접속되어 있고, 불활성 가스 공급관(232d)에는 밸브(254)가 설치되어 있다. The source gas supply pipe 232a is provided with a mass flow controller 241a which is a flow control means and a valve 243a which is an opening / closing valve. In the present embodiment, the source gas O 3 is supplied to the process chamber 201 through the confluence type gas supply nozzle 233 from the source gas supply pipe 232a via the mass flow controller 241a and the valve 243a. . An inert gas supply pipe 232d is connected downstream from the valve 243a of the source gas supply pipe 232a, and a valve 254 is provided in the inert gas supply pipe 232d.

원료 가스 공급관(232b)에는, 원료 가스의 공급원이 되는 원료 가스 공급원(300)이 접속되어 있다. 본 실시예에서는, 원료 가스 공급원(300)으로부터 합류 타입 가스 공급 노즐(233)을 통하여 처리실(201)에 원료 가스(TMA)가 공급된다. 원료 가스 공급관(232b)에는, 원료 가스 공급원(300)[의 매스 플로우 컨트롤러(344)]으로부터 매니폴드(209)에 이르기까지 히터(281)가 설치되어 있고, 원료 가스 공급관(232b)을 50 내지 60℃로 유지하고 있다. 본 실시예에서는, 히터(281)로서 유리섬유(glass cloth)에 히터선을 조립한 공지(公知)의 리본 히터(ribbon heater)를 사용하고 있고, 그 리본 히터를 원료 가스 공급관(232b)에 감고 있다. 원료 가스 공급관(232b)에는 불활성 가스 공급관(232c)이 접속되어 있고, 불활성 가스 공급관(232c)에는 밸브(253)가 설치되어 있다. The source gas supply pipe 232b is connected to a source gas supply source 300 serving as a source of source gas. In this embodiment, the source gas TMA is supplied from the source gas supply source 300 to the process chamber 201 through the confluence type gas supply nozzle 233. In the source gas supply pipe 232b, a heater 281 is provided from the source gas supply source 300 (mass flow controller 344 of the source gas) to the manifold 209, and the source gas supply pipe 232b is connected to 50 to 50. It is kept at 60 degreeC. In this embodiment, a known ribbon heater in which a heater wire is assembled to glass cloth is used as the heater 281, and the ribbon heater is wound around the raw material gas supply pipe 232b. have. An inert gas supply pipe 232c is connected to the source gas supply pipe 232b, and a valve 253 is provided in the inert gas supply pipe 232c.

원료 가스 공급관(232e)에는, 원료 가스의 공급원이 되는 원료 가스 공급원(500)이 접속되어 있다. 본 실시예에서는, 원료 가스 공급원(500)으로부터 분리 타입 가스 공급 노즐(234)을 통하여 처리실(201)에 원료 가스(TEMAH)가 공급된다. 원료 가스 공급관(232e)에는 원료 가스 공급원(500)[의 매스 플로우 컨트롤러(544)]으로부터 매니폴드(209)에 이르기까지 히터(282)가 설치되어 있고, 원료 가스 공급관(232e)를 130℃로 유지하고 있다. 본 실시예에서는, 히터(282)로서 히터(281)와 동일하게 리본 히터를 사용하고 있고, 그 리본 히터(282)를 원료 가스 공급관(232e)에 감고 있다. 원료 가스 공급관(232e)에는 불활성 가스 공급관(232f)이 접속되어 있고, 불활성 가스 공급관(232f)에는 밸브(257)가 설치되어 있다. The source gas supply pipe 232e is connected to a source gas supply source 500 serving as a source of source gas. In this embodiment, the source gas TEMAH is supplied from the source gas supply source 500 to the processing chamber 201 through the separation type gas supply nozzle 234. The source gas supply pipe 232e is provided with a heater 282 from the source gas supply source 500 (mass flow controller 544) to the manifold 209, and the source gas supply pipe 232e is set to 130 ° C. Keeping up. In this embodiment, a ribbon heater is used as the heater 282 similarly to the heater 281, and the ribbon heater 282 is wound around the source gas supply pipe 232e. An inert gas supply pipe 232f is connected to the source gas supply pipe 232e, and a valve 257 is provided in the inert gas supply pipe 232f.

도 3에 나타내는 바와 같이, 원료 가스 공급원(300)에는, 캐리어 가스로서의 불활성 가스의 공급원이 되는 불활성 가스 공급원(310)과, 액체 원료를 저류하는 액체 원료 탱크(320)와, 액체 원료 탱크(320)에 액체 원료를 공급하는 액체 원료 공급 장치(330)와, 액체 원료 탱크(320)로부터 액체 원료의 공급을 받아 상기 액체 원료를 저류하는 액체 원료 탱크(340)가 설치되어 있다. As shown in FIG. 3, the source gas supply source 300 includes an inert gas supply source 310 serving as a source of inert gas as a carrier gas, a liquid raw material tank 320 storing liquid raw materials, and a liquid raw material tank 320. The liquid raw material supply apparatus 330 which supplies a liquid raw material to the (), and the liquid raw material tank 340 which receives the liquid raw material from the liquid raw material tank 320 and stores the said liquid raw material are provided.

불활성 가스 공급원(310)에는 불활성 가스 공급관(312)의 한쪽 끝부가 접속되어 있고, 불활성 가스 공급관(312)의 다른쪽 끝부가 액체 원료 탱크(320)에 접속되어 있다. 불활성 가스 공급관(312)의 다른쪽 끝부는 액체 원료 탱크(320)의 액체 원료에 침지(浸漬)하고 있다. 불활성 가스 공급관(312)에는, 불활성 가스의 유량을 제어하는 매스 플로우 컨트롤러(314), 밸브(316) 및 핸드밸브(318)가 설치되어 있다.One end of the inert gas supply pipe 312 is connected to the inert gas supply source 310, and the other end of the inert gas supply pipe 312 is connected to the liquid raw material tank 320. The other end of the inert gas supply pipe 312 is immersed in the liquid raw material of the liquid raw material tank 320. The inert gas supply pipe 312 is provided with a mass flow controller 314, a valve 316, and a hand valve 318 for controlling the flow rate of the inert gas.

액체 원료 탱크(320)에는 액체 원료 공급관(322)의 한쪽 끝부가 접속되어 있고, 액체 원료 공급관(322)의 다른쪽 끝부는 액체 원료 탱크(340)에 접속되어 있다. 액체 원료 공급관(322)의 한쪽 끝부는 액체 원료 탱크(320)의 액체 원료에 침지하고 있고, 액체 원료 공급관(322)의 다른쪽 끝부도 액체 원료 탱크(340)의 액체 원료에 침지하고 있다. 액체 원료 공급관(322)에는 핸드밸브(324) 및 밸브(326)가 설치되어 있다. One end of the liquid raw material supply pipe 322 is connected to the liquid raw material tank 320, and the other end of the liquid raw material supply pipe 322 is connected to the liquid raw material tank 340. One end of the liquid raw material supply pipe 322 is immersed in the liquid raw material of the liquid raw material tank 320, and the other end of the liquid raw material supply pipe 322 is also immersed in the liquid raw material of the liquid raw material tank 340. The liquid raw material supply pipe 322 is provided with a hand valve 324 and a valve 326.

불활성 가스 공급관(312)과 액체 원료 공급관(322)과의 사이에는 이들을 연 결하는 2개의 바이패스관(400, 410)이 설치되어 있다. 바이패스관(400)은 한쪽 끝부가 불활성 가스 공급관(312)의 매스 플로우 컨트롤러(314)와 밸브(316)와의 사이에 접속되어 있고, 다른쪽 끝부가 액체 원료 공급관(322)의 핸드밸브(324)와 밸브(326)와의 사이에 접속되어 있다. 바이패스관(400)에는 밸브(402)가 설치되어 있다. 바이패스관(410)은 한쪽 끝부가 불활성 가스 공급관(312)의 밸브(316)와 핸드밸브(318)와의 사이에 접속되어 있고, 다른쪽 끝부가 액체 원료 공급관(322)의 핸드밸브(324)와 밸브(326)와의 사이에 접속되어 있다. 바이패스관(410)에는 밸브(412)가 설치되어 있다. Two bypass pipes 400 and 410 are connected between the inert gas supply pipe 312 and the liquid raw material supply pipe 322. One end of the bypass pipe 400 is connected between the mass flow controller 314 of the inert gas supply pipe 312 and the valve 316, and the other end of the bypass pipe 400 is a hand valve 324 of the liquid raw material supply pipe 322. ) And the valve 326 are connected. The bypass pipe 400 is provided with a valve 402. One end of the bypass pipe 410 is connected between the valve 316 of the inert gas supply pipe 312 and the hand valve 318, and the other end of the bypass pipe 410 has a hand valve 324 of the liquid raw material supply pipe 322. And the valve 326 are connected. The bypass pipe 410 is provided with a valve 412.

액체 원료 공급 장치(330)에는 액체 원료 공급관(331)의 한쪽 끝부가 접속되어 있고, 액체 원료 공급관(331)의 다른쪽 끝부가 액체 원료 탱크(320)에 접속되어 있다. 액체 원료 공급관(331)에는 핸드밸브(332) 및 밸브(333, 334)가 설치되어 있다. 액체 원료 공급관(331)의 밸브(333)와 밸브(334)와의 사이에는 불활성 가스 공급관(335)이 접속되어 있다. 불활성 가스 공급관(335)에는 핸드밸브(336) 및 밸브(337)가 설치되어 있다. One end of the liquid raw material supply pipe 331 is connected to the liquid raw material supply device 330, and the other end of the liquid raw material supply pipe 331 is connected to the liquid raw material tank 320. The liquid raw material supply pipe 331 is provided with a hand valve 332 and valves 333 and 334. An inert gas supply pipe 335 is connected between the valve 333 and the valve 334 of the liquid raw material supply pipe 331. The inert gas supply pipe 335 is provided with a hand valve 336 and a valve 337.

액체 원료 탱크(320)에는 액체 원료의 잔량을 감시하는 잔량 감시센서(338)가 설치되어 있다. 원료 가스 공급원(300)에서는, 잔량 감시센서(338)의 검출 결과에 의거하여 액체 원료 공급 장치(330)로부터 액체 원료 탱크(320)에 액체 원료가 자동적으로 공급되도록 되어 있고, 액체 원료 탱크(320)에는 항상 일정량의 액체 원료가 저류되도록 되어 있다. The liquid raw material tank 320 is provided with a residual amount monitoring sensor 338 for monitoring the residual amount of the liquid raw material. In the source gas supply source 300, the liquid raw material is automatically supplied from the liquid raw material supply device 330 to the liquid raw material tank 320 based on the detection result of the remaining amount monitoring sensor 338, and the liquid raw material tank 320 is provided. ) Always stores a certain amount of liquid raw material.

액체 원료 탱크(340)는 액체 원료 탱크(320)보다 내용적이 작고, 액체 원료 의 저류량이 액체 원료 탱크(320)보다 적어져 있다. 자세하게는 액체 원료 탱크(340)에는 1회의 배치 처리에 필요한 액체 원료가 저류되도록 되어 있다. The liquid raw material tank 340 has a smaller internal volume than the liquid raw material tank 320, and the amount of storage of the liquid raw material is smaller than that of the liquid raw material tank 320. In detail, the liquid raw material tank 340 stores the liquid raw material required for one batch process.

액체 원료 탱크(340)에는 원료 가스 공급관(232b)의 한쪽 끝부가 접속되어 있고, 원료 가스 공급관(232b)의 다른쪽 끝부는 다공 노즐(233a)에 접속되어 있다. 원료 가스 공급관(232b)의 한쪽 끝부는 액체 원료 탱크(340)의 상부 공간에 연통하고 있다(액체 원료에는 침지하고 있지 않다). 원료 가스 공급관(232b)에는 매스 플로우 컨트롤러(344) 및 밸브(346)가 설치되어 있다. 매스 플로우 컨트롤러(344)는, 고온 내성의 유량센서나 피에조밸브(piezo valve) 등을 가지는 가열할 수 있는 매스 플로우 미터이고, 원료 가스 공급관(232b)을 유통하는 액체 원료의 기화 가스 유량의 검출이나 제어, 그 기화 가스의 가열 등을 할 수 있도록 되어 있다. One end of the source gas supply pipe 232b is connected to the liquid raw material tank 340, and the other end of the source gas supply pipe 232b is connected to the porous nozzle 233a. One end of the raw material gas supply pipe 232b communicates with the upper space of the liquid raw material tank 340 (not immersed in the liquid raw material). The mass flow controller 344 and the valve 346 are provided in the source gas supply pipe 232b. The mass flow controller 344 is a heatable mass flow meter having a high temperature resistant flow sensor, a piezo valve, or the like. The mass flow controller 344 detects the vaporization gas flow rate of the liquid raw material flowing through the source gas supply pipe 232b. It is possible to control, heat the vaporized gas, and the like.

원료 가스 공급관(232b)의 매스 플로우 컨트롤러(344)와 밸브(346)와의 사이에는 원료 가스 배기관(350)이 접속되어 있다. 원료 가스 배기관(350)에는 밸브(352, 354)가 설치되어 있다. The source gas exhaust pipe 350 is connected between the mass flow controller 344 of the source gas supply pipe 232b and the valve 346. Valves 352 and 354 are provided in the source gas exhaust pipe 350.

다른 한편, 원료 가스 공급원(500)에서도 원료 가스 공급원(300)과 동일한 구성을 가지고 있고, 본 실시예에서는, 도 3 중에서 그것들 각 부재에 대하여 괄호에 쓴 부호를 붙이고 그 설명을 생략한다. On the other hand, the source gas supply source 500 has the same structure as the source gas supply source 300, and in this embodiment, the code | symbol written in parentheses is attached | subjected about each of those members in FIG. 3, and the description is abbreviate | omitted.

또한, 이상의 원료 가스 공급원(300, 500)에서, 원료 가스 공급원(300)에서는 액체 원료의 일례로서 TMA[Al(CH3)3, 트리메틸 알루미늄]를 사용하고 있고, 원료 가스 공급원(500)에서는, 액체 원료의 일례로서 TEMAH[Hf(NCH3C2H5)4, 테트라키스(N- 에틸-N-메틸 아미노) 하프늄]를 사용하고 있다. TMA, TEMAH는 모두 상온에서 액체이다. In the above source gas supply sources 300 and 500, the source gas supply source 300 uses TMA [Al (CH 3 ) 3 , trimethyl aluminum] as an example of a liquid raw material. In the source gas supply source 500, As an example of a liquid raw material, TEMAH [Hf (NCH 3 C 2 H 5 ) 4 , tetrakis (N-ethyl-N-methyl amino) hafnium] is used. TMA and TEMAH are both liquid at room temperature.

도 2에 나타내는 바와 같이, 처리실(201)에는 가스를 배기하는 가스 배기관(231)이 접속되어 있다. 가스 배기관(231)에는 밸브(243d)가 설치되어 있다. 가스 배기관(231)은 밸브(243d)를 거쳐 배기장치인 진공펌프(246)에 접속되어 있고, 진공펌프(246)의 작동에 의하여 처리실(201)의 내부 분위기가 진공 배기되도록 되어 있다. 밸브(243d)는 밸브를 개폐하여 처리실(201)의 진공 배기/진공 배기 정지를 할 수 있고, 또한 밸브 개도를 조절하여 압력 조정할 수 있게 되어 있는 개폐 밸브이다. As shown in FIG. 2, the gas exhaust pipe 231 for exhausting gas is connected to the processing chamber 201. The valve 243d is provided in the gas exhaust pipe 231. The gas exhaust pipe 231 is connected to the vacuum pump 246 which is an exhaust device via the valve 243d, and the internal atmosphere of the process chamber 201 is evacuated by the operation of the vacuum pump 246. The valve 243d is an open / close valve that can open and close the valve to stop vacuum evacuation / vacuum exhaust of the processing chamber 201, and adjust the pressure by adjusting the valve opening degree.

합류 타입 가스 공급 노즐(233)과 분리 타입 가스 공급 노즐(234)이, 처리실(201)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적재 방향을 따라 설치되어 있다. 합류 타입 가스 공급 노즐(233)은 상기와 같이 처리실(201)의 하부에서 원료 가스 공급관(232a, 232b)이 합류하여, 하나의 다공 노즐(233a)에 연통하고 있는 노즐이다. The joining type gas supply nozzle 233 and the separation type gas supply nozzle 234 are provided along the loading direction of the wafer 200 from the lower part to the upper part of the process chamber 201. The joining type gas supply nozzle 233 is a nozzle which the source gas supply pipes 232a and 232b join in the lower part of the process chamber 201, and communicate with one porous nozzle 233a as mentioned above.

분리 타입 가스 공급 노즐(234)은 처리실(201)의 하부에서 원료 가스 공급관(232e)이 하나의 다공 노즐(234a)에 연통하고 있는 독립된 노즐이다. 합류 타입 가스 공급 노즐(233)의 다공 노즐(233a)에는 복수의 가스를 공급하는 가스 공급 구멍이 설치되어 있고, 분리 타입 가스 공급 노즐(234)의 다공 노즐(234a)에도 동일하게 가스를 공급하는 가스 공급 구멍이 설치되어 있다. The separation type gas supply nozzle 234 is an independent nozzle in which the source gas supply pipe 232e communicates with one porous nozzle 234a at the lower part of the processing chamber 201. The porous nozzle 233a of the confluence type gas supply nozzle 233 is provided with a gas supply hole for supplying a plurality of gases, and the gas is also supplied to the porous nozzle 234a of the separation type gas supply nozzle 234 in the same manner. Gas supply holes are provided.

반응관(203) 내의 중앙부에는 복수매의 웨이퍼(200)를 다단으로 동일 간격으 로 탑재하는 보트(217)가 설치되어 있다. 보트(217)는 보트 엘리베이터(115) (도 1 참조)에 의하여 반응관(203)에 출입할 수 있도록 되어 있다. 또 보트 지지대(218)의 하부에는, 처리의 균일성을 향상시키기 위하여 보트(217)를 회전하기 위한 보트 회전 기구(267)가 설치되어 있다. 본 실시예에서는, 보트 회전 기구(267)를 회전시킴으로써, 보트 지지대(218)에 유지된 보트(217)를 회전시킬 수 있게 되어 있다.In the center portion of the reaction tube 203, a boat 217 for mounting a plurality of wafers 200 in multiple stages at equal intervals is provided. The boat 217 can enter and exit the reaction tube 203 by the boat elevator 115 (refer FIG. 1). Moreover, the boat rotation mechanism 267 for rotating the boat 217 is provided in the lower part of the boat support 218 to improve the uniformity of a process. In this embodiment, by rotating the boat rotating mechanism 267, the boat 217 held by the boat support 218 can be rotated.

제어부(제어수단)인 컨트롤러(280)는, 매스 플로우 컨트롤러(241a), 밸브(243a), 밸브(253, 254, 257), 밸브(243d), 히터(207), 진공펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115), 히터(281, 282) 등에 접속되어 있다. 본 실시예에서는, 컨트롤러(280)에 의하여 매스 플로우 컨트롤러(241a)의 유량 조정, 밸브(243a), 밸브(253, 254, 257)의 개폐 동작, 밸브(243d)의 개폐 및 압력 조정 동작, 히터(207)의 온도 조절, 진공펌프(246)의 기동/정지, 보트 회전 기구(267)의 회전 속도 조절, 보트 엘리베이터(115)의 승강 동작, 히터(281, 282)의 온도 조절 등의 제어가 행하여진다. The controller 280 that is a control unit (control means) includes a mass flow controller 241a, a valve 243a, valves 253, 254, and 257, a valve 243d, a heater 207, a vacuum pump 246, a boat. It is connected to the rotating mechanism 267, the boat elevator 115, the heaters 281 and 282. In this embodiment, the controller 280 controls the flow rate of the mass flow controller 241a, the opening and closing operations of the valves 243a, the valves 253, 254, and 257, the opening and closing and pressure adjustment operations of the valve 243d, and the heater. Control of temperature of 207, start / stop of the vacuum pump 246, control of the rotation speed of the boat rotating mechanism 267, lift operation of the boat elevator 115, control of the temperature of the heaters 281 and 282, etc. Is done.

또한 컨트롤러(280)는 원료 가스 공급원(300)에도 접속되어 있다. 자세하게는 컨트롤러(280)는, 도 4에 나타내는 바와 같이 매스 플로우 컨트롤러(314), 밸브(316, 326, 333, 334, 337, 346, 352, 354, 402, 412), 액체 원료 공급 장치(330), 잔량 감시센서(338), 매스 플로우 컨트롤러(344)에 접속되어 있다. 본 실시예에서는, 컨트롤러(280)에 의하여 매스 플로우 컨트롤러(314)의 유량 조정, 밸브(316, 326, 333, 334, 337, 346, 352, 354, 402, 412)의 개폐 동작, 잔량 감시센서(338)의 검출 결과를 받은 액체 원료 공급 장치(330)의 작동/정지, 매스 플로우 컨트롤러(344)의 유량 조정의 제어가 행하여진다. 또, 컨트롤러(280)는 원료 가스 공급원(500)의 각 부재에도 접속되어 있고, 원료 가스 공급원(500)의 각 부재의 제어도 원료 가스 공급원(300)에 대한 제어와 동일하게 행하여진다. The controller 280 is also connected to the source gas supply source 300. In detail, as shown in FIG. 4, the controller 280 includes a mass flow controller 314, valves 316, 326, 333, 334, 337, 346, 352, 354, 402, and 412, and a liquid raw material supply device 330. ), The remaining amount monitoring sensor 338 and the mass flow controller 344. In this embodiment, the controller 280 adjusts the flow rate of the mass flow controller 314, the opening and closing operation of the valves 316, 326, 333, 334, 337, 346, 352, 354, 402, and 412, and the remaining amount monitoring sensor. The operation / stop of the liquid raw material supply device 330 which received the detection result of 338, and control of the flow volume adjustment of the mass flow controller 344 are performed. Moreover, the controller 280 is also connected to each member of the source gas supply source 500, and control of each member of the source gas supply source 500 is performed similarly to the control with respect to the source gas supply source 300. As shown in FIG.

또, 컨트롤러(280)는, 매스 플로우 컨트롤러(344, 544)에 의한 액체 원료의 기화 가스의 공급량을 모니터하여, 그 검출 결과를 피드백한다. 구체적으로는, 도 6에서, 컨트롤러(280)는, 매스 플로우 컨트롤러(344, 544)의 설정 유량(SV)을 유량 제어 유닛(900)에 입력한다. 다음에, 유량 제어 유닛(900)이, 매스 플로우 컨트롤러(344, 544)에 대한 설정 출력(SFR)을 매스 플로우 컨트롤러(344, 544)에 전달한다. 매스 플로우 컨트롤러(344, 544)의 실제 유량(PFR)의 변화량(PV)은, 매스 플로우 미터(901)의 유량에 대한 매스 플로우 컨트롤러(344, 544)의 유량의 응답특성(G1)에 의거하여, 매스 플로우 미터(901)로 측정된다. 그리고, 매스 플로우 컨트롤러(344, 544)의 실제 유량(PFR)의 변화량(PV)의 피드백에 의하여 유량 제어 유닛(900)이 매스 플로우 컨트롤러(344, 544)에 전달하는 설정 출력(SFR)을 조절한다. Moreover, the controller 280 monitors the supply amount of the vaporization gas of the liquid raw material by the mass flow controllers 344 and 544, and feeds back the detection result. Specifically, in FIG. 6, the controller 280 inputs the set flow rate SV of the mass flow controllers 344 and 544 to the flow rate control unit 900. Next, the flow control unit 900 transmits the setting output SFR for the mass flow controllers 344 and 544 to the mass flow controllers 344 and 544. The change amount PV of the actual flow rate PFR of the mass flow controllers 344 and 544 is based on the response characteristic G1 of the flow rate of the mass flow controllers 344 and 544 with respect to the flow rate of the mass flow meter 901. It is measured by the mass flow meter 901. And the setting output SFR which the flow volume control unit 900 transmits to the mass flow controllers 344 and 544 by the feedback of the change amount PV of the actual flow volume PFR of the mass flow controllers 344 and 544 is adjusted. do.

(실시예 1)(Example 1)

다음에, ALD법에 의한 성막예로서, 반도체 디바이스의 제조공정의 하나인, TMA 및 O3 가스를 사용하여 Al2O3막을 형성하는 경우와, TEMAH 및 O3 가스를 사용하여 HfO2막을 형성하는 경우를 설명한다. Next, as an example of film formation by the ALD method, an Al 2 O 3 film is formed using TMA and O 3 gas, which is one of the manufacturing processes of a semiconductor device, and an HfO 2 film is formed using TEMAH and O 3 gas. The case will be described.

CVD(Chemical Vapor Deposition)법의 하나인 ALD법(Atomic layer Deposition)은, 기설정된 성막 조건(온도, 시간 등) 하에서, 성막에 사용하는 2 종류(또는 그 이상)의 원료 가스를 1 종류씩 교대로 웨이퍼(200)상에 공급하고, 1 원자층 단위로 흡착시켜, 표면 반응을 이용하여 성막을 행하는 방법이다. Atomic layer deposition (ALD), one of chemical vapor deposition (CVD) methods, alternates two (or more) source gases used for film formation one by one under predetermined film forming conditions (temperature, time, etc.). It is a method of supplying onto a wafer 200, adsorbing by unit of one atomic layer, and forming into a film using surface reaction.

즉, 예를 들면, Al2O3(산화 알루미늄)막을 형성하는 경우에는, TMA[Al(CH3)3, 트리메틸 알루미늄]의 기화 가스와 O3(오존) 가스를 원료 가스로서 교대로 공급함으로써, 250 내지 450℃의 저온에서 고품질의 성막이 가능하다. That is, for example, in the case of forming an Al 2 O 3 (aluminum oxide) film, by alternately supplying a vaporization gas and O 3 (ozone) gas of TMA [Al (CH 3 ) 3 , trimethyl aluminum] as a raw material gas High quality film formation is possible at a low temperature of 250 to 450 ° C.

다른 한편, HfO2(산화 하프늄)막을 형성하는 경우에는, TEMAH[Hf(NCH3C2H5)4, 테트라키스(N-에틸-N-메틸 아미노) 하프늄]의 기화 가스와 O3 가스를 원료 가스로서 교대로 공급함으로써, 150 내지 300℃의 저온에서 고품질의 성막이 가능하다. On the other hand, in the case of forming an HfO 2 (hafnium oxide) film, gaseous gas and O 3 of TEMAH [Hf (NCH 3 C 2 H 5 ) 4 , tetrakis (N-ethyl-N-methyl amino) hafnium] By alternately supplying the gas as a raw material gas, high quality film formation is possible at a low temperature of 150 to 300 ° C.

이와 같이, ALD법에서는, 복수 종류의 원료 가스를 1 종류씩 교대로 공급함으로써 성막을 행한다. 그리고, 막 두께 제어는, 원료 가스 공급의 사이클수로 제어한다. 예를 들면, 성막 속도가 1Å/사이클이라 하면, 20Å의 막을 형성하는 경우, 성막 처리를 20 사이클 행한다. As described above, in the ALD method, film formation is performed by alternately supplying a plurality of types of source gases one by one. And film thickness control is controlled by the number of cycles of raw material gas supply. For example, when the film formation rate is 1 ms / cycle, when 20 ms is formed, the film formation process is performed 20 cycles.

제일 먼저, Al2O3막을 형성하는 순서를 설명한다. First, the procedure for forming an Al 2 O 3 film will be described.

성막하고자 하는 반도체 실리콘 웨이퍼(200)를 보트(217)에 장전하고, 처리실(201)에 반입한다. 반입 후, 다음 4개의 단계를 차례로 실행한다. The semiconductor silicon wafer 200 to be formed is loaded into the boat 217 and loaded into the processing chamber 201. After importing, execute the following four steps in sequence.

(단계 1) (Step 1)

단계 1에서는, O3 가스를 처리실(201)에 공급한다. 자세하게는, 원료 가스 공급관(232a)의 밸브(243a)와, 가스 배기관(231)의 밸브(243d)를 함께 개방하여, 원료 가스 공급관(232a)에서 매스 플로우 컨트롤러(241a)에 의하여 유량 조정된 O3 가스를, 합류 타입 가스 공급 노즐(233)의 가스 공급 구멍으로부터 처리실(201)에 공급하면서 가스 배기관(231)으로부터 배기한다. In step 1, O 3 gas is supplied to the process chamber 201. Specifically, the valve 243a of the source gas supply pipe 232a and the valve 243d of the gas exhaust pipe 231 are opened together, and the flow rate is adjusted by the mass flow controller 241a in the source gas supply pipe 232a. 3 gas is exhausted from the gas exhaust pipe 231 while supplying it to the process chamber 201 from the gas supply hole of the joining type gas supply nozzle 233.

O3 가스를 흘릴 때에는, 밸브(243d)를 적절하게 조절하여 처리실(201) 내 압력을 10 내지 100 Pa의 최적의 범위로 유지한다. 매스 플로우 컨트롤러(241a)를 제어하여 O3 가스의 공급 유량을 1 내지 10 slm으로 하고, O3 가스에 웨이퍼(200)를 노출하는 시간을 2 내지 120초간으로 한다. 이 때, 히터(207)의 온도를 웨이퍼(200)의 온도가 250 내지 450℃의 최적의 범위가 되도록 설정한다. When flowing O 3 gas, the valve 243d is appropriately adjusted to maintain the pressure in the processing chamber 201 in an optimal range of 10 to 100 Pa. The mass flow controller 241a is controlled so that the supply flow rate of the O 3 gas is 1 to 10 slm, and the time for exposing the wafer 200 to the O 3 gas is 2 to 120 seconds. At this time, the temperature of the heater 207 is set so that the temperature of the wafer 200 may be in an optimum range of 250 to 450 ° C.

동시에, 불활성 가스 공급관(232c, 232f)에서, 개폐 밸브(253, 257)를 개방하여 불활성 가스를 흘려도 되고, 이 경우에는 TMA측 및 TEMAH측에 O3 가스가 표류하는 것을 방지할 수 있다. At the same time, open / close valves 253 and 257 may be opened to flow inert gas in inert gas supply pipes 232c and 232f. In this case, O 3 gas can be prevented from drifting to the TMA side and TEMAH side.

이 때, 처리실(201) 내에 공급하고 있는 가스는, O3 가스와 N2, Ar 등의 불활성 가스뿐이고, TMA 및 TEMAH는 존재하지 않는다. 따라서, O3 가스는 기상(氣相) 반응을 일으키지 않고, 웨이퍼(200) 상의 밑바탕막 등의 표면 부분과 표면 반응(화학 흡착)한다. At this time, only the gas supplied into the process chamber 201 is an O 3 gas and an inert gas such as N 2 or Ar, and TMA and TEMAH do not exist. Therefore, the O 3 gas does not cause a gas phase reaction and reacts with a surface portion (such as a base film) on the wafer 200 (chemical adsorption).

(단계 2) (Step 2)

단계 2에서는, 원료 가스 공급관(232a)의 밸브(243a)를 폐쇄하여, O3 가스 의 공급을 정지한다. 가스 배기관(231)의 밸브(243d)는 개방한 채로 하고, 진공펌프(246)에 의하여, 처리실(201)을 20 Pa 이하로 배기하고, 처리실(201) 내에 잔류한 O3 가스를 처리실(201)로부터 배제한다. 이 때, N2, Ar 등의 불활성 가스를, 원료 가스 공급관(232a, 232b, 232e)으로부터 각각 처리실(201)에 공급하여도 되고, 이 경우에는 처리실(201) 내에 잔류한 O3 가스를 배제하는 효과가 더욱 높아진다. In step 2, the valve 243a of the source gas supply pipe 232a is closed to stop the supply of the O 3 gas. The valve 243d of the gas exhaust pipe 231 is left open, the process chamber 201 is exhausted to 20 Pa or less by the vacuum pump 246, and the O 3 gas remaining in the process chamber 201 is discharged from the process chamber 201. Is excluded. At this time, inert gases such as N 2 and Ar may be supplied to the processing chamber 201 from the source gas supply pipes 232a, 232b, and 232e, respectively, in which case the O 3 gas remaining in the processing chamber 201 is removed. The effect is higher.

(단계 3) (Step 3)

단계 3에서는, TMA의 기화 가스를 처리실(201)에 공급한다. 자세하게는, 원료 가스 공급원(300)에서, 밸브(316, 326, 412, 352, 354)를 폐쇄하고 또한 밸브(402, 346)를 개방한 상태로 하여[밸브(243d)는 개방한 채로 한다], 불활성 가스를 불활성 가스 공급원(310)으로부터 불활성 가스 공급관(312)으로 유입시킨다. 상기 불활성 가스는, 매스 플로우 컨트롤러(314)로 유량 조정되면서 불활성 가스 공급관(312), 바이패스관(400), 액체 원료 공급관(322)을 유통하여 액체 원료 탱크(340)에 이른다. 단계 3에서의 액체 원료 공급관(322)은 불활성 가스를 액체 원료 탱크(340)에 공급하는 불활성 가스 공급관으로서 기능하고 있다. In step 3, the vaporization gas of TMA is supplied to the process chamber 201. Specifically, in the source gas supply source 300, the valves 316, 326, 412, 352, and 354 are closed and the valves 402 and 346 are opened (the valve 243d is left open). The inert gas is introduced into the inert gas supply pipe 312 from the inert gas supply 310. The inert gas flows through the inert gas supply pipe 312, the bypass pipe 400, and the liquid raw material supply pipe 322 while adjusting the flow rate by the mass flow controller 314 to reach the liquid raw material tank 340. The liquid raw material supply pipe 322 in step 3 functions as an inert gas supply pipe which supplies an inert gas to the liquid raw material tank 340.

불활성 가스가 액체 원료 탱크(340)에 공급되면, TMA의 기화 가스가 원료 가스 공급관(232b)으로 유입하고, 상기 TMA의 기화 가스는 매스 플로우 컨트롤러(344)로 유량과 온도가 제어되면서 원료 가스 공급관(232b)을 유통하고, 합류 타입 가스 공급 노즐(233)의 가스 공급 구멍으로부터 처리실(201)에 공급되면서 가스 배기관(231)으로부터 배기된다. When the inert gas is supplied to the liquid raw material tank 340, the vaporized gas of the TMA flows into the raw material gas supply pipe 232b, and the vaporized gas of the TMA is supplied to the raw material gas supply pipe while the flow rate and temperature are controlled by the mass flow controller 344. 232b is distributed and exhausted from the gas exhaust pipe 231 while being supplied to the process chamber 201 from the gas supply hole of the joining type gas supply nozzle 233.

TMA의 기화 가스를 흘릴 때에는, 밸브(243d)를 적정하게 조정하여 처리실(201) 내 압력을 10 내지 900 Pa의 최적의 범위로 유지한다. 매스 플로우 컨트롤러(314, 344)를 제어하여 불활성 가스의 공급 유량을 10 slm 이하로 하고, TMA의 기화 가스를 공급하기 위한 시간을 1 내지 4초로 설정한다. 그 후 다시 흡착시키기 위하여 상승한 압력 분위기 중에 노출하는 시간을 0 내지 4초로 설정하여도 된다. When flowing the vaporization gas of TMA, the valve 243d is adjusted appropriately, and the pressure in the process chamber 201 is maintained in the optimal range of 10-900 Pa. The mass flow controllers 314 and 344 are controlled to set the supply flow rate of the inert gas to 10 slm or less, and set a time for supplying the vaporized gas of the TMA to 1 to 4 seconds. In order to adsorb | suck again after that, you may set the time exposed in a raised pressure atmosphere to 0 to 4 second.

원료 가스 공급원(300)에서는, 매스 플로우 컨트롤러(344)의 검출 결과가 컨트롤러(280)에 출력되고, 컨트롤러(280)로 TMA의 기화량을 모니터링한다. 그리고 그 모니터링 결과를 컨트롤러(280)로부터 매스 플로우 컨트롤러(314)에 피드백하고, 불활성 가스의 공급 유량을 보정한다. 예를 들면, TMA의 기화량이 일정한 값보다 감소하면 불활성 가스의 공급 유량을 증대시킨다. In the source gas supply source 300, the detection result of the mass flow controller 344 is output to the controller 280, and the controller 280 monitors the amount of vaporization of the TMA. The monitoring result is fed back from the controller 280 to the mass flow controller 314 to correct the supply flow rate of the inert gas. For example, when the amount of vaporized TMA decreases below a certain value, the supply flow rate of the inert gas is increased.

단계 3에서도, 히터(207)를 제어하여 웨이퍼(200)의 온도를, O3 가스 공급시와 동일하게, 250 내지 450℃의 최적의 범위로 한다. TMA의 기화 가스의 공급에 의하여, 웨이퍼(200)의 표면에 화학 흡착한 O3 와 TMA가 표면 반응(화학 흡착)하여, 웨이퍼(200) 상에 Al2O3막이 형성된다. Also in step 3, the heater 207 is controlled so that the temperature of the wafer 200 is set to an optimum range of 250 to 450 ° C. as in the case of supplying O 3 gas. By supplying the vaporization gas of the TMA, O 3 and TMA chemically adsorbed on the surface of the wafer 200 are subjected to surface reaction (chemical adsorption) to form an Al 2 O 3 film on the wafer 200.

동시에, 불활성 가스 공급관(232d, 232f)에서, 개폐 밸브(254, 257)를 개방하여 불활성 가스를 흘려도 되고, 이 경우에는 O3측 및 TEMAH측으로 TMA의 기화 가스가 표류하는 것을 방지할 수 있다. At the same time, open / close valves 254 and 257 may be opened to flow inert gas in inert gas supply pipes 232d and 232f. In this case, it is possible to prevent the vaporized gas of TMA from drifting to the O 3 side and the TEMAH side.

(단계 4) (Step 4)

단계 4에서는, 밸브(346)를 폐쇄하고 또한 밸브(352, 354)를 개방하여 TMA의 기화 가스의 공급을 정지함과 동시에, 밸브(243d)를 개방한 채로 하여 처리실(201)을 진공 배기하고, 처리실(201)에 잔류한 TMA의 기화 가스로서 성막에 기여한 후의 TMA의 기화 가스를 배제한다. 이 때, N2, Ar 등의 불활성 가스를, 원료 가스 공급관(232a, 232b, 232e)으로부터 각각 처리실(201)에 공급하여도 되고, 이 경우에는 처리실(201) 내에 잔류한 TMA의 기화 가스로서 성막에 기여한 후의 TMA의 기화 가스를 처리실(201)로부터 배제하는 효과가 더욱 높아진다. In step 4, the valve 346 is closed and the valves 352 and 354 are opened to stop the supply of vaporized gas to the TMA, and the process chamber 201 is evacuated with the valve 243d open. The vaporization gas of TMA after contributing to film formation as the vaporization gas of TMA remaining in the processing chamber 201 is excluded. At this time, an inert gas such as N 2 or Ar may be supplied to the processing chamber 201 from the source gas supply pipes 232a, 232b, and 232e, respectively. In this case, as the vaporized gas of TMA remaining in the processing chamber 201. The effect of removing the vaporization gas of TMA after contributing to film formation from the processing chamber 201 is further enhanced.

상기 단계 1 내지 4를 1 사이클로 하고, 이 사이클을 복수 회 반복함으로써, 웨이퍼(200) 상에 기설정된 막 두께의 Al2O3막을 형성할 수 있다. 본 실시예에서는, 단계 2에서 처리실(201) 내를 배기하여 O3 가스를 제거하고 나서 TMA의 기화 가스를 흘리기 때문에, 양자는 웨이퍼(200)로 향하는 도중에서 반응하지 않는다. 공급된 TMA의 기화 가스를, 웨이퍼(200)에 흡착하고 있는 O3와만 유효하게 반응시킬 수 있다. By carrying out the above steps 1 to 4 as one cycle and repeating this cycle a plurality of times, an Al 2 O 3 film having a predetermined film thickness can be formed on the wafer 200. In this embodiment, since the vaporization gas of TMA flows after evacuating the inside of the process chamber 201 to remove the O 3 gas in step 2, both do not react on the way to the wafer 200. The vaporized gas of the supplied TMA can be effectively reacted only with O 3 adsorbed on the wafer 200.

그리고 상기의 Al2O3막의 형성이 종료하면, 액체 원료 탱크(320)의 TMA를 액체 원료 탱크(340)에 보급한다. 자세하게는, 원료 가스 공급원(300)에서, 밸브(402, 412, 346)를 폐쇄하고 또한 밸브(316, 326, 352, 354)를 개방한 상태로 하여[밸브(243d)는 개방한 채로 한다], 불활성 가스를 불활성 가스 공급원(310)으로부터 불활성 가스 공급관(312)으로 유입시킨다. When the formation of the Al 2 O 3 film is completed, the TMA of the liquid raw material tank 320 is supplied to the liquid raw material tank 340. Specifically, in the source gas supply source 300, the valves 402, 412, 346 are closed and the valves 316, 326, 352, 354 are opened (the valve 243d is left open). The inert gas is introduced into the inert gas supply pipe 312 from the inert gas supply 310.

상기 불활성 가스는, 매스 플로우 컨트롤러(314)로 유량 조정되면서 불활성 가스 공급관(312)으로부터 액체 원료 탱크(320)에 이르고, 액체 원료 탱크(320)의 TMA를 액체 원료 공급관(322)에 밀어낸다. 상기 TMAF는 액체 원료 공급관(322)을 유통하여 액체 원료 탱크(340)로 압송되고, 액체 원료 탱크(340)에 저류된다. 이것에 의하여, 후속의 Al2O3막의 형성에 필요한 TMA가 액체 원료 탱크(340)에 보급된다. The inert gas reaches the liquid raw material tank 320 from the inert gas supply pipe 312 while adjusting the flow rate by the mass flow controller 314, and pushes the TMA of the liquid raw material tank 320 into the liquid raw material supply pipe 322. The TMAF is circulated through the liquid raw material supply pipe 322 to the liquid raw material tank 340 and stored in the liquid raw material tank 340. As a result, the TMA necessary for the formation of the subsequent Al 2 O 3 film is supplied to the liquid raw material tank 340.

본 실시예에서는, 액체 원료 탱크(340)에는, 1회의 배치 처리에 필요한 양(기설정된 막 두께의 Al2O3막을 형성하는 데 필요한 양)의 TMA를 보급하도록 되어 있고, 기설정된 막 두께의 Al2O3막을 형성할 때마다, 상기의 보급을 반복하여 실시한다. In the present embodiment, the liquid raw material tank 340 is configured to supply TMA in an amount required for one batch treatment (a quantity required to form an Al 2 O 3 film having a predetermined film thickness), Each time the Al 2 O 3 film is formed, the above replenishment is repeated.

계속해서, HfO2막을 형성하는 순서를 설명한다. Next, the procedure for forming the HfO 2 film will be described.

(단계 5) (Step 5)

단계 5에서는, Al2O3막을 형성하였을 때와 마찬가지로 O3 가스를 처리실(201)에 공급한다. 자세하게는, 원료 가스 공급관(232a)의 밸브(243a)와, 가스 배기관(231)의 밸브(243d)를 함께 개방하여, 원료 가스 공급관(232a)으로부터 매스 플로우 컨트롤러(241a)에 의하여 유량 조정된 O3 가스를, 합류 타입 가스 공급 노즐(233)의 가스 공급 구멍으로부터 처리실(201)에 공급하면서 가스 배기관(231)으로부터 배기한다. In step 5, O 3 gas is supplied to the process chamber 201 as in the case of forming an Al 2 O 3 film. Specifically, the valve 243a of the source gas supply pipe 232a and the valve 243d of the gas exhaust pipe 231 are opened together, and the flow rate adjusted by the mass flow controller 241a from the source gas supply pipe 232a. 3 gas is exhausted from the gas exhaust pipe 231 while supplying it to the process chamber 201 from the gas supply hole of the joining type gas supply nozzle 233.

O3 가스를 흘릴 때는, 밸브(243d)를 적정하게 조절하여 처리실(201) 내 압력 을 10 내지 100 Pa의 최적의 범위로 유지한다. 매스 플로우 컨트롤러(241a)로 제어하는 O3 가스의 공급 유량을 1 내지 10 slm으로 하고, O3 가스에 웨이퍼(200)를 노출하는 시간을 2 내지 120초간으로 한다. 이 때, 히터(207)의 온도를 웨이퍼(200)의 온도가 150 내지 300℃의 최적의 범위가 되도록 설정한다. When flowing O 3 gas, the valve 243d is appropriately adjusted to maintain the pressure in the processing chamber 201 in an optimal range of 10 to 100 Pa. The supply flow rate of the O 3 gas controlled by the mass flow controller 241a is set to 1 to 10 slm, and the time for exposing the wafer 200 to the O 3 gas is set to 2 to 120 seconds. At this time, the temperature of the heater 207 is set so that the temperature of the wafer 200 may be in an optimal range of 150 to 300 ° C.

동시에, 불활성 가스 공급관(232f, 232c)에서, 개폐 밸브(257, 253)를 개방하여 불활성 가스를 흘려도 되고, 이 경우에는 TEMAH측 및 TMA측으로 O3 가스가 표류하는 것을 방지할 수 있다. At the same time, inert gas may be flown by opening / closing valves 257 and 253 in inert gas supply pipes 232f and 232c. In this case, O 3 gas can be prevented from drifting to the TEMAH side and the TMA side.

이 때, 처리실(201) 내에 공급하고 있는 가스는, O3 가스와 N2, Ar 등의 불활성 가스뿐이고, TEMAH 및 TMA는 존재하지 않는다. 따라서, O3 가스는 기상 반응을 일으키지 않고, 웨이퍼(200) 상의 밑바탕막 등의 표면 부분과 표면 반응(화학 흡착)한다. At this time, only the gas supplied into the process chamber 201 is an O 3 gas and an inert gas such as N 2 or Ar, and TEMAH and TMA do not exist. Therefore, the O 3 gas does not cause a gas phase reaction, but reacts with a surface portion such as an underlying film on the wafer 200 (chemical adsorption).

(단계 6) (Step 6)

단계 6에서는, 원료 가스 공급관(232a)의 밸브(243a)를 폐쇄하여, O3 가스의 공급을 정지한다. 가스 배기관(231)의 밸브(243d)는 개방한 채로 하고, 진공 펌프(246)에 의하여, 처리실(201)을 20 Pa 이하로 배기하고, 처리실(201) 내에 잔류한 O3 가스를 처리실(201)로부터 배제한다. 이 때, N2, Ar 등의 불활성 가스를, 원료 가스 공급관(232a, 232e, 232b)에서 각각 처리실(201)에 공급하여도 되고, 이 경우에는 처리실(201) 내에 잔류한 O3 가스를 배제하는 효과가 더욱 높아진다. In step 6, the valve 243a of the source gas supply pipe 232a is closed to stop the supply of the O 3 gas. The valve 243d of the gas exhaust pipe 231 is left open, the process chamber 201 is exhausted to 20 Pa or less by the vacuum pump 246, and the O 3 gas remaining in the process chamber 201 is discharged from the process chamber 201. Is excluded. At this time, inert gases such as N 2 and Ar may be supplied to the processing chamber 201 from the source gas supply pipes 232a, 232e, and 232b, respectively. In this case, the O 3 gas remaining in the processing chamber 201 is excluded. The effect is higher.

(단계 7) (Step 7)

단계 7에서는, TEMAH의 기화 가스를 처리실(201)에 공급한다. 자세하게는, 원료 가스 공급원(500)에서, 밸브(516, 526, 612, 552, 554)를 폐쇄하고 또한 밸브(602, 546)를 개방한 상태로 하여[밸브(243d)는 개방한 채로 한다], 불활성 가스를 불활성 가스 공급원(510)으로부터 불활성 가스 공급관(512)으로 유입시킨다. 상기 불활성 가스는, 매스 플로우 컨트롤러(514)로 유량 조정되면서 불활성 가스 공급관(512), 바이패스관(600), 액체 원료 공급관(522)을 유통하여 액체 원료 탱크(540)에 이른다. 단계 7에서의 액체 원료 공급관(522)은 불활성 가스를 액체 원료 탱크(540)에 공급하는 불활성 가스 공급관으로서 기능하고 있다. In step 7, the vaporization gas of TEMAH is supplied to the process chamber 201. In detail, in the source gas supply source 500, the valves 516, 526, 612, 552, 554 are closed and the valves 602, 546 are opened (valve 243d remains open). Inert gas is introduced into the inert gas supply pipe 512 from the inert gas supply source 510. The inert gas flows through the inert gas supply pipe 512, the bypass pipe 600, and the liquid raw material supply pipe 522 while adjusting the flow rate with the mass flow controller 514 to reach the liquid raw material tank 540. The liquid raw material supply pipe 522 in step 7 functions as an inert gas supply pipe for supplying an inert gas to the liquid raw material tank 540.

불활성 가스가 액체 원료 탱크(540)에 공급되면, TEMAH의 기화 가스가 원료 가스 공급관(232e)으로 유입하고, 상기 TEMAH의 기화 가스는 매스 플로우 컨트롤러(544)로 유량과 온도가 제어되면서 원료 가스 공급관(232e)을 유통하고, 분리 타입 가스 공급 노즐(234)의 가스 공급 구멍으로부터 처리실(201)에 공급되면서 가스 배기관(231)으로부터 배기된다. When the inert gas is supplied to the liquid raw material tank 540, the vaporized gas of TEMAH flows into the raw material gas supply pipe 232e, and the vaporized gas of the TEMAH is supplied to the raw material gas supply pipe while the flow rate and temperature are controlled by the mass flow controller 544. 232e is distributed and exhausted from the gas exhaust pipe 231 while being supplied to the process chamber 201 from the gas supply hole of the separation type gas supply nozzle 234.

TEMAH의 기화 가스를 흘릴 때는, 밸브(243d)를 적정하게 조정하여 처리실(201) 내 압력을 10 내지 100 Pa의 최적의 범위로 유지한다. 매스 플로우 컨트롤러(514, 544)를 제어하여 불활성 가스의 공급 유량을 10 slm 이하로 하고, TEMAH의 기화 가스를 공급하기 위한 시간을 1 내지 4초로 설정한다. 그 후 다시 흡착시키기 위하여 상승한 압력 분위기 중에 노출하는 시간을 0 내지 4초로 설정하여도 된다. When flowing the TEMAH vaporized gas, the valve 243d is appropriately adjusted to maintain the pressure in the processing chamber 201 in an optimal range of 10 to 100 Pa. The mass flow controllers 514 and 544 are controlled to set the supply flow rate of the inert gas to 10 slm or less, and set a time for supplying the vaporized gas of TEMAH to 1 to 4 seconds. In order to adsorb | suck again after that, you may set the time exposed in a raised pressure atmosphere to 0 to 4 second.

원료 가스 공급원(500)에서는, 매스 플로우 컨트롤러(544)의 검출 결과가 컨 트롤러(280)에 출력되고, 컨트롤러(280)로 TEMAH의 기화량을 모니터링한다. 그리고 그 모니터링 결과를 컨트롤러(280)로부터 매스 플로우 컨트롤러(514)에 피드백하여, 불활성 가스의 공급 유량을 보정한다. 예를 들면, TEMAH의 기화량이 일정한 값보다 감소하면 불활성 가스의 공급 유량을 증대시킨다. In the source gas supply source 500, the detection result of the mass flow controller 544 is output to the controller 280, and the controller 280 monitors the amount of vaporization of TEMAH. The monitoring result is fed back from the controller 280 to the mass flow controller 514 to correct the supply flow rate of the inert gas. For example, if the amount of vaporization of TEMAH decreases below a certain value, the supply flow rate of the inert gas is increased.

단계 7에서도, 히터(207)를 제어하여 웨이퍼(200)의 온도를, O3 가스의 공급시와 마찬가지로, 150 내지 300℃의 최적의 범위로 한다. TEMAH의 기화 가스의 공급에 의하여, 웨이퍼(200)의 표면에 화학 흡착한 O3와 TEMAH가 표면 반응(화학 흡착)하여, 웨이퍼(200) 상에 HfO2막이 형성된다. Also in step 7, the heater 207 is controlled so that the temperature of the wafer 200 is set to an optimum range of 150 to 300 ° C. as in the case of supply of the O 3 gas. By supplying the TEMAH vaporization gas, O 3 and TEMAH chemically adsorbed on the surface of the wafer 200 are subjected to surface reaction (chemical adsorption) to form an HfO 2 film on the wafer 200.

동시에, 불활성 가스 공급관(232d, 232c)으로부터, 개폐 밸브(254, 253)를 개방하여 불활성 가스를 흘려도 되고, 이 경우에는 O3측 및 TMA측으로 TEMAH의 기화 가스가 표류하는 것을 방지할 수 있다. At the same time, the inert gas may flow from the inert gas supply pipes 232d and 232c by opening and closing the valves 254 and 253. In this case, it is possible to prevent the TEMAH vaporized gas from drifting to the O 3 side and the TMA side.

(단계 8) (Step 8)

단계 8에서는, 밸브(546)를 폐쇄하고 또한 밸브(552, 554)를 개방하여 TEMAH의 기화 가스의 공급을 정지함과 동시에, 밸브(243d)를 개방한 채로 하여 처리실(201)을 진공 배기하고, 처리실(201)에 잔류한 TEMAH의 기화 가스로서 성막에 기여한 후의 TEMAH의 기화 가스를 배제한다. 이 때, N2, Ar 등의 불활성 가스를, 원료 가스 공급관(232a, 232e, 232b)에서 각각 처리실(201)에 공급하여도 되고, 이 경우에는 처리실(201) 내에 잔류한 TEMAH의 기화 가스로서 성막에 기여한 후의 TEMAH의 기화 가스를 처리실(201)로부터 배제하는 효과가 더욱 높아진다. In step 8, the valve 546 is closed and the valves 552 and 554 are opened to stop the supply of the TEMAH vaporized gas, and the process chamber 201 is evacuated with the valve 243d open. As the vaporization gas of TEMAH remaining in the processing chamber 201, the vaporization gas of TEMAH after contributing to film formation is excluded. At this time, an inert gas such as N 2 or Ar may be supplied to the processing chamber 201 from the source gas supply pipes 232a, 232e, and 232b, respectively. In this case, the vaporized gas of TEMAH remaining in the processing chamber 201 may be used. The effect of removing the vaporization gas of TEMAH after contributing to film formation from the processing chamber 201 is further enhanced.

상기 단계 5 내지 8을 1 사이클로 하고, 이 사이클을 복수 회 반복함으로써, 웨이퍼(200) 상에 기설정된 막 두께의 HfO2막을 형성할 수 있다. 본 실시예에서는, 단계 6에서 처리실(201) 내를 배기하여 O3 가스를 제거하고 나서 TEMAH의 기화 가스를 흘리기 때문에, 양자는 웨이퍼(200)로 향하는 도중에서 반응하지 않는다. 공급된 TEMAH의 기화 가스를, 웨이퍼(200)에 흡착하고 있는 O3와만 유효하게 반응시킬 수 있다. By setting the above steps 5 to 8 as one cycle and repeating this cycle a plurality of times, an HfO 2 film having a predetermined film thickness can be formed on the wafer 200. In this embodiment, since the gaseous gas of TEMAH flows after evacuating the inside of the process chamber 201 to remove the O 3 gas in step 6, both do not react on the way to the wafer 200. The vaporized gas of the supplied TEMAH can be effectively reacted only with O 3 adsorbed on the wafer 200.

그리고 상기의 HfO2막의 형성이 종료하면, 액체 원료 탱크(520)의 TEMAH를 액체 원료 탱크(540)에 보급한다. 자세하게는, 원료 가스 공급원(500)에서, 밸브(602, 612, 546)를 폐쇄하고 또한 밸브(516, 526, 552, 554)를 개방한 상태로 하여[밸브(243d)는 개방한 채로 한다), 불활성 가스를 불활성 가스 공급원(510)으로부터 불활성 가스 공급관(512)으로 유입시킨다. 상기 불활성 가스는, 매스 플로우 컨트롤러(514)로 유량 조정되면서 불활성 가스 공급관(512)으로부터 액체 원료 탱크(520)에 이르고, 액체 원료 탱크(520)의 TEMAH를 액체 원료 공급관(522)으로 밀어낸다. 상기 TEMAH는 액체 원료 공급관(322)을 유통하여 액체 원료 탱크(540)에 압송되고, 액체 원료 탱크(540)에 저류된다. 이에 따라, 후속의 HfO2막의 형성에 필요한 TEMAH가 액체 원료 탱크(540)에 보급된다. When the formation of the HfO 2 film is completed, TEMAH of the liquid raw material tank 520 is supplied to the liquid raw material tank 540. In detail, in the source gas supply source 500, the valves 602, 612, 546 are closed and the valves 516, 526, 552, 554 are opened (valve 243d is left open). Inert gas is introduced into the inert gas supply pipe 512 from the inert gas supply source 510. The inert gas reaches the liquid raw material tank 520 from the inert gas supply pipe 512 while adjusting the flow rate by the mass flow controller 514, and pushes the TEMAH of the liquid raw material tank 520 into the liquid raw material supply pipe 522. The TEMAH is circulated through the liquid raw material supply pipe 322 to the liquid raw material tank 540 and stored in the liquid raw material tank 540. As a result, the TEMAH necessary for the formation of the subsequent HfO 2 film is replenished to the liquid raw material tank 540.

본 실시예에서는, 액체 원료 탱크(540)에는, 1회의 배치 처리에 필요한 양 (기설정된 막 두께의 HfO2막을 형성하는 데 필요한 양)의 TEMAH를 보급하도록 되어 있고, 기설정된 막 두께의 HfO2막을 형성할 때마다, 상기의 보급을 반복하여 실시한다. In the present embodiment, the liquid raw material tank 540 is supplied with TEMAH in an amount required for one batch treatment (a quantity required to form a HfO 2 film having a predetermined film thickness), and the HfO 2 having a predetermined film thickness is supplied. Each time a film is formed, the above replenishment is repeated.

이상과 같이, Al2O3막의 형성시에 있어서는, 원료 가스 공급관(232a, 232b)D을 처리실(201) 내에서 합류시킴으로써, TMA의 기화 가스와 O3 가스를 합류 타입 가스 공급 노즐(233) 내에서도 교대로 흡착, 반응시켜 퇴적막을 Al2O3으로 할 수 있고, TMA의 기화 가스와 O3 가스를 따로따로의 노즐로 공급하는 경우에 TMA 노즐 내에서 이물질 발생원이 될 가능성이 있는 Al막이 생성된다는 문제를 해소할 수 있다. Al2O3막은, Al막보다도 밀착성이 좋고, 벗겨지기 어렵기 때문에, 이물질 발생원이 되기 어렵다. As described above, when the Al 2 O 3 film is formed, the source gas supply pipes 232a and 232b D are joined in the processing chamber 201, whereby the vaporized gas and the O 3 gas of the TMA are joined to the combined gas supply nozzle 233. The deposited film can be made Al 2 O 3 by alternately adsorbing and reacting in the inside, and when the vaporized gas and O 3 gas of TMA are supplied to separate nozzles, an Al film is generated in the TMA nozzle which may be a foreign matter generation source. Can solve the problem. Since the Al 2 O 3 film has better adhesion than the Al film and is hard to peel off, the Al 2 O 3 film is unlikely to be a source of foreign matter generation.

또, HfO2막의 형성시에 있어서는, 원료 가스 공급관(232a, 232b)이 처리실(201) 내에서 합류하여 하나의 다공 노즐(233a)에 연통한 모양인 합류 타입 가스 공급 노즐(233)로부터 O3 가스를 공급하고, 원료 가스 공급관(232e)이 단독으로 하나의 다공 노즐(243a)에 연통하고 있는 분리 타입 가스 공급 노즐(234)로부터 TEMAH의 기화 가스를 공급하고 있다. 이에 따라, TEMAH의 공급시에 합류 타입 가스 공급 노즐를 사용한 경우에 필요하게 되는 역류나 진입을 방지하기 위한 불활성 가스 퍼지(purge)를 회피할 수 있고, TEMAH의 공급으로 합류 타입 가스 노즐을 사용 한 경우 문제가 된다, 퍼지에 의한 노즐 내의 압력 상승을 없앨 수 있다. 또, 그 압력 상승에 따른 TEMAH의 재액화에 의한(TEMAH의 증기압이 낮은 것에 기인), 파티클 발생도 방지 가능하게 된다. Further, HfO In: 2 film formation, the source gas supply pipe (232a, 232b) O 3 from the process chamber 201 shape the joined type gas supply nozzle 233 is communicated with a perforated nozzle (233a) joined in the The gas is supplied, and the vaporization gas of TEMAH is supplied from the separation type gas supply nozzle 234 which the source gas supply pipe 232e communicates with one porous nozzle 243a independently. As a result, an inert gas purge to prevent backflow or ingress required when a confluence type gas supply nozzle is used when supplying TEMAH can be avoided, and when a confluence type gas nozzle is used as the supply of TEMAH It becomes a problem and the pressure rise in a nozzle by a purge can be eliminated. Moreover, particle generation by reliquefaction of TEMAH (due to the low vapor pressure of TEMAH) accompanying the pressure rise can also be prevented.

(실시예 2)(Example 2)

실시예 1에서는, 1 종류의 막 종류에 대하여 1 종류의 액체 원료를 사용하여 ALD법으로 성막을 행하는 경우에 대하여 설명하였으나, 이하에서는, 3 종류의 액체 원료를 사용하여 ALD법으로 성막을 행하는 경우에 대하여 도 7를 사용하여 설명한다. 또한, 도 3과 동일한 부재에는 동일한 참조부호를 붙이고, 상세한 설명은 생략한다. 또, 각 원료 가스 공급원 및 그 구성 부재에는 다른 원료 가스 공급원 및 그 구성 부재와 구별하기 위하여, 참조부호의 말미에 다른 원료 가스공급원 및 그 구성 부재와 다른 문자(A, B 또는 C)을 붙였다. In Example 1, the case where film formation is performed by ALD method using one kind of liquid raw material for one kind of film type is explained. In the following, when film formation is performed by ALD method using three kinds of liquid raw materials. This will be described with reference to FIG. In addition, the same reference numerals are attached to the same members as those in Fig. 3, and the detailed description is omitted. In addition, in order to distinguish each source gas supply source and its structural member from another source gas supply source and its structural member, the code | symbol (A, B, or C) different from another source gas supply source and its structural member is attached | subjected at the end of the code | symbol.

예를 들면, 촉매를 사용하여 SiO2막을 형성하는 경우에는, HCD(헥사클로로디실란, Si2Cl6), H2O, 촉매[피리딘(C5H5N) 등]를 액체 원료로서 사용하고, 이들 3 종류의 액체 원료의 기화 가스를 원료 가스로 하여 교대로 공급한다. For example, when forming a SiO 2 film using a catalyst, HCD (hexachlorodisilane, Si 2 Cl 6 ), H 2 O, a catalyst [pyridine (C 5 H 5 N), etc.] are used as a liquid raw material. Then, the vaporization gas of these three types of liquid raw materials is alternately supplied as raw material gas.

액체 원료의 일례로서, 원료 가스 공급원(300A)에서는 HCD를 사용하고 있고, 원료 가스 공급원(300B)에서는 H2O를 사용하고 있고, 원료 가스 공급원(300C)에서는 촉매를 사용하고 있다. HCD, H2O, 촉매는 상온에서 액체이다. As an example of the liquid raw material, HCD is used in the source gas supply source 300A, H 2 O is used in the source gas supply source 300B, and a catalyst is used in the source gas supply source 300C. HCD, H 2 O, the catalyst is a liquid at room temperature.

또한, 원료 가스 공급원(300A, 300B, 300C)에서도 원료 가스 공급원(300, 500)과 동일한 구성을 가지고 있고, 본 실시예에서는, 도 7 중에서 그것들 각 부재 에 대하여 도 3의 부재와 동일한 3자리 숫자를 포함하는 참조부호를 붙이고 그 설명을 생략한다. In addition, the source gas supply sources 300A, 300B, and 300C also have the same configuration as the source gas supply sources 300 and 500, and in this embodiment, the same three-digit number as that of the member of FIG. Add a reference sign including the description and omit the description.

본 실시예와 같이, 복수의 액체 원료를 사용하여 성막을 행하는 경우에는, 각 액체 원료에 대하여 각각 원료 가스 공급원을 설치한다. As in the present embodiment, when film formation is performed using a plurality of liquid raw materials, a source gas supply source is provided for each liquid raw material, respectively.

이상의 실시예에서는, 매스 플로우 컨트롤러(344, 544, 344A, 344B, 344C) 에 의한 액체 원료의 기화 가스의 공급량을 컨트롤러(280)로 모니터링하기 때문에, 액체 원료의 기화 가스의 재액화에 의한 막힘이 발생하여도 이것을 검출할 수 있다. 그리고, 그 모니터 결과를 매스 플로우 컨트롤러(314, 514, 314A, 314B, 314C)에 피드백하는 구성으로 되어 있으므로, 불활성 가스의 공급량을 제어하여 액체 원료의 기화 가스의 공급량을 안정시킬 수 있다. In the above embodiment, since the supply amount of the vaporized gas of the liquid raw material by the mass flow controllers 344, 544, 344A, 344B, and 344C is monitored by the controller 280, clogging due to the reliquefaction of the vaporized gas of the liquid raw material is prevented. This can be detected even if it occurs. Since the monitoring result is fed back to the mass flow controllers 314, 514, 314A, 314B, and 314C, the supply amount of the inert gas can be controlled to stabilize the supply amount of the vaporized gas of the liquid raw material.

또, 액체 원료 탱크(320, 520, 320A, 320B, 320C)에 더하여 그것보다 소형의 액체 원료 탱크(340, 540, 340A, 340B, 340C)를 구비하기 때문에, 액체 원료의 저류원과 처리실(201)과의 거리[액체 원료의 기화 가스의 원료 가스 공급관(232b, 232e, 232A, 232B, 232C)의 길이]를 단축할 수 있고, 상기 기화 가스의 재액화에 의한 파티클이 발생할 가능성을 저감할 수 있다. In addition to the liquid raw material tanks 320, 520, 320A, 320B, and 320C, the liquid raw material tanks 340, 540, 340A, 340B, and 340C which are smaller than those are provided, so that the storage source and the processing chamber 201 of the liquid raw material are provided. ), The length (the length of the source gas supply pipes 232b, 232e, 232A, 232B, and 232C of the vaporized gas of the liquid raw material) can be shortened, and the possibility of generating particles due to the reliquefaction of the vaporized gas can be reduced. have.

또한, 액체 원료 탱크(320, 520, 320A, 320B, 320C)에 더하여 그것보다 소형이고, 웨이퍼(200)의 1회의 처리에 필요한 액체 원료를 저류 가능한 액체 원료 탱크(340, 540, 340A, 340B, 340C)를 구비하기 때문에, 웨이퍼(200)의 처리에 필요한 직접적인 액체 원료의 저류량을 최소한으로 할 수 있고, 액체 원료의 표면 온도가 그 원료의 잔량에 의존하는 것을 저감하는 것이 가능하다. Further, in addition to the liquid raw material tanks 320, 520, 320A, 320B, and 320C, the liquid raw material tanks 340, 540, 340A, 340B, which are smaller in size and capable of storing liquid raw materials required for one-time processing of the wafer 200, 340C), it is possible to minimize the amount of direct liquid raw material required for processing the wafer 200, and to reduce the dependence of the surface temperature of the liquid raw material on the remaining amount of the raw material.

액체 원료 탱크(320, 520, 320A, 320B, 320C)에 더하여 그것보다 소형이고 웨이퍼(200)의 1회의 처리에 필요한 액체 원료를 저류 가능한 액체 원료 탱크(340, 540, 340A, 340B, 340C)를 구비하기 때문에, 액체 원료의 온도를 제어하기 쉽다. In addition to the liquid raw material tanks 320, 520, 320A, 320B, and 320C, liquid raw material tanks 340, 540, 340A, 340B, and 340C, which are smaller than that and capable of storing liquid raw materials for one-time processing of the wafer 200, are provided. Since it is equipped, it is easy to control the temperature of a liquid raw material.

또, 액체 원료 탱크(320, 520, 320A, 320B, 320C)에 더하여 그것보다 소형이고 웨이퍼(200)의 1회의 처리에 필요한 액체 원료를 저류 가능한 액체 원료 탱크(340, 540, 340A, 340B, 340C)를 구비하기 때문에, 응답성이 좋고 피드백 제어를 하기 쉽기 때문에, 처리실(201)에 대한 가스 공급량을 제어하기 쉽다. Moreover, in addition to the liquid raw material tanks 320, 520, 320A, 320B, and 320C, the liquid raw material tanks 340, 540, 340A, 340B, and 340C which are smaller than that and are capable of storing liquid raw materials required for one-time processing of the wafer 200. ), It is easy to control the gas supply amount to the processing chamber 201 because of its responsiveness and easy feedback control.

즉, 본 실시예에 관한 도 3 및 도 7의 구성의 비교예로 하여 도 5의 구성을 상정할 수 있다. 상기 비교예의 구성에서는, 액체 원료 탱크(340, 540, 340A, 340B, 340C)와 매스 플로우 컨트롤러(344, 544, 344A, 344B, 344C)가 설치되어 있지 않고, 액체 원료 공급관(322, 522, 322A, 322B, 322C)의 선단부가 액체 원료 탱크(320, 520, 320A, 320B, 320C)의 상부 공간에 연통하고 있다. 그리고 불활성 가스 공급관(312, 512, 312A, 312B, 312C)에 불활성 가스를 유입시키면, 상기 불활성 가스가 액체 원료 탱크(320, 520, 320A, 320B, 320C)의 액체 원료 중에 이르고, 그 액체 원료의 기화 가스가 그대로 액체 원료 공급관(322, 522, 322A, 322B, 322C)과 원료 가스 공급관(232b, 232e, 232A, 232B, 232C)을 통하여 처리실(201)에 이른다.That is, the structure of FIG. 5 can be assumed as a comparative example of the structure of FIG. 3 and FIG. 7 which concerns on a present Example. In the configuration of the comparative example, the liquid raw material tanks 340, 540, 340A, 340B, and 340C and the mass flow controllers 344, 544, 344A, 344B, and 344C are not provided, and the liquid raw material supply pipes 322, 522, and 322A are not provided. , 322B, 322C are in communication with the upper spaces of the liquid raw material tanks 320, 520, 320A, 320B, and 320C. When an inert gas is introduced into the inert gas supply pipes 312, 512, 312A, 312B, and 312C, the inert gas reaches the liquid raw material of the liquid raw material tanks 320, 520, 320A, 320B, and 320C. The vaporized gas reaches the process chamber 201 through the liquid raw material supply pipes 322, 522, 322A, 322B, 322C and the raw material gas supply pipes 232b, 232e, 232A, 232B, and 232C as they are.

상기 비교예의 구성에 대하여, 본 실시예에서는, 액체 원료 탱크(320, 520, 320A, 320B, 320C)로부터 처리실(201)에 이르기까지의 구간에서, 매스 플로우 컨트롤러(344, 544, 344A, 344B, 344C)가 존재하여 이에 따라 액체 원료의 기화 가스의 공급량을 컨트롤러(280)로 모니터링하기 때문에, 액체 원료의 기화 가스의 재액화 에 의한 막힘이 발생하여도 이것을 검출할 수 있다. 그리고, 그 모니터링 결과를 매스 플로우 컨트롤러(314, 514, 314A, 314B, 314C)에 피드백하는 구성으로 되어 있으므로, 불활성 가스의 공급량을 제어하여 액체 원료의 기화 가스의 공급량을 안정시킬 수 있다. Regarding the structure of the comparative example, in this embodiment, the mass flow controllers 344, 544, 344A, 344B, in the section from the liquid raw material tanks 320, 520, 320A, 320B, 320C to the process chamber 201, Since 344C) exists and the supply amount of the vaporization gas of a liquid raw material is monitored by the controller 280, even if blockage by reliquefaction of the vaporization gas of a liquid raw material arises, this can be detected. Since the monitoring result is fed back to the mass flow controllers 314, 514, 314A, 314B, and 314C, the supply amount of the inert gas can be controlled to stabilize the supply amount of the vaporized gas of the liquid raw material.

또, 상기 비교예의 구성에 대하여, 본 실시예에서는, 액체 원료 탱크(320, 520, 320A, 320B, 320C)로부터 처리실(201)에 이르기까지의 구간에서, 액체 원료 탱크(340, 540, 340A, 340B, 340C)가 존재하여 그곳으로부터 액체 원료의 기화 가스가 처리실(201)에 공급되므로, 기화 가스의 공급 거리는 비교예의 구성에 비하여 짧고, 상기 기화 가스의 재액화에 의한 파티클이 발생할 가능성을 저감할 수 있다. 또, 액체 원료 탱크(340, 540, 340A, 340B, 340C)에서 처리실(201)에 이르기까지의 구간에 가열 가능한 매스 플로우 컨트롤러(344, 544, 344A, 344B, 344C)가 존재하여 액체 원료의 기화 가스를 가열할 수 있으므로, 기화 가스의 재액화에 의한 파티클이 발생할 가능성을 확실하게 저감할 수 있다. In addition, with respect to the structure of the comparative example, in the present embodiment, the liquid raw material tanks 340, 540, 340A, in the section from the liquid raw material tanks 320, 520, 320A, 320B, and 320C to the processing chamber 201. Since 340B and 340C exist and the vaporization gas of a liquid raw material is supplied to the process chamber 201 from there, the supply distance of vaporization gas is short compared with the structure of a comparative example, and the possibility of particle generation by reliquefaction of the said vaporization gas can be reduced. Can be. In addition, the mass flow controllers 344, 544, 344A, 344B, and 344C, which can be heated, exist in the section from the liquid raw material tanks 340, 540, 340A, 340B, and 340C to the process chamber 201 to vaporize the liquid raw material. Since the gas can be heated, the possibility of generating particles due to reliquefaction of the vaporized gas can be reliably reduced.

또한, 상기 비교예의 구성에 대하여, 본 실시예에서는, 액체 원료 탱크(320, 520, 320A, 320B, 320C)로부터 처리실(201)에 이르기까지의 구간에서, 액체 원료 탱크(340, 540, 340A, 340B, 340C)가 존재하여 그 액체 원료 탱크(340, 540, 340A, 340B, 340C)가 액체 원료 탱크(320, 520, 320A, 320B, 320C)보다 소형이고 웨이퍼(200)의 1회의 처리에 필요한 액체 원료를 저류 가능하므로, 웨이퍼(200)의 처리에 필요한 직접적인 액체 원료의 저류량을 최소한으로 할 수 있고, 액체 원료의 표면 온도가 그 원료의 잔량에 의존하는 것을 저감하는 것이 가능하다. In addition, about the structure of the said comparative example, in this Example, the liquid raw material tanks 340, 540, 340A, from the liquid raw material tanks 320, 520, 320A, 320B, and 320C to the process chamber 201. 340B, 340C are present so that liquid feedstock tanks 340, 540, 340A, 340B, 340C are smaller than liquid feedstock tanks 320, 520, 320A, 320B, 320C and are required for one-time processing of wafer 200. Since the liquid raw material can be stored, the amount of direct liquid raw material required for the processing of the wafer 200 can be minimized, and it is possible to reduce the dependence of the surface temperature of the liquid raw material on the remaining amount of the raw material.

이상으로부터, 액체 원료의 기화 가스의 처리실(201)에 대한 공급을 안정시킬 수 있다. As mentioned above, supply to the process chamber 201 of the vaporization gas of a liquid raw material can be stabilized.

또한, 액체 원료를 기화하여 처리실에 대한 기체원료로서 공급하는 방법으로서는, 버블링 방식 외에 기화기(氣化器)를 사용하는 방식을 들 수 있으나, 다음과 같이, 기화기에 의한 방식보다 버블링 방식을 사용하는 쪽이 유효하다. 즉, 기화기의 경우, 원료의 기화량은 기화기의 성능에 의존하여 결정되기 때문에, 가령 기화량을 증가시키기 위하여 기화기를 크게 하면, 잔량이 발생한다. 또, 기화기를 크게하면 피드백 제어를 행할 때에 응답성이 나빠진다. 따라서, 버블링 방식의 쪽이, 응답성이 좋고 빠른 사이클로 사용할 수 있기 때문에, 유리하다. In addition, as a method of vaporizing the liquid raw material and supplying it as a gaseous raw material to the processing chamber, a method of using a vaporizer in addition to the bubbling method may be used. It is effective to use. That is, in the case of the vaporizer, since the amount of vaporization of the raw material is determined depending on the performance of the vaporizer, when the vaporizer is enlarged to increase the amount of vaporization, the remaining amount is generated. In addition, when the vaporizer is made larger, the response is poor when performing feedback control. Therefore, the bubbling method is advantageous because the response is good and can be used in a fast cycle.

또, 본 실시예에서는, Al2O3막과 HfO2막을 동일 처리실(201) 내에서 형성하는 경우를 예로 들어 설명하였으나, HfO2막만을 형성하는 것을 목적에 한 처리실에서는, TEMAH의 기화 가스를 공급하는 분리 타입 가스 공급 노즐과 O3 가스를 공급하는 분리 타입 가스 공급 노즐의 2개에 의한 구성으로 성막하는 것이 가능하다. In this embodiment, the case where the Al 2 O 3 film and the HfO 2 film are formed in the same processing chamber 201 is described as an example. However, in the processing chamber aimed at forming only the HfO 2 film, the vaporization gas of TEMAH is used. it is possible to configure the film deposition by the two types of separate gas supply nozzle for supplying a separation type gas supply nozzle and the O 3 gas supplied.

또, 본 실시예에 관한 형태는, Al2O3막이나 HfO2막의 막 종류에 한정되지 않고 버블링 방식으로 액체 원료를 기화시켜 형성하는 다른 막 종류에서도 사용할 수 있다. 예를 들면, 액체 원료로서 사염화티탄(TiCl4) 등의 티타늄 원료를 사용하여 성막을 행하는 TiN막이나, 액체 원료로서 테트라메틸실란(4MS) 등을 사용하여 성막을 행하는 저온 SiCN막 등에서도 사용할 수 있다. 이 때, 원료 가스 공급관의 온도 는 사염화티탄, 테트라메틸실란 모두 40℃ 정도로 가열한다. In addition, the shape of the present embodiment can be used in other types of film forming by vaporizing a liquid raw material to the Al 2 O 3 film and HfO bubbling method is not limited to the two film types. For example, the film can be used in a TiN film for forming a film using a titanium raw material such as titanium tetrachloride (TiCl 4 ) as a liquid raw material, or in a low temperature SiCN film for forming a film using tetramethylsilane (4MS) or the like as a liquid raw material. have. At this time, the temperature of the source gas supply pipe is heated to about 40 ° C for both titanium tetrachloride and tetramethylsilane.

또한, 본 실시예에 관한 형태는, 1 종류의 막 종류에 대하여 복수의 액체 원료를 기화시켜 형성하는 다른 막 종류에 대해서도 사용할 수 있다. 예를 들면, 액체 원료로서 HCD, H2O, 촉매를 사용하여 성막을 행하는 극저온 SiO2막 등에서도 적용 가능하다. 이 때, 적어도 촉매를 처리실에 공급하는 원료 가스 공급관의 온도를 75℃ 정도로 가열한다. In addition, the form which concerns on a present Example can be used also about the other film | membrane kind formed by vaporizing a some liquid raw material with respect to one kind of film | membrane kind. For example, it can be applied to HCD, H 2 O, a cryogenic SiO 2 film and the like which are formed using a catalyst as a liquid raw material. At this time, the temperature of the source gas supply pipe which supplies a catalyst to a process chamber at least is heated to about 75 degreeC.

이상, 본 발명의 바람직한 실시예를 설명하였으나, 본 발명의 바람직한 실시의 형태에 의하면, As mentioned above, although preferred embodiment of this invention was described, According to preferable embodiment of this invention,

기판을 처리하는 처리실과, A processing chamber for processing a substrate,

상기 기판을 가열하는 가열 유닛과, A heating unit for heating the substrate,

상기 처리실 내의 분위기를 배기하는 배기 유닛을 구비하는 기판 처리 장치에 있어서, In the substrate processing apparatus provided with the exhaust unit which exhausts the atmosphere in the said process chamber,

액체 원료를 저류하는 제 1 액체 원료 탱크와 제 2 액체 원료 탱크와, A first liquid raw material tank and a second liquid raw material tank for storing the liquid raw material,

상기 제 1 액체 원료 탱크에 제 1 캐리어 가스를 공급하는 제 1 캐리어 가스 공급 라인과, A first carrier gas supply line for supplying a first carrier gas to the first liquid raw material tank;

상기 제 1 액체 원료 탱크에 대한 상기 제 1 캐리어 가스의 공급을 받아, 상기 제 1 액체 원료 탱크의 액체 원료를 상기 제 2 액체 원료 탱크로 압송하는 제 1 원료 공급 라인과, A first raw material supply line receiving the supply of the first carrier gas to the first liquid raw material tank and forcing the liquid raw material of the first liquid raw material tank into the second liquid raw material tank;

상기 제 2 액체 원료 탱크에 제 2 캐리어 가스를 공급하는 제 2 캐리어 가스 공급 라인과, A second carrier gas supply line for supplying a second carrier gas to the second liquid raw material tank;

상기 제 2 액체 원료 탱크에 대한 상기 제 2 캐리어 가스의 공급을 받아, 상기 제 2 액체 원료 탱크의 액체 원료의 기화 가스를 상기 처리실에 공급하는 제 2 원료 공급 라인과, A second raw material supply line receiving the supply of the second carrier gas to the second liquid raw material tank and supplying a vaporization gas of the liquid raw material of the second liquid raw material tank to the processing chamber;

상기 제 2 캐리어 가스 공급 라인 중을 유통하는 상기 제 2 캐리어 가스의 유량을 제어하는 유량 제어 장치와, A flow rate control device that controls a flow rate of the second carrier gas flowing through the second carrier gas supply line;

상기 제 2 원료 공급 라인 중을 유통하는 상기 기화 가스의 유량을 검출하는 유량 검출 장치와, A flow rate detection device for detecting a flow rate of the vaporized gas flowing in the second raw material supply line;

상기 유량 검출 장치의 검출 결과를 상기 유량 제어 장치에 피드백하는 피드백 장치를 가지고,And a feedback device for feeding back a detection result of the flow rate detection device to the flow rate control device,

상기 제 2 액체 원료 탱크는, 상기 제 1 액체 원료 탱크보다 내용적이 작고, 상기 제 2 액체 원료 탱크에는 1 회의 처리에 필요한 상기 액체 원료가 저류되는 제 1 기판 처리 장치가 제공된다. The said 2nd liquid raw material tank is smaller in volume than the said 1st liquid raw material tank, and the said 2nd liquid raw material tank is provided with the 1st board | substrate processing apparatus by which the said liquid raw material required for one time process is stored.

바람직하게는, 제 1 기판 처리 장치에 있어서, 제어부와, Preferably, in the first substrate processing apparatus, the control unit,

상기 제 1 액체 원료 탱크에 상기 액체 원료를 공급하는 액체 원료 공급 장치와, 상기 제 1 액체 원료 탱크에 설치되고, 상기 제 1 액체 원료 탱크 내의 상기 액체 원료의 잔량을 감시하는 잔량 검출 장치를 더 포함하고, The apparatus further includes a liquid raw material supply device for supplying the liquid raw material to the first liquid raw material tank, and a residual amount detection device installed in the first liquid raw material tank and monitoring the remaining amount of the liquid raw material in the first liquid raw material tank. and,

상기 제어부는, 상기 잔량 검출 장치에서 얻어진 검출 결과에 의거하여, 항상 기설정된 양으로 상기 액체 원료가 상기 제 1 액체 원료 탱크 내에 저장되도록 상기 액체 원료 공급 장치로부터 상기 제 1 액체 원료 탱크에 액체 원료를 공급하 도록 상기 액체 원료 공급 장치를 제어하는, 제 2 기판 처리 장치가 제공된다. The controller controls the liquid raw material from the liquid raw material supply device to the first liquid raw material tank from the liquid raw material supply device so that the liquid raw material is always stored in the first liquid raw material tank in a predetermined amount based on the detection result obtained by the remaining amount detecting device. A second substrate processing apparatus is provided, which controls the liquid raw material supply apparatus to supply.

또, 바람직하게는, 제 1 기판 처리 장치에서, 상기 제어부는, 상기 처리실과 상기 제 2 액체 원료 탱크를 접속하는 가스 공급관을 기설정된 온도로 가열하도록 상기 가열 유닛을 제어하는, 제 3 기판 처리 장치가 제공된다. Further, preferably, in the first substrate processing apparatus, the control unit controls the heating unit to heat the gas supply pipe connecting the processing chamber and the second liquid raw material tank to a predetermined temperature. Is provided.

또, 더욱 바람직하게는, 제 3 기판 처리 장치에서, 상기 가스 공급관의 가열 온도는, 상기 액체 원료의 종류에 따라 다른, 제 4 기판 처리 장치가 제공된다. Moreover, More preferably, in the 3rd substrate processing apparatus, the 4th substrate processing apparatus in which the heating temperature of the said gas supply pipe differs according to the kind of said liquid raw material is provided.

또, 더욱 바람직하게는, 제 1 기재의 기판 처리 장치에서, 상기 액체 원료는, TEMAH, TMA, TiCl4, 4MS, HCD, H2O, 피리딘 중 어느 하나인, 제 5 기판 처리 장치가 제공된다. More preferably, in the substrate processing apparatus of the first substrate, the fifth substrate processing apparatus is provided wherein the liquid raw material is any one of TEMAH, TMA, TiCl 4 , 4MS, HCD, H 2 O, and pyridine. .

또, 더욱 바람직하게는, 제 1 기판 처리 장치에서, More preferably, in the first substrate processing apparatus,

상기 제 2 캐리어 가스 공급 라인은, 상기 제 1 캐리어 가스 공급 라인과 상기 제 1 원료 공급 라인을 접속하는 바이패스 라인를 포함하고,The second carrier gas supply line includes a bypass line connecting the first carrier gas supply line and the first raw material supply line,

상기 제 1과 제 2 캐리어 가스는 동일한 가스원으로부터 공급되는 가스이고, The first and second carrier gases are gases supplied from the same gas source,

상기 제 2 캐리어 가스는, 상기 제 1 액체 원료 탱크를 거치지 않고 상기 바이패스 라인을 경유하여 상기 제 2 액체 원료 탱크로 공급되는, 제 6 기판 처리 장치가 제공된다. A sixth substrate processing apparatus is provided, wherein the second carrier gas is supplied to the second liquid raw material tank via the bypass line without passing through the first liquid raw material tank.

이상, 본 발명의 실시예를 앞서 설명하였으나, 당업자라면 본 발명이 이것들에 한정된 것이 아니고, 본 발명의 기술적 사상 및 특허청구 범위에서 동떨어진 것이 아닌 한, 다양한 변경이나 변형이 가능하다는 점에 유의하여야 한다. As mentioned above, although the embodiment of the present invention was described above, those skilled in the art should be noted that the present invention is not limited to these and various changes and modifications can be made without departing from the spirit and scope of the present invention. .

도 1은 본 발명의 바람직한 실시예에 관한 기판 처리 장치의 개략적인 구성을 나타내는 사투시도,1 is a perspective view showing a schematic configuration of a substrate processing apparatus according to a preferred embodiment of the present invention;

도 2는 본 발명의 바람직한 실시예에서 사용되는 세로형의 처리로와 그것에 부수되는 부재와의 개략구성도이고, 특히 처리로 부분을 세로 방향으로 절단한 종단면도,FIG. 2 is a schematic configuration diagram of a vertical processing furnace used in a preferred embodiment of the present invention and a member attached thereto, and in particular, a longitudinal cross-sectional view in which a portion of the processing furnace is cut longitudinally;

도 3은 본 발명의 바람직한 실시예에 관한 원료 가스 공급원의 개략구성도, 3 is a schematic configuration diagram of a source gas supply source according to a preferred embodiment of the present invention;

도 4는 본 발명의 바람직한 실시예에 관한 원료 가스 공급원의 개략적인 회로 구성을 나타내는 블록도,4 is a block diagram showing a schematic circuit configuration of a source gas supply source according to a preferred embodiment of the present invention;

도 5는 도 3의 원료 가스 공급원의 비교예를 나타내는 개략구성도,5 is a schematic configuration diagram showing a comparative example of a source gas supply source of FIG. 3;

도 6은 컨트롤러에서의 피드백 제어를 나타내는 블록도,6 is a block diagram showing feedback control in a controller;

도 7은 본 발명의 다른 바람직한 실시예에 관한 원료 가스 공급원의 개략구성도이다. 7 is a schematic configuration diagram of a source gas supply source according to another preferred embodiment of the present invention.

Claims (6)

기판을 처리하는 처리실과, A processing chamber for processing a substrate, 상기 기판을 가열하는 가열 유닛과, A heating unit for heating the substrate, 상기 처리실 내의 분위기를 배기하는 배기 유닛을 구비하는 기판 처리 장치에 있어서,In the substrate processing apparatus provided with the exhaust unit which exhausts the atmosphere in the said process chamber, 액체 원료를 저류하는 제 1 액체 원료 탱크와 제 2 액체 원료 탱크와, A first liquid raw material tank and a second liquid raw material tank for storing the liquid raw material, 상기 제 1 액체 원료 탱크에 제 1 캐리어 가스를 공급하는 제 1 캐리어 가스 공급 라인과, A first carrier gas supply line for supplying a first carrier gas to the first liquid raw material tank; 상기 제 1 액체 원료 탱크에 대한 상기 제 1 캐리어 가스의 공급을 받아, 상기 제 1 액체 원료 탱크의 액체 원료를 상기 제 2 액체 원료 탱크로 압송하는 제 1 원료 공급 라인과, A first raw material supply line receiving the supply of the first carrier gas to the first liquid raw material tank and forcing the liquid raw material of the first liquid raw material tank into the second liquid raw material tank; 상기 제 2 액체 원료 탱크에 제 2 캐리어 가스를 공급하는 제 2 캐리어 가스 공급 라인과, A second carrier gas supply line for supplying a second carrier gas to the second liquid raw material tank; 상기 제 2 액체 원료 탱크에 대한 상기 제 2 캐리어 가스의 공급을 받아, 상기 제 2 액체 원료 탱크의 액체 원료의 기화 가스를 상기 처리실에 공급하는 제 2 원료 공급 라인과, A second raw material supply line receiving the supply of the second carrier gas to the second liquid raw material tank and supplying a vaporization gas of the liquid raw material of the second liquid raw material tank to the processing chamber; 상기 제 2 캐리어 가스 공급 라인 중을 유통하는 상기 제 2 캐리어 가스의 유량을 제어하는 유량 제어 장치와, A flow rate control device that controls a flow rate of the second carrier gas flowing through the second carrier gas supply line; 상기 제 2 원료 공급 라인 중을 유통하는 상기 기화 가스의 유량을 검출하는 유량 검출 장치와, A flow rate detection device for detecting a flow rate of the vaporized gas flowing in the second raw material supply line; 상기 유량 검출 장치의 검출 결과를 상기 유량 제어 장치에 피드백하는 피드백 장치를 가지고, And a feedback device for feeding back a detection result of the flow rate detection device to the flow rate control device, 상기 제 2 액체 원료 탱크는, 상기 제 1 액체 원료 탱크보다 내용적이 작고, 상기 제 2 액체 원료 탱크에는 1회의 처리에 필요한 상기 액체 원료가 저류되는 것을 특징으로 하는 기판 처리 장치. The second liquid raw material tank has a smaller internal volume than the first liquid raw material tank, and the second liquid raw material tank stores the liquid raw material required for one treatment. 제 1항에 있어서,The method of claim 1, 제어부와, With the control unit, 상기 제 1 액체 원료 탱크에 상기 액체 원료를 공급하는 액체 원료 공급 장치와, A liquid raw material supply device for supplying the liquid raw material to the first liquid raw material tank; 상기 제 1 액체 원료 탱크에 설치되고, 상기 제 1 액체 원료 탱크 내의 상기 액체 원료의 잔량을 감시하는 잔량 검출 장치를 더 포함하고, A residual amount detection device installed in the first liquid raw material tank and monitoring a residual amount of the liquid raw material in the first liquid raw material tank; 상기 제어부는, 상기 잔량 검출 장치에서 얻어진 검출 결과에 의거하여, 항상 기설정된 양으로 상기 액체 원료가 상기 제 1 액체 원료 탱크 내에 저장되도록 상기 액체 원료 공급 장치로부터 상기 제 1 액체 원료 탱크로 액체 원료를 공급하도록 상기 액체 원료 공급 장치를 제어하는 것을 특징으로 하는 기판 처리 장치. The controller controls the liquid raw material from the liquid raw material supply device to the first liquid raw material tank so that the liquid raw material is always stored in the first liquid raw material tank in a predetermined amount based on the detection result obtained by the remaining amount detecting device. And controlling the liquid raw material supply device to supply the substrate. 제 1항에 있어서, The method of claim 1, 상기 제어부는, 상기 처리실과 상기 제 2 액체 원료 탱크를 접속하는 가스 공급관을 기설정된 온도로 가열하도록 상기 가열 유닛을 제어하는 것을 특징으로 하는 기판 처리 장치. The control unit controls the heating unit to heat the gas supply pipe connecting the processing chamber and the second liquid raw material tank to a predetermined temperature. 제 3항에 있어서, The method of claim 3, wherein 상기 가스 공급관의 가열 온도는, 상기 액체 원료의 종류에 따라 다른 것을 특징으로 하는 기판 처리 장치. The heating temperature of the said gas supply line changes with kinds of the said liquid raw material, The substrate processing apparatus characterized by the above-mentioned. 제 1항에 있어서, The method of claim 1, 상기 액체 원료는, TEMAH, TMA, TiCl4, 4MS, HCD, H2O, 피리딘 중 어느 하나인 것을 특징으로 하는 기판 처리 장치. The liquid raw material is any one of TEMAH, TMA, TiCl 4 , 4MS, HCD, H 2 O, pyridine. 제 1항에 있어서, The method of claim 1, 상기 제 2 캐리어 가스 공급 라인은, 상기 제 1 캐리어 가스 공급 라인과 상기 제 1 원료 공급 라인을 접속하는 바이패스 라인을 포함하고, The second carrier gas supply line includes a bypass line connecting the first carrier gas supply line and the first raw material supply line, 상기 제 1 캐리어 가스와 상기 제 2 캐리어 가스는 동일한 가스원으로부터 공급되는 가스로서,The first carrier gas and the second carrier gas is a gas supplied from the same gas source, 상기 제 2 캐리어 가스는, 상기 제 1 액체 원료 탱크를 거치지 않고 상기 바이패스 라인를 경유하여 상기 제 2 액체 원료 탱크에 공급되는 것을 특징으로 하는 기판 처리 장치. The second carrier gas is supplied to the second liquid raw material tank via the bypass line without passing through the first liquid raw material tank.
KR1020080053054A 2007-06-07 2008-06-05 Substrate processing apparatus KR101015985B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007151605 2007-06-07
JPJP-P-2007-00151605 2007-06-07
JP2008126721A JP5305328B2 (en) 2007-06-07 2008-05-14 Substrate processing equipment
JPJP-P-2008-00126721 2008-05-14

Publications (2)

Publication Number Publication Date
KR20080108040A true KR20080108040A (en) 2008-12-11
KR101015985B1 KR101015985B1 (en) 2011-02-23

Family

ID=40357282

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080053054A KR101015985B1 (en) 2007-06-07 2008-06-05 Substrate processing apparatus

Country Status (2)

Country Link
JP (1) JP5305328B2 (en)
KR (1) KR101015985B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150058040A (en) * 2013-11-20 2015-05-28 도쿄엘렉트론가부시키가이샤 Gas supply device, film forming apparatus, gas supply method, and storage medium

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5197277B2 (en) * 2008-09-30 2013-05-15 Hoya株式会社 Vapor deposition apparatus and vapor deposition method
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
KR20200043565A (en) * 2018-10-17 2020-04-28 (주)지오엘리먼트 Control valve and system capable of maintaining steadily vaporization and preliminary purge using the same
JP7382796B2 (en) 2019-11-05 2023-11-17 株式会社堀場エステック Piezo valve, fluid control device, and piezo valve diagnostic method
WO2021193480A1 (en) * 2020-03-26 2021-09-30 株式会社Kokusai Electric Substrate processing device, method for manufacturing semiconductor device, and program

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62169410A (en) * 1986-01-22 1987-07-25 Nec Corp Vapor growth equipment
JPS63307717A (en) * 1987-06-10 1988-12-15 Nobuo Mikoshiba Organic metal feeder
JPH04214870A (en) * 1990-05-08 1992-08-05 Nec Corp Chemical vapor deposition device
JP2000252269A (en) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp Equipment and method for liquid vaporization
JPH06196419A (en) * 1992-12-24 1994-07-15 Canon Inc Chemical vapor deposition device and manufacture of semiconductor device using same
JPH06267852A (en) * 1993-03-12 1994-09-22 Hitachi Ltd Evaporation apparatus for liquid raw material
JP3380610B2 (en) * 1993-11-30 2003-02-24 株式会社サムコインターナショナル研究所 Liquid source CVD equipment
JP3409910B2 (en) * 1994-02-20 2003-05-26 株式会社エステック Liquid material vaporizer
JP2004111787A (en) * 2002-09-20 2004-04-08 Hitachi Kokusai Electric Inc Substrate processing apparatus
JP2004273873A (en) * 2003-03-11 2004-09-30 Hitachi Ltd Semiconductor manufacturing device
JP2005307233A (en) * 2004-04-19 2005-11-04 Tokyo Electron Ltd Film deposition apparatus, film deposition method and method for feeding process gas
JP2007109865A (en) 2005-10-13 2007-04-26 Hitachi Kokusai Electric Inc Substrate processor and method of manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150058040A (en) * 2013-11-20 2015-05-28 도쿄엘렉트론가부시키가이샤 Gas supply device, film forming apparatus, gas supply method, and storage medium

Also Published As

Publication number Publication date
JP2009016799A (en) 2009-01-22
JP5305328B2 (en) 2013-10-02
KR101015985B1 (en) 2011-02-23

Similar Documents

Publication Publication Date Title
US9472398B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR101814243B1 (en) Reaction tube, substrate processing apparatus, and method of manufacturing semiconductor device
US8461062B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
KR101015985B1 (en) Substrate processing apparatus
US9496134B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
US9238257B2 (en) Method of manufacturing semiconductor device, cleaning method, and substrate processing apparatus
TWI415190B (en) A method of manufacturing a semiconductor device and substrate processing apparatus
JP5787488B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2012184499A (en) Manufacturing method, substrate processing device, and substrate processing method of semiconductor device
JP2006286716A (en) Method of manufacturing semiconductor device
JP2005064305A (en) Substrate processing device and method of manufacturing semiconductor device
JP2006222265A (en) Substrate processing apparatus
WO2007037233A1 (en) Substrate processing apparatus
JP2012172171A (en) Substrate processing apparatus, and thin film deposition method
JP2011132568A (en) Method for manufacturing semiconductor device, and substrate processing apparatus
KR100935289B1 (en) Substrate processing apparatus and substrate processing method
JP2011187485A (en) Substrate processing apparatus
JP2012069844A (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2006066557A (en) Substrate processing device
JP2012023138A (en) Substrate processing apparatus
JP2009200298A (en) Substrate processing apparatus
JP4903619B2 (en) Substrate processing equipment
WO2012077680A1 (en) Method for producing substrate, method for producing semiconductor device, and substrate treatment device
JP2011151294A (en) Method of manufacturing semiconductor device
JP2005197541A (en) Substrate processor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140117

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180119

Year of fee payment: 8