KR20080106417A - 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원 - Google Patents

플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원 Download PDF

Info

Publication number
KR20080106417A
KR20080106417A KR1020087021066A KR20087021066A KR20080106417A KR 20080106417 A KR20080106417 A KR 20080106417A KR 1020087021066 A KR1020087021066 A KR 1020087021066A KR 20087021066 A KR20087021066 A KR 20087021066A KR 20080106417 A KR20080106417 A KR 20080106417A
Authority
KR
South Korea
Prior art keywords
plasma
electrode
induction coil
lower electrode
chamber
Prior art date
Application number
KR1020087021066A
Other languages
English (en)
Other versions
KR101342319B1 (ko
Inventor
라진더 딘드사
무쿤드 스리니바산
겐지 다케시타
알렉세이 마라크타노브
안드레아스 피셔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080106417A publication Critical patent/KR20080106417A/ko
Application granted granted Critical
Publication of KR101342319B1 publication Critical patent/KR101342319B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

넓게 말하면, 본 발명은 개선된 챔버 세정 메커니즘을 제공함으로써 이들 필요성을 충족한다. 또한, 본 발명은 추가적 스위치들을 제공하는데 사용되어 에칭 프로세스들을 조정할 수 있다. 일 실시형태에서, 플라즈마를 생성하도록 구성된 플라즈마 처리 챔버는 하부 전극을 갖는 하부 전극 어셈블리를 포함하고, 여기서 하부 전극은 기판을 수용하도록 구성된다. 플라즈마 처리 챔버는 상부 전극을 갖는 상부 전극 어셈블리와 상부 전극을 둘러싼 유도 코일을 포함한다. 유도 코일은 챔버 내부에 정의된 영역 내에 가스를 플라즈마로 변환시키도록 구성되고, 여기서 그 영역은 하부 전극의 상부 표면 위에 정의된 면적 외부에 있다.
유도 플라즈마, 용량 플라즈마, 유도 코일, 챔버 세정 메커니즘

Description

플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원{INTEGRATED CAPACITIVE AND INDUCTIVE POWER SOURCES FOR A PLASMA ETCHING CHAMBER}
발명자: Rajinder Dhindsa, Mukund Srinivasan, Kenji Takeshita, Alexei Marakhtanov 및 Andreas Fischer 에 의함
배경
반도체 기판 디바이스 (예를 들어, 집적 회로 또는 평판 패널 디스플레이) 의 제조 시, 재료의 층들은 기판 표면 (예를 들어, 반도체 웨이퍼나 유리 패널) 상에 성막되거나 그로부터 에칭될 수도 있다. 기술 분야에서 공지된 바와 같이, 성막된 층(들)에 대한 에칭은 플라즈마-강화 에칭을 포함한 다양한 기술들에 의해 달성될 수도 있다. 플라즈마-강화 에칭 시, 기판에 대한 실제 에칭은 플라즈마 처리 챔버 내부에서 발생한다. 에칭 프로세스 동안, 플라즈마는 적절한 에천트 소스 가스로부터 형성되어 원하는 패턴을 남기는 에칭 마스크에 의해 보호되지 않는 워크피스의 영역들을 에칭한다.
기판의 플라즈마 에칭 동안, 포토레지스트 또는 에칭 케미스트리를 갖고 재료층을 에칭함에 의해 형성되는 폴리머들로부터 이루어진 에칭 부산물은 주로 주변 챔버 하드웨어 상에 퇴적된다. 퇴적된 부산물은 후속 기판 에칭에 따라 축적된다. 기판 제조의 디바이스 수율을 개선하기 위하여, 재생산 가능한 챔버를 유지시키는 것이 중요하고, 여기서 재생산 가능한 챔버는 하나 이상의 기판이 에칭된 후 주기적으로 챔버 세정 프로세스를 수행함으로써 달성될 수 있다. 통상, 챔버 세정은 기판마다 에칭한 후 수행된다.
용량 결합 진공 플라즈마 시스템들은 자주 반도체 기판으로부터 절연체 재료를 에칭하도록 사용된다. 용량 시스템들은 낮은 플라즈마 손상을 야기하고, 하부층 및 포토레지스트층에 대한 보다 높은 선택도를 갖는 이점들을 갖는다. 그러나, 용량 결합 플라즈마는 기판 에칭 후 챔버를 세정하는데 사용되는 경우, 상대적으로 높은 이온 에너지를 갖는 용량 결합 플라즈마는 노출된 정전 척을 공격할 것이다. 정전 척은 기판 에칭 동안 기판을 지지하는데 사용되고, 그 노출된 정전 척을 공격하는 것은 정전 척의 사용주기 열화 및 파티클의 생성을 야기한다. 또한, 챔버 내의 상부 전극 및 하부 전극에 의해 생성되는 용량 결합 플라즈마는 정전 척 위의 중심 영역에 주로 집중되고, 주변 챔버 하드웨어를 세정 시 효과적이지 못하다. 주변 챔버 하드웨어를 완전히 세정하기 위하여, 연장된 세정 시간이 요구되고, 이것은 제조 스루풋에 영향을 미친다. 현재의 용량 결합 플라즈마 반응기들에서, 에칭 기판을 처리 (또는 에칭) 한 후의 챔버 세정은 일반적으로 위에서 언급된 제한사항 내에서 최적화된다.
상기 관점에서, 개선된 챔버 세정 메커니즘을 제공하여 정전 척의 사용주기를 증가시키고, 기판 수율을 향상시키며, 제조 스루풋을 증가시키는 방법 및 장치의 필요성이 있다.
개요
넓게 말하면, 본 발명의 실시형태들은 개선된 챔버 세정 메커니즘을 제공함 으로써 이들 필요성을 충족한다. 또한, 본 발명의 실시형태들은 추가 스위치들을 제공하여 에칭 프로세스들을 조정한다. 본 발명은 프로세스, 장치, 또는 시스템과 같은 것을 포함한 다양한 방식들로 구현될 수 있음이 이해되어야 한다. 이하에서는 본 발명에 대한 몇몇 신규한 실시형태들을 서술한다.
일 실시형태에서, 플라즈마를 생성하도록 구성된 플라즈마 처리 챔버는 하부 전극을 갖는 하부 전극 어셈블리를 포함하고, 하부 전극은 기판을 수용하도록 구성된다. 또한, 플라즈마 처리 챔버는 상부 전극 및 상부 전극을 둘러싼 유도 코일을 갖는 상부 전극 어셈블리를 포함한다. 유도 코일은 플라즈마 처리 챔버 내에 정의된 영역 내에서 가스를 플라즈마로 변화시키도록 구성되고, 상기 영역은 하부 전극의 상부 표면 위에 정의된 영역 외측에 있다.
다른 실시형태에서, 플라즈마를 생성하도록 구성된 플라즈마 처리 챔버는 하부 전극을 갖는 하부 전극 어셈블리를 포함하고, 하부 전극은 기판을 수용하도록 구성된다. 또한, 플라즈마 처리 챔버는 상부 용량 전극, 상부 용량 전극 위에 배치되는 내부 유도 코일 및 외부 유도 코일을 포함한 상부 전극 어셈블리를 포함한다. 외부 유도 코일은 하부 전극의 주위의 외측에 정의되는 표면 상부에 배치된다. 상부 용량 전극은 하부 전극 바로 위에 배치된다. 하부 전극 및 상부 용량 전극은 제 1 가스를 제 1 플라즈마로 변환시키도록 구성된다. 외부 유도 코일은 제 2 가스를 제 2 플라즈마로 변환시키도록 구성된다.
또 다른 실시형태에서, 플라즈마 처리 챔버 내에 플라즈마를 생성하는 방법은 플라즈마 처리 챔버 내로 프로세스 가스를 공급하는 방법 동작들을 포함한다. 플라즈마를 생성하는 방법은 유도 코일에 전력을 공급하여 플라즈마를 생성하는 것을 포함한다. 유도 코일은 상부 전극 어셈블리의 일부이고, 상부 전극 어셈블리의 상부 전극의 주변을 둘러싼다. 또한, 유도 코일은 상부 용량 전극 밑에 배치되는 하부 전극의 주위의 외측에 정의된 표면 상부에 배치된다.
본 발명의 다른 양태들 및 이점들은 첨부된 도면을 참조하여 예시적 방식으로 본 발명의 원리를 서술하는 다음의 상세한 설명으로부터 명확하게 된다.
도면의 간단한 설명
본 발명은 첨부된 도면을 참조하여 다음의 상세한 설명에 의해 쉽게 이해되고, 동일한 참조 부호들은 동일한 구성 요소들을 나타낸다.
도 1a 는 기판 에칭 시스템의 일 실시형태의 개략적인 도면을 나타낸다.
도 1b 는 플라즈마 시스템 내에서 세정 플라즈마를 생성하는 프로세스 흐름을 도시한다.
도 1c 는 플라즈마 시스템 내에서 에칭 플라즈마를 생성하는 프로세스 흐름을 도시한다.
도 2 는 기판 에칭 시스템의 다른 실시형태의 개략적인 도면을 나타낸다.
도 3a 는 기판 에칭 시스템의 다른 실시형태의 개략적인 도면을 나타낸다.
도 3b 는 플라즈마 시스템 내에서 세정 플라즈마를 생성하는 프로세스 흐름을 도시한다.
도 3c 는 플라즈마 시스템 내에서 에칭 플라즈마를 생성하는 프로세스 흐름 을 도시한다.
도 4 는 기판 에칭 시스템의 또 다른 실시형태의 개략적인 도면을 나타낸다.
예시적 실시형태들의 상세한 설명
이하에서는 개선되고, 더욱 효과적이고 더욱 유연한 챔버 세정 및 에칭 시스템, 방법 및 장치를 위한 몇몇 예시적 실시형태들을 서술한다. 당업자에게는 본 명세서에서 개시되는 구체적 상세함의 일부 또는 전부 없이도 본 발명을 실시할 수도 있음이 명백하다.
이미 서술된 바와 같이, 각 기판을 에칭한 후 챔버 벽 표면 조건의 재현 가능성이 수율을 개선할 것이다. 효과적인 인-시튜 챔버 세정은 차세대 플라즈마 에칭 반응기를 위한 중요한 특성이 되었다. 본 발명의 일 실시형태는 기판 지지체를 둘러싼 제 2 플라즈마 소스를 제공한다. 플라즈마 챔버의 주변에 인접하게 배치되는 제 2 플라즈마 소스는 기판 에칭 후 챔버 세정 동작 동안 개시되어 기판 에칭 중에 에칭 부산물을 축적한 주변 챔버 하드웨어를 세정할 수 있다. 도 1a 는 기판 지지체를 둘러싼 제 2 플라즈마 소스를 갖는 플라즈마 프로세싱 장치 (100) 의 단면도를 나타낸다. 본 실시형태는 도전성 재료로 구성되는 하부 전극 (131) 을 포함하며, 여기서 하부 전극 (131) 은 RF (무선 주파수) 매치 (138) 및 RF 전원 공급부 (139) 와 동작 가능하게 결합된다. 또한, 하부 전극 (131) 은 기판 지지체이고, 하부 전극 어셈블리 (130) 의 일부이다. 일 실시형태에서, RF 전원 공급부 (139) 는 다중 주파수 전원 공급부이다. 예를 들어, 전원은 약 400 kHz 내지 약 60 MHz 인 범위의 주파수들의 혼합을 가질 수 있다. 플 라즈마는 하부 전극 (131) 으로부터 프로세스 챔버 내부의 가스까지 RF 전력이 전달되는 경우 생성된다. 일 실시형태에서, RF 전원 공급부는 약 1 와트 내지 약 10 와트까지인 RF 전력 레벨을 전달한다.
일 실시형태에서, 하부 전극 어셈블리 (130) 는 약 -20 ℃ 내지 약 70 ℃ 인 온도 범위로 기판을 냉각시킬 수 있는 내장형 냉각 메커니즘 (미도시) 을 갖는다. 기판 프로세싱 동안 플라즈마를 위한 추가적인 접지 경로를 제공하는 도전성 링 (133) 은 기판 지지체를 둘러싸고 있다. 하부 전극 (131) 과 도전성 링 (133) 간에서는 기판 지지체 및 도전성 링 (133) 을 절연시키는 유전체 링 (132) 이 있다.
제한하지 않는 예로서, 하부 전극 (131) 은 300 mm 기판을 수용하도록 적응되는 영역을 갖는다. 일 실시형태에서, 전력이 공급된 하부 전극 (131) 은 기판 (미도시) 을 수용하도록 구성되고, 기판 에칭 공정 동안 하부 전극 (131) 에 기판을 고정시키도록 구성된다. 기판은 공지된 시스템들 및 방법들을 이용하여 하부 전극과 정전기적으로 고정되거나 "척킹 (chucked)" 된다. 이들 공지된 시스템들 및 방법들은 척킹 (chucking) 및 디척킹 (dechucking) 목적으로 고전압 전극들 (미도시) 과 병합하는 유전체 재료로 하부 전극 (131) 을 코팅하는 것을 포함한다. 플라즈마 처리 장치 (100) 는 접지 (135) 를 통해 접지되는 챔버 벽들 (140) 을 포함한다. 접지 (135) 는 유전체 재료 (136) 에 의해 하부 전극 (131) 로부터 분리된다.
제 1 상부 전극 (111) 은 하부 전극 (131) 위로 짧은 거리에 배치된다. 일반적으로, 상부 전극 (111) 은 오염을 회피하도록 기판과 양립할 수 있는 재료로 이루어진다. 제 1 상부 전극 (111) 은 상부 전극 어셈블리 (110) 의 부분이며, 여기서 상부 전극 어셈블리 (110) 는 접지 (148) 에 연결되고 RF 전력을 위한 완전한 전기 회로를 제공한다. 제 1 상부 전극 (111) 은 하부 전극 (131) 의 표면 면적로부터 가변할 수도 있는 표면 면적을 갖는다. 상부 전극 어셈블리 (110) 는 챔버 커버 (117) 와 결합되고, 여기서 챔버 커버 (117) 는 접지 (118) 를 통해 접지된다. 제한하지 않는 예로서, 제 1 상부 전극 (111) 은 규소 또는 탄화규소와 같은 도전성 재료로 이루어진다. 또한, 제한하지 않는 예로서, 제 1 상부 전극 (111) 은 하부 전극으로부터 약 2 cm 내지 약 3 cm 곳에 위치된다.
유도 코일 (112) 로 이루어진 제 2 상부 전극 (112) 은 상부 전극 (111) 을 둘러싸고 있다. 유도 코일 (112) 는 유전체 재료 (113) 내에 매립된다. 코일 (112) 는 RF 매치 (126) 와 결합되고, 여기서 RF 매치 (126) 는 RF 전원 (127) 과 결합된다. 일 실시형태에서, RF 전원 (127) 은 혼합된 주파수 전력을 공급할 수 있다. RF 전원 (127) 의 전력의 주파수는 약 400 kHz 내지 약 26 MHz 인 범위의 단일 주파수 또는 다중 주파수일 수 있다. 일 실시형태에서, 유도 결합 플라즈마를 생성하는 전력은 약 0 와트 내지 약 2000 와트이다.
패러데이 차폐 (114, Faraday shield) 는 유전체 재료 (113) 의 아래에 배치된다. 패러데이 차폐 (114) 와 전기적 콘택을 행하여 하부 전극 (131) 으로부 터 RF 전력을 위한 접지 경로를 제공하는 도전성 블록 (115) 은 유전체 재료 (113) 를 둘러싸고 있다. 리세스된 유전체 링 (116) 은 패러데이 차폐 (114) 의 외부 에지를 둘러싸고 그 하부에 있다. 일 실시형태에서, 유전체 링 (116) 은 석영으로 이루어진다.
패러데이 차폐 (114) 는 임의의 전극으로부터 생기는 RF 전력에 RF 접지 경로를 제공한다. 또한, 패러데이 차폐 (114) 는 용량 결합 플라즈마 프로세싱 동안 챔버 내의 전압 강하가 유도 코일 (112) 의 도입과 대체하지 않도록 접지 면적 및 프로세스 챔버 내의 전력이 공급되는 면적 간의 면적 비율을 유지시키도록 돕는다. 동일한 면적 비율을 유지시키는 것은 심지어 유도 코일 (112) 의 도입의 경우에도 에칭 프로세스들을 일정하게 남아 있게 한다. 또한, 패러데이 차폐 (114) 는 유도 소스로부터 전기장을 막아 챔버 컴포넌트들의 스퍼터링을 회피하도록 유도 플라즈마 프로세싱 동안 유도 코일 (112) 으로부터의 용량 결합을 최소화시킨다. 패러데이 차폐를 어떻게 설계하는지에 대한 보다 상세함은 공통으로 양도된, 2002 년 08 월 30 일에 출원되고, 명칭이 "Faraday Shield Disposed within an Inductively Coupled Plasma Etching Chamber" 인 미국 특허 출원 제 10/232,564 호와, 2003 년 01 월 15 일에 출원되고, 명칭이 "Dual Interleaved Faraday Shields For An Inductively Coupled Plasma Etching Chamber" 인 미국 특허 출원 제 10/345,582 호에서 볼 수 있다. 챔버 세정 동안, 코일 (112) 은 RF 전원 (127) 에 의해 전력이 공급되어 영역 (150) 내에 유도 결합 플라즈마를 생성한다. 챔버 세정 동안, 도전성 링 (133) 은 접지되고, 하부 전극은 플로팅되 어; 결국, 영역 (150) 내의 유도 결합 플라즈마는 유도 코일 (112) 과 도전성 링 (133) 간에 주로 집중된다.
절연체 (120) 는 제 1 상부 전극 (111) 및 제 2 상부 전극 (112) 을 둘러싸고 있다. 플라즈마 한정 링들 (121, 122, 123) 은 절연체 (120) 하부에 있다. 하나 이상의 플라즈마 한정 링들이 있을 수 있음이 이해되어야 한다. 한정 링들 (121, 122, 123) 은 프로세싱 챔버 내에 생성되는 플라즈마에 대한 억제를 제공한다. 일 실시형태에서, 한정 링들 (121, 122, 및 123) 은 석영으로 이루어진다. 플라즈마 한정 링들에 대한 보다 상세함은 공통으로 양도된, 2004 년 06 월 01 일에 발행되고, 명칭이 "Plasma Processing Apparatus And Method For Confining An RF Plasma Under Very High Gas Flow and RF Power Density Conditions" 인 미국 특허 제 6,744,212 호와, 2005 년 03 월 29 일 발행되고, 명칭이 "Chamber Configuration For Confining A Plasma" 인 미국 특허 제 6,872,281 호에서 볼 수 있다.
가스 공급부 (128) 는 상부 전극 어셈블리 (110) 의 중앙과 결합된다. 가스 공급부 (128) 에 의해 프로세스 챔버 (100) 로 공급된 가스는 단일 가스 또는 둘 이상의 가스 혼합물일 수 있다. 일 실시형태에서, 일단 상부 전극 어셈블리 (110) 에 가스가 도달하는 경우, 가스 공급부 (128) 는 제 1 상부 전극 (111) 의 중앙 및 에지 모두로부터 프로세스 챔버로 가스를 공급한다. 또한, 일 실시형태에서, 제 1 상부 전극 (111) 은 가스 분배 샤워헤드이다. 제한하지 않는 예로서, 전체 가스 유량 (gas flow rate) 은 1500 sccm 까지이다. 또한, 일 실시 형태에서, 상부 전극 어셈블리 (110) 는 약 22 ℃ 내지 약 200 ℃ 로 될 상부 전극 (111) 의 온도를 유지하는데 사용될 수 있는 히터 플레이트 (미도시) 를 갖는다.
이미 기술된 바와 같이, 상부 전극 (111) 은 접지 (148) 를 통해 접지된다. 또한, 상부 전극 (111) 은 RF 전원 (미도시) 또는 DC 전원 (미도시) 에 결합될 수 있다. 제 1 상부 전극 (111) 과 하부 전극 (131) 간의 용량 결합 플라즈마를 위한 전원은 하부 전극 (131) 대신 제 1 상부 전극 (111) 와 결합된 전원으로부터 발생할 수 있다. 상부 전극 (111) 로부터 전력이 공급되는 경우, 하부 전극 (131) 은 접지된다. 또한, 상부 전극 및 하부 전극은 교대로 RF 전원을 공급할 수 있다. 또한, 예를 들어, 제 1 상부 전극 (111) 을 위한 RF 전원은 약 2 MHz, 27 MHz, 및 60 MHz 에서 주파수의 혼합을 가질 수 있다.
유도 플라즈마 소스는 챔버 벽 재료들의 어떤 현저한 스퍼터링 없이 주변 영역 (150) 에서 고밀도 플라즈마를 생성할 수 있다. 이미 기술된 바와 같이, 챔버 벽 재료들의 스퍼터링은 챔버를 오염시키거나 부품의 사용주기를 감소시킬 수 있다. 유도 플라즈마 소스는 에칭 프로세스 플라즈마와 접촉하는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 를 효과적으로 세정할 수 있다.
도 1b 는 챔버 세정 플라즈마를 위한 프로세스 흐름의 일 실시형태를 나타낸다. 공정 (161) 에서, O2, CF4, 등과 같은 챔버 세정 가스는 플라즈마 프로세스 챔버로 공급된다. 공정 (163) 에서, RF 전력은 플라즈마 프로세스 챔버 (100) 의 유도 코일 (112) 에 공급되어 챔버 세정 플라즈마를 생성한다. 이후, 챔버 세정 플라즈마는 챔버를 세정하는데 사용될 수 있다. 챔버 세정 동안, 하부 전극 (131) 은 플로우팅 상태이다. 영역 (150) 의 챔버 세정 플라즈마는 하부 전극 (131) 로부터 멀어지고 도 1a 의 유도 전극 (112) 과 도전성 링 (133) 간에 주로 배치된다.
통상 평행한 플레이트 용량 결합 플라즈마는 에칭 프로세스 동안 기판 (또는 웨이퍼) 의 에지 근방에서 플라즈마 밀도의 강하를 나타낸다. 유도 플라즈마 소스는 방사 균일 제어 스위치 (control knob) 를 제공한다. 유도 플라즈마는 에칭 프로세스 동안 턴-온되어 주 용량성 전력의 RF 접지 경로에 영향을 미치지 않는 기판의 에지에서 추가적인 플라즈마 밀도를 제공할 수 있다. 패러데이 차폐의 사용 때문에 RF 접지 경로가 영향을 받지 않음이 이해되어야 한다. 또한, 유도 소스 플라즈마는 고 플라즈마 밀도 및 기판 상에 매우 낮은 이온 에너지를 요구하는 프로세스들, 예를 들어, 로우-k 절연체 에칭를 위한 포토레지스트 스트리핑에 플라즈마를 제공할 수 있다. 따라서, 유도 소스 플라즈마의 사용은 반응기의 에칭 프로세스 윈도우를 증가시킬 수 있다.
도 1c 는 에칭 플라즈마를 생성하는 프로세스 흐름의 일 실시형태를 나타낸다. 공정 (171) 에서, 높은 종횡비 콘택 에칭 (HARC: high aspect ratio contact) 를 위한 Ar, C4F8, 및 O2, 또는 산화물 에칭을 위한 Ar, CF4, CHF3, 및 O2 와 같은 에칭 가스(들)는 플라즈마 처리 챔버로 공급된다. 프로세스 챔버로 에칭 가스가 들어간 후, 용량 전극 및 유도 전극에 RF 전력이 공급되어 공정 (173) 에서 에칭 플라즈마를 생성한다. 생성된 에칭 플라즈마는 용량 컴포턴트 및 유도 컴포넌트를 갖는다. 기판의 에지 근방의 유도 컴포넌트는 기판 에지에서 플라즈마 밀도를 증가시켜 위에서 서술된 바와 같이 기판의 에지에서 플라즈마 밀도의 강하를 보상할 수 있다. 따라서, 에칭 플라즈마는 기판의 에지에서 유도 컴포넌트의 추가에 의해 기판의 전역에 걸쳐 보다 균일하게 될 수 있다.
도 2 는 도 1 의 이전 실시형태 (100) 의 차이 (200) 를 도시한다. 유도 코일의 2 개의 세트들 (212A, 212B) 은 상부 전극 어셈블리 (210) 내에 배치된다. 유도 코일들의 2 개의 세트들은 내부 코일 (212A) 과 외부 코일 (212B) 로 이루어진다. 패러데이 차폐 (214) 는 내부 코일 (212A) 및 외부 코일 (212B) 을 피복하도록 전체 상부 전극 (211) 상에 배치된다. 패러데이 차폐 (214) 는 위에서 서술된 도 1a 의 패러데이 차폐 (114) 와 같이 유사한 기능들을 갖는다. 코일들 (212A, 212B) 의 모든 세트는 RF 매치 (226) 와 결합되고, 여기서, RF 매치 (226) 은 RF 전원 공급부 (227) 와 결합된다. 코일들의 2 개의 세트들 (212A, 242B) 의 배치에 따라, 프로세스 가스는 중앙 가스 공급부 (228B) 및 에지 가스 공급부 (228A) 를 통해, 샤워헤드일 수도 있는 상부 전극 (211) 에 공급된다. 도 2 의 다른 컴포넌트들은 도 1a 에 이미 언급된 것들과 유사하다.
챔버 세정 동안, 유도 코일 (212A 및 212B) 모두는 전력이 공급되거나 외부 코일 (212B) 에만 전력이 공급되어 세정 플라즈마를 생성한다. 챔버 세정 동안 코일 모두가 전력이 공급되는 경우, 전력의 소정 퍼센티지, 예를 들어 0% 내지 50% 는 내부 코일에 공급되나, 남은 전력, 예를 들어, 50% 내지 100% 는 외부 코일 (212B) 에 공급된다. 소프트 유도 플라즈마가 세정 동안 정전기 척에 손상을 입히지 않음이 이해되어야 한다. 또한, 추가 내부 유도 코일이 챔버 세정 프로세스를 위해 추가 프로세싱 조정 스위치를 제공함이 이해되어야 한다. 내부 코일 및 외부 코일은 상이한 주파수 또는 주파수 혼합에서 전력이 제공될 수 있다. 이러한 상황 하에서, 내부 코일 및 외부 코일은 분리된 전원 공급부들을 요구할 수 있을 것이다. 추가 전원 공급부는 추가될 수 있을 것이다.
일 실시형태에서, 영역 (250) 의 유도 플라즈마는 플라즈마 프로세스 챔버로 세정 가스 (또는 세정 가스 혼합물) 를 우선 공급하고, 이후 코일 (212B) 에 모든 전력을 공급하여 유도 코일들 (212A 및 212B) 에 전력을 공급함으로써 생성된다. 챔버 세정 공정 동안, 하부 전극 (231) 은 플로우팅으로 유지될 수도 있고, 도전성 링 (233) 은 접지된다.
위에서 서술된 바와 같이, 유도 플라즈마 소스는 챔버를 오염시키거나 부품의 사용주기를 감소시킬 수 있는, 챔버 벽 재료에 대한 어떤 현저한 스퍼터링 없이 주변 영역에서 고-밀도 플라즈마를 생성할 수 있다. 유도 플라즈마 소스는 에칭 프로세스 플라즈마와 접촉하는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 를 효과적으로 세정할 수 있다.
또한, 유도 코일들 (212A, 212B) 중 하나 또는 모두는 전력이 공급되고, 뿐만 아니라 용량 플라즈마 전력이 공급되어 에칭 플라즈마 균일도를 조정할 수 있다. 상부 전극 (211) 과 하부 전극 (231) 간에 생성되는 용량 결합 플라즈마뿐만 아니라, 유도 코일들 (212A, 212B) 은 또한 유도 플라즈마를 생성하도록 턴 온 되고, 에칭 프로세스 동안 기판에 걸쳐 플라즈마 균일성을 향상시키도록 조정될 수 있다. 통상 용량 결합 플라즈마의 경우, 플라즈마 밀도는 기판의 에지에서 낮다. 도 1a 의 유도 코일(들) (112) 및 도 2 의 외부 코일(들) (212B) 은 기판의 에지에서 이온 밀도를 상승시키게 도울 수 있다. 또한, 도 2 의 내부 코일(들) (212A) 및 외부 코일(들) (212B) 은 플라즈마 밀도를 조정하도록 동시에 사용될 수 있다. 위에서 언급된 바와 같이, 전력의 소정 퍼센티지는 내부 코일(들)에 공급되고, 나머지는 외부 코일(들) (212B) 에 공급될 수 있다. 도 2 의 내부 코일 (212A) 및 외부 코일 (212B) 은 에칭 프로세스들을 위해 추가 프로세스 조정 스위치를 제공한다. 몇몇 애플리케이션의 경우, 유도 코일 (내부, 외부, 또는 모두) 로부터의 유도 전력만이 유도 플라즈마를 생성하는데 이용된다.
RF 접지 경로는 패러데이 차폐 (214) 를 이용함에 의해 영향을 받지 않는다. 또한, 유도 소스 플라즈마는 높은 플라즈마 밀도 및 기판 상에 매우 낮은 이온 에너지를 요구하는 프로세스들, 예를 들어, 포토레지스트 스트립핑이나 로우-k 유전체 에칭에 플라즈마를 제공할 수 있다. 따라서, 유도 코일의 2 개의 세트들을 사용은 에칭 프로세스들에 대한 프로세스 윈도우를 증가시킨다. 도 2 에 도시된 실시형태에 의해 에칭 플라즈마를 생성하도록 프로세스 흐름은 단일 유도 전극이 이중 유도 전극들 (코일 (212A, 212B)) 로 교체되는 것을 제외하고 도 1 의 프로세스 흐름과 유사하다. 생성된 에칭 플라즈마는 용량 컴포넌트와 유도 컴포넌트를 구비한다. 유도 컴포넌트는 기판 전역에 걸쳐 플라즈마 균일성을 증 가시키도록 조정될 수 있다.
도 3a 에서는 현재 발명에서의 다른 실시형태 (300) 를 도시한다. 도 3a 에서, 외부 하부 전극 (335) 은 도전성 링 (333) 뿐만 아니라 내부 하부 전극 (331) 밑에 배치된다. 내부 하부 전극 (331) 은 기판을 지지하도록 구성된다. 외부 하부 전극 (335) 는 내부 하부 전극 (331) 과 같이 동일한 RF 전원 (339) 과 결합될 수 있고, 여기서, 내부 하부 전극 (331) 은 하부 전극 어셈블리 (330) 의 일부이다. RF 전원 (339) 은 약 400 kHz 내지 약 60 MHz 인 범위의 단일 주파수 또는 다수의 주파수들을 공급할 수 있다. RF 전원 (339) 은 내부 하부 전극 (331) 및 외부 하부 전극 (335) 에 전력을 공급하고, RF 매치 (338) 에 연결된다. 일 실시형태에서, 스위치 (336) 는 전극들에 대한 RF 전력의 애플리케이션을 제어한다. 기판 에칭 동안, 스위치 (336) 는 내부 하부 전극 (331) 에 공급될 RF 전력을 제어한다. 본 프로세스 동안, 외부 하부 전극 (335) 은 접지 (337) 또는 RF 조정 블록 키트 (357) 와 결합될 수 있다. RF 조정 블록 키트 (357) 는 전력 공급부에 의해 내부 하부 전극 (331) 에 공급된 RF 전력의 특정 주파수의 선택적인 접지를 가능케 한다. 예를 들어, 내부 하부 전극 (331) 에 공급된 RF 전력은 2 MHz, 27 MHz, 및 60 MHz 를 포함한다. RF 조정 블록 키트 (357) 에 의해 선택적인 접지는 60 MHz 와 같은 하나 또는 2 개의 특정 주파수들의 접지를 가능케 하여 에칭 프로세싱 균일성을 조정한다.
또한, 분리된 전원들은 내부 하부 전극 (331) 및 외부 하부 전극 (335) 에 동시에 또는 교대로 전력을 공급하는데 사용될 수 있다. 도 3a 의 다른 컴포넌 트들은 도 1a 에 이미 언급된 것들과 유사하다. 챔버 세정 동안, 전력이 외부 하부 전극 (335) 에 공급되어 주변 챔버를 세정하도록 영역 (350) 의 용량 결합 플라즈마를 제공한다. 영역 (350) 의 용량 결합 플라즈마는 상부 전극 (311) 및 외부 하부 전극 (335) 간에 생성된다. 세정 프로세스 동안, 하부 전극은 플로우팅 되어 있을 수도 있어; 따라서, 용량 결합 세정 플라즈마, 및 자신의 파괴적인 효과들은 노출된 내부 하부 전극 (331) (또는 정전 척) 으로부터 멀어지게 유지된다. 다음으로, 세정 플라즈마는 내부 하부 전극 (또는 정전 척) 을 공격하지 않고, 따라서 내부 하부 전극 (또는 정전 척) 의 사용주기를 연장한다. 또한, 이전 세정 기술들과 비교되는 바와 같이 하부 전극 (331) 으로부터 용량 결합 플라즈마를 이용하는 것처럼 많은 파티클들이 생성되지 않는다.
주변 용량 플라즈마 소스는 주변 영역 (350) 에서 고밀도 플라즈마를 생성한다. 용량 플라즈마 소스가 에칭 프로세스 플라즈마와 접촉하는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 을 효과적으로 세정할 수 있음이 이해되어야 한다.
도 3b 는 챔버 세정 플라즈마를 생성하는 프로세스 흐름의 일 실시형태를 도시한다. 공정 (361) 에서, O2, CF4, 등과 같은 챔버 세정 가스는 플라즈마 프로세스 챔버로 공급된다. 공정 (363) 에서, RF 전력은 플라즈마 프로세스 챔버의 외부 하부 전극에 공급되어 챔버 세정 플라즈마를 생성한다. 이후, 챔버 세정 플라즈마는 챔버를 세정하는데 사용될 수 있다. 챔버 세정 동안, 내부 하부 전 극은 플로우팅으로 유지된다. 주변 영역의 챔버 세정 플라즈마는 사실상 하부 전극으로부터 사실상 멀어지고, 외부 하부 전극과 상부 전극 간에 주로 배치된다.
또한, 주변 용량 플라즈마 소스는 방사 균일 제어 스위치를 포함하는 능력을 제공한다. 주변 용량 플라즈마는 에칭 프로세스 동안 턴 온 되어 주로 용량 결합 플라즈마를 위해 에지 근방의 에칭 플라즈마 플로세싱 균일성을 개선시킬 수 있다.
도 3c 는 에칭 플라즈마를 위한 프로세스 흐름의 일 실시형태를 나타낸다. 공정 (371) 에서, HARC 를 위한 Ar, C4F8, 및 O2 이나, 산화물 에칭을 위한 Ar, CF4, CHF3, 및 O2 과 같은 에칭 가스(들)는 플라즈마 처리 챔버로 공급된다. 공정 (373) 에서, RF 전력은 내부 하부 전극 (331) 및 외부 하부 전극 (335) 에 공급되어 에칭 플라즈마를 생성한다. 외부 하부 전극이 기판의 에지 근방에서 플라즈마 밀도를 증가시키도록 돕는 것이 이해되어야 한다.
본 발명의 다른 실시형태는 도 4 에 도시된다. 도 4 에서, 유도 코일에 의해 형성된 제 2 하부 전극 (435) 은 도전성 링 (433) 및 패러데이 차폐 (414) 밑에 배치된다. 제 2 하부 전극 (435) 은 제 1 하부 전극 (431) 에서 동일한 RF 전원과 결합될 수 있다. RF 전원 (439) 은 제 1 하부 전극 (431) 또는 제 2 하부 전극 (435) 에 RF 전력을 공급하고, 스위치 (436) 에 의해 제어된다. RF 전원 (439) 은 약 400 kHz 내지 약 60 MHz 인 범위의 단일 주파수 또는 다수의 주파수들을 제공할 수 있다. RF 전원 (439) 은 내부 하부 전극 (431) 및 외부 하 부 전극 (335) 에 전력을 공급하고, RF 매치 (438) 에 연결된다. 일 실시형태에서, 스위치 (436) 는 전극들에 대한 RF 전력의 애플리케이션을 제어한다. 기판 에칭 동안, 스위치 (436) 는 내부 하부 전극 (431) 에 공급될 RF 전력을 제어한다. 본 프로세스 동안, 외부 하부 전극 (335) 은 접지 (437) 나 RF 조정 블록 키트 (457) 와 결합될 수 있을 것이다. RF 전원 (439) 은 약 400 kHz 내지 약 60 MHz 인 범위의 단일 주파수 또는 다수의 주파수들을 공급할 수 있다. RF 전원 (439) 은 내부 하부 전극 (431) 과 외부 하부 전극 (435) 에 전력을 공급하고, RF 매치 (438) 에 연결된다. 일 실시형태에서, 스위치 (436) 는 전극들에 대한 RF 전력의 애플리케이션을 제어한다. 기판 에칭 동안, 스위치 (436) 는 내부 하부 전극 (431) 에 공급될 RF 전력을 제어한다. 본 프로세스 동안, 외부 하부 전극 (435) 은 접지 (437) 또는 RF 조정 블록 키트 (457) 와 결합될 수 있다.
또한, 분리된 RF 전원 공급부들은 하부 전극 (431) 또는 제 2 하부 전극 (435) 에 RF 전력을 제공하는데 사용될 수 있다. 기판 에칭 동안, 전력은 하부 전극 (431) 에 공급된다. 도 4 의 다른 컴포넌트들은 도 1a 에 이미 언급된 그들과 유사하다.
챔버 세정 동안, 세정 가스는 우선 플라즈마 프로세스 챔버에 공급된다. 이후, 전력은 제 2 하부 전극 (435) 에 공급되어 주변 챔버 하드웨어를 세정하도록 영역 (450) 내에 유도 결합 플라즈마를 공급된다. 영역 (450) 내에 유도 결합 플라즈마는 상부 전극 (411) 과 제 2 하부 전극 (435) 간에 생성되고, 챔버의 에지 근처에 주로 존재한다. 플라즈마가 챔버의 에지 근방에 있고, 유도 소스 (낮은 이온 에너지) 로부터 생성되기 때문에, 플라즈마는 하부 전극 (또는 정전 척) 을 많이 공격하지 않아 하부 전극 또는 정전 척의 사용 주기를 연장한다. 부가적으로, 플라즈마는 제 1 하부 전극 (431) 로부터의 용량 결합 플라즈마를 이용하는 것처럼 많은 파티클들을 생성하지 않는다.
위에서 서술된 바와 같이, 유도 플라즈마 소스는 챔버를 오염시키거나 부품의 사용주기를 감소시킬 수 있는, 챔버 벽 재료에 대한 어떤 현저한 스퍼터링 없이 주변 영역에서 고-밀도 플라즈마를 생성할 수 있다. 유도 플라즈마 소스는 이전 기술들의 유해한 효과들 없이 에칭 프로세스 플라즈마와 접촉하는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 를 효과적으로 세정할 수 있다.
통상 평행한 플레이트 용량 결합 플라즈마는 에칭 프로세스 동안 챔버의 에지 근처의 플라즈마 밀도의 강하를 나타낸다. 위에서 언급된 유도 플라즈마소스는 방사 균일 제어 스위치를 제공한다. 유도 플라즈마는 에칭 프로세스 동안 주 용량 전력의 RF 접지 경로에 대한 영향 없이 전극들의 에지에서 추가적 플라즈마 밀도를 제공하도록 턴 온 될 수 있다. 또한, 유도 소스 플라즈마는 높은 플라즈마 밀도 및 기판 상에 매우 낮은 이온 에너지를 요구하는 프로세스들, 예를 들어, 포토레지스트 스트립핑 또는 로우-k 절연체 에칭에 플라즈마를 제공할 수 있다. 결국, 엑스트라 주변 전극의 사용은 에칭 프로세스들을 위한 프로세스 윈도우들을 증가시킬 뿐만 아니라 에칭 공정들 간에 챔버를 보다 효과적으로 세정할 수 있다.
위에서 서술된 플라즈마 프로세스 챔버는 용량 및 유도 플라즈마 소스들의 조합에 의해 효과적인 챔버 세정에 따라 듀얼 다마신 다수-단계 프로세스들, 높은 종횡비 콘택 에칭 (HARC), 스트립 등과 같은 프로세스 애플리케이션의 광범위를 위한 플라즈마 밀도의 범위, 이온 에너지, 및 케미스트리 제어를 제공한다. 일 실시형태에서, 효과적인 챔버 세정은 차세대 파티클 제어를 위해 적용되어 수율을 개선하고 에칭 챔버에 사용되는 정전기 척들의 사용주기를 연장할 수 있다.
위에서 서술된 플라즈마 프로세스 챔버는 기판 상의 프로세스 파라미터들에 대한 방사상으로 균일하게 제어하는 스위치들을 제공한다. 다수-단계 방법들을 이용하는 프로세스 애플리케이션들은 광범위한 중앙 대 에지 균일성을 생산하는 프로세스 압력, RF 전력, 및 케미스트리들의 범위를 수반한다. 인-시튜 제어 스위치들의 이용 가능성은 피처 사이즈가 계속 줄어듦에 따라 다수-단계 방법들을 이용하는 프로세스 애플리케이션들에 대한 촘촘한 균일성을 유지하기 위한 유연성을 제공한다.
상기 발명이 이해의 명료함을 위해 일부 상세하게 서술되었지만, 소정 변경 및 변화가 첨부된 청구범위의 범위 내에서 실행될 수도 있음이 명백하다. 따라서, 본 실시형태들은 제한적이지 않고 예시로서 고려되고, 본 발명은 본 명세서에서 주어진 상세함에 제한되지 않으나, 첨부된 청구범위의 범위 및 균등물 내로 변경될 수도 있다.

Claims (24)

  1. 플라즈마를 생성하도록 구성된 플라즈마 처리 챔버로서,
    기판을 수용하도록 구성된 하부 전극을 갖는 하부 전극 어셈블리; 및
    상부 전극 및 상기 상부 전극을 둘러싼 유도 코일을 갖는 상부 전극 어셈블리를 포함하고,
    상기 유도 코일은 상기 플라즈마 처리 챔버 내에 정의된 영역 내에서 가스를 플라즈마로 변환시키도록 구성되고, 상기 영역은 상기 하부 전극의 상부 표면 위에 정의된 영역 외측에 있는, 플라즈마 처리 챔버.
  2. 제 1 항에 있어서,
    상기 유도 코일 밑에 배치되는 패러데이 차폐 (Faraday shield) 를 더 포함하는, 플라즈마 처리 챔버.
  3. 제 1 항에 있어서,
    상기 플라즈마가 사실상 배치되는 체적을 둘러싼 복수의 한정 링들을 더 포함하고,
    상기 복수의 한정 링들은 상기 플라즈마 처리 챔버 내의 상기 하부 전극에 평행하게 매달려 있는, 플라즈마 처리 챔버.
  4. 제 1 항에 있어서,
    상기 하부 전극을 둘러싼 도전성 링을 더 포함하고,
    상기 도전성 링은 유전체 링에 의해 상기 하부 전극으로부터 분리되는, 플라즈마 처리 챔버.
  5. 제 1 항에 있어서,
    상기 유도 코일에 RF 전원이 결합되어, 약 400 kHz 내지 약 27 MHz 인 범위의 단일 주파수 또는 다수의 주파수들을 갖는 RF 전력을 공급함으로써 상기 플라즈마를 생성하는, 플라즈마 처리 챔버.
  6. 제 1 항에 있어서,
    상기 유도 코일은 유전체 재료에 의해 상기 상부 전극으로부터 분리되는, 플라즈마 처리 챔버.
  7. 제 1 항에 있어서,
    상기 플라즈마는 챔버 세정 플라즈마인, 플라즈마 처리 챔버.
  8. 제 1 항에 있어서,
    상기 상부 전극은 접지와 결합되는, 플라즈마 처리 챔버.
  9. 제 1 항에 있어서,
    상기 상부 전극, 상기 유도 코일, 및 상기 하부 전극은 에칭 가스(들)를 에칭 플라즈마로 변환시키도록 구성되는, 플라즈마 처리 챔버.
  10. 제 9 항에 있어서,
    상기 유도 코일, 및 상기 상부 전극 또는 상기 하부 전극 중 어느 하나는 상기 에칭 가스(들)를 상기 에칭 플라즈마로 변환시키도록 RF 전력이 공급되는, 플라즈마 처리 챔버.
  11. 플라즈마를 생성하도록 구성된 플라즈마 처리 챔버로서,
    기판을 수용하도록 구성된 하부 전극을 갖는 하부 전극 어셈블리; 및
    상부 용량 전극, 상기 상부 용량 전극 위에 배치된 내부 유도 코일 및 외부 유도 코일을 포함하는 상부 전극 어셈블리를 포함하며,
    상기 외부 유도 코일은 상기 하부 전극의 주위의 외측에 정의된 표면 상부에 배치되고, 상기 상부 용량 전극은 상기 하부 전극 바로 위에 배치되고, 상기 하부 전극 및 상기 상부 용량 전극은 제 1 가스를 제 1 플라즈마로 변환시키도록 구성되며, 상기 외부 유도 코일은 제 2 가스를 제 2 플라즈마로 변환시키도록 구성되는, 플라즈마 처리 챔버.
  12. 제 11 항에 있어서,
    상기 내부 유도 코일 및 상기 외부 유도 코일 밑에 배치되는 패러데이 차폐 (Faraday shield) 를 더 포함하는, 플라즈마 처리 챔버.
  13. 제 11 항에 있어서,
    상기 제 1 플라즈마 또는 상기 제 2 플라즈마가 사실상 배치되는 체적을 둘러싼 복수의 한정 링들을 더 포함하고,
    상기 복수의 한정 링들은 상기 플라즈마 처리 챔버 내의 상기 하부 전극에 평행하게 매달려 있는, 플라즈마 처리 챔버.
  14. 제 11 항에 있어서,
    상기 하부 전극을 둘러싼 도전성 링을 더 포함하고,
    상기 도전성 링은 유전체 링에 의해 상기 하부 전극으로부터 분리되고, 플라즈마 프로세싱 동안 접지되는, 플라즈마 처리 챔버.
  15. 제 11 항에 있어서,
    상기 제 2 플라즈마는 상기 플라즈마 처리 챔버 내에 정의된 영역 내에 사실 상 배치되고,
    상기 영역은 상기 하부 전극의 상부 표면 위에 정의된 영역 외측에 있는, 플라즈마 처리 챔버.
  16. 제 15 항에 있어서,
    상기 제 2 플라즈마는 챔버 세정 플라즈마이고, 상기 제 2 플라즈마가 생성될 때 상기 하부 전극은 접지되는, 플라즈마 처리 챔버.
  17. 제 11 항에 있어서,
    상기 외부 유도 코일에 RF 전원이 결합되어, 약 400 kHz 내지 약 27 MHz 인 범위의 단일 주파수 또는 다수의 주파수들을 갖는 RF 전력을 공급함으로써 상기 제 2 가스를 상기 제 2 플라즈마로 변환시키는, 플라즈마 처리 챔버.
  18. 제 11 항에 있어서,
    상기 하부 전극 및 상기 상부 용량 전극은 상기 제 1 가스를 상기 제 1 플라즈마로 변환시키도록 RF 전력이 공급되고, 상기 제 1 가스가 상기 제 1 플라즈마로 변환될 때 상기 내부 유도 코일 및 상기 외부 유도 코일도 또한 RF 전력이 공급되는, 플라즈마 처리 챔버.
  19. 제 18 항에 있어서,
    상기 상부 용량 전극 또는 상기 하부 전극 중 어느 하나에 RF 전원이 결합되고, 상기 내부 유도 코일 및 상기 외부 유도 코일에 다른 RF 전원이 결합되는, 플라즈마 처리 챔버.
  20. 플라즈마 처리 챔버 내에 플라즈마를 생성하는 방법으로서,
    상기 플라즈마 처리 챔버 내로 프로세스 가스를 공급하는 공정,
    상부 전극 어셈블리의 일부이며, 상기 상부 전극 어셈블리의 상부 전극의 주변을 둘러싸는 유도 코일에 전력을 공급하여 플라즈마를 생성하는 공정을 포함하고,
    상기 유도 코일은 또한 상부 용량 전극 밑에 배치된 하부 전극의 주위의 외측에 정의된 표면 상부에 배치되는, 플라즈마를 생성하는 방법.
  21. 제 20 항에 있어서,
    상기 플라즈마를 생성하는 공정은 상기 하부 전극에 전력이 도달하는 것을 방지하는 것과 상기 하부 전극을 플로우팅 상태로 유지시키는 것을 포함하는, 플라즈마를 생성하는 방법.
  22. 제 20 항에 있어서,
    상기 플라즈마는 챔버 세정 플라즈마이고, 사실상 상기 하부 전극의 표면 외 측에 배치되는, 플라즈마를 생성하는 방법.
  23. 제 20 항에 있어서,
    상기 플라즈마를 생성하는 공정 동안, 상기 상부 전극은 접지되는, 플라즈마를 생성하는 방법.
  24. 제 20 항에 있어서,
    상기 유도 코일 밑에 패러데이 차폐 (Faraday shield) 가 배치되어 상기 하부 전극으로부터의 전력에 대한 RF 접지 경로를 제공하고 상기 유도 코일로부터의 용량 결합을 억제하는, 플라즈마를 생성하는 방법.
KR1020087021066A 2006-02-27 2008-08-27 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원 KR101342319B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/363,703 US8911590B2 (en) 2006-02-27 2006-02-27 Integrated capacitive and inductive power sources for a plasma etching chamber
US11/363,703 2006-02-27
PCT/US2007/004224 WO2007100528A2 (en) 2006-02-27 2007-02-16 Integrated capacitive and inductive power sources for a plasma etching chamber

Publications (2)

Publication Number Publication Date
KR20080106417A true KR20080106417A (ko) 2008-12-05
KR101342319B1 KR101342319B1 (ko) 2013-12-16

Family

ID=38442879

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087021066A KR101342319B1 (ko) 2006-02-27 2008-08-27 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원

Country Status (8)

Country Link
US (1) US8911590B2 (ko)
EP (1) EP1989007A4 (ko)
JP (1) JP5215875B2 (ko)
KR (1) KR101342319B1 (ko)
CN (1) CN101426949B (ko)
SG (2) SG10201405522RA (ko)
TW (2) TWI447807B (ko)
WO (1) WO2007100528A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160004408A (ko) * 2014-06-30 2016-01-13 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2020023784A1 (en) * 2018-07-25 2020-01-30 Lam Research Corporation Magnetic shielding for plasma sources

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
JP4904202B2 (ja) * 2006-05-22 2012-03-28 ジーイーエヌ カンパニー リミッテッド プラズマ反応器
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
WO2009009606A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for centering a substrate in a process chamber
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
CN114752386A (zh) * 2013-03-28 2022-07-15 得凯莫斯公司弗罗里达有限公司 氢氟烯烃蚀刻气体混合物
EP2849204B1 (de) * 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasmaerzeugungsvorrichtung
US20150318150A1 (en) * 2014-04-30 2015-11-05 Lam Research Corporation Real-time edge encroachment control for wafer bevel
CN106548914B (zh) * 2015-09-17 2018-10-30 中微半导体设备(上海)有限公司 一种等离子体处理设备及其清洗系统和方法
CN106611691B (zh) * 2015-10-26 2018-10-12 中微半导体设备(上海)有限公司 多频脉冲等离子体处理装置及其处理方法和清洗方法
CN106920726B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 等离子体处理装置及其清洗方法
CN107154332B (zh) * 2016-03-03 2019-07-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及方法
CN107369604B (zh) * 2016-05-12 2019-10-11 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
CN108227413B (zh) * 2016-12-15 2023-12-08 中微半导体设备(上海)股份有限公司 一种光刻胶去除装置及其清洗方法
JP7072572B2 (ja) 2016-12-27 2022-05-20 エヴァテック・アーゲー Rf容量結合二重周波数エッチング反応器
CN109524324B (zh) * 2017-09-19 2021-01-26 长鑫存储技术有限公司 半导体刻蚀设备
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10784091B2 (en) * 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
CN113113280B (zh) * 2020-01-09 2022-06-10 江苏鲁汶仪器有限公司 等离子体处理系统及其开合法拉第组件
CN114496693A (zh) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 多区加热装置、下电极组件、等离子处理装置及调温方法
JP2024519442A (ja) * 2021-04-09 2024-05-14 ジュスン エンジニアリング カンパニー リミテッド 基板の処理方法及び基板の処理装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
TW296534B (ko) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5810937A (en) * 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
JP3676919B2 (ja) 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
JP3296292B2 (ja) * 1998-06-26 2002-06-24 松下電器産業株式会社 エッチング方法、クリーニング方法、及びプラズマ処理装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6518190B1 (en) 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
TW473909B (en) * 2000-07-03 2002-01-21 Nanya Technology Corp Method for forming deep trenches in semiconductor wafers
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP2004083983A (ja) * 2002-08-26 2004-03-18 Applied Materials Inc Ti膜形成方法
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160004408A (ko) * 2014-06-30 2016-01-13 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2020023784A1 (en) * 2018-07-25 2020-01-30 Lam Research Corporation Magnetic shielding for plasma sources
US11177067B2 (en) 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources

Also Published As

Publication number Publication date
EP1989007A4 (en) 2010-09-22
WO2007100528A2 (en) 2007-09-07
TW201203359A (en) 2012-01-16
CN101426949B (zh) 2015-05-27
SG10201405522RA (en) 2014-10-30
SG170030A1 (en) 2011-04-29
TW200802591A (en) 2008-01-01
CN101426949A (zh) 2009-05-06
KR101342319B1 (ko) 2013-12-16
WO2007100528A3 (en) 2008-10-23
JP5215875B2 (ja) 2013-06-19
US20070199658A1 (en) 2007-08-30
TWI460785B (zh) 2014-11-11
EP1989007A2 (en) 2008-11-12
TWI447807B (zh) 2014-08-01
JP2009528676A (ja) 2009-08-06
US8911590B2 (en) 2014-12-16

Similar Documents

Publication Publication Date Title
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
KR101455954B1 (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
KR101526020B1 (ko) 플라즈마 프로세싱 챔버 및 이의 내에서 기판의 베벨 에지 및 챔버 내부를 세정하는 방법
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
EP1474264B1 (en) A plasma processing apparatus and method
KR20080106427A (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
EP1446825A1 (en) Apparatus and method for improving etch rate uniformity

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171129

Year of fee payment: 5