KR20080080612A - A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device - Google Patents

A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device Download PDF

Info

Publication number
KR20080080612A
KR20080080612A KR1020087016306A KR20087016306A KR20080080612A KR 20080080612 A KR20080080612 A KR 20080080612A KR 1020087016306 A KR1020087016306 A KR 1020087016306A KR 20087016306 A KR20087016306 A KR 20087016306A KR 20080080612 A KR20080080612 A KR 20080080612A
Authority
KR
South Korea
Prior art keywords
layer
resist
vapor deposition
metal
ald
Prior art date
Application number
KR1020087016306A
Other languages
Korean (ko)
Inventor
빔 베슬링
소나리스 천
Original Assignee
엔엑스피 비 브이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔엑스피 비 브이 filed Critical 엔엑스피 비 브이
Publication of KR20080080612A publication Critical patent/KR20080080612A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Abstract

There is described a method of forming a barrier layer (6, 110) over a surface of a copper line (3, 107) embedded in a dielectric material (2, 100) in an interconnect structure for a semiconductor device. The barrier layer (6, 110) is selectively deposited over the surface of the copper line (3, 107) by a vapour deposition step and the surface of the dielectric material (2, 100) is treated prior to the vapour deposition step to inhibit deposition of the barrier layer (6, 110) there on during the vapour deposition step. Preferably, the vapour deposition step comprises atomic layer deposition.

Description

반도체 장치용 구조체에서 제 2 물질에 내장된 제 1 물질 표면 위의 층 형성 방법{A METHOD OF FORMING A LAYER OVER A SURFACE OF A FIRST MATERIAL EMBEDDED IN A SECOND MATERIAL IN A STRUCTURE FOR A SEMICONDUCTOR DEVICE}A method of forming a layer on a surface of a first material embedded in a second material in a structure for a semiconductor device.

본 발명은 반도체 장치용 구조체에서 제 2 물질에 내장된 제 1 물질의 표면 위의 층 형성 방법에 관한 것이다. 그러나 특히 본 발명은 상호 접속 구조체에서 유전체에 내장된 금속선을 덮는 확산 장벽(barrier)를 형성하는 방법에만 관한 것이다.The present invention relates to a method of forming a layer on the surface of a first material embedded in a second material in a structure for a semiconductor device. However, in particular, the present invention relates only to a method of forming a diffusion barrier in an interconnect structure covering a metal wire embedded in a dielectric.

집적 회로 금형을 위한 표준 구리 상호접속 통합 구성에서는, 유전체 장벽이 화학적 기계 연마(CMP) 단계 후의 레벨간 유전체(ILD) 상부 및 구리선 위의 전면(full sheet)에 증착된다. 장벽은 1) 유전체로의 구리 확산을 방지하고, 2) 비아 에칭 저지선으로서 기능하는 두 가지 역할을 갖는다.In a standard copper interconnect integration configuration for an integrated circuit mold, a dielectric barrier is deposited over the interlevel dielectric (ILD) after the chemical mechanical polishing (CMP) step and on the full sheet over the copper wire. The barrier has two roles: 1) to prevent copper diffusion into the dielectric, and 2) to function as a via etch stop.

공지의 SiN 및 SiC 장벽의 일반적인 문제는 구리선과 덮여 있는 장벽 사이의 인터페이스가 약한 것이다. 이 약한 인터페이스는 감소된 일렉트로마이그레이션 저항 때문에 초기 신뢰도 부족을 초래한다. 또한, 구리선 상부 근방에서 전기장 집중이 가장 커서, 국소 구리 마이그레이션 및 압력 유도 보이딩(voiding)을 향상시킨다.A common problem with known SiN and SiC barriers is the weak interface between the copper wire and the covered barrier. This weak interface leads to a lack of initial reliability due to the reduced electromigration resistance. In addition, the electric field concentration is closest to the upper portion of the copper wire, thereby improving local copper migration and pressure induced voiding.

집적 회로를 제조하는 동안에만 선택된 위치에 물질을 증착시킬 수 있는 것은, 증착 영역을 규정하기 위해 고가의 패터닝 단계의 필요성을 없애기 때문에 유리하다. 예컨대, Cu 이중 상감 처리에 앞서, 이른바 자가 정렬 장벽(SAB)이 금속선을 덮기 위한 유전체 장벽막을 대체할 것이 예상된다. SAB(CoWP 등)는 주로 일렉트로마이그레이션 저항을 개선하고 인접하는 금속선 사이의 용량성 커플링을 감소시키기 위해 적용된다.The ability to deposit material at selected locations only during fabrication of integrated circuits is advantageous because it obviates the need for expensive patterning steps to define the deposition area. For example, prior to Cu double damascene treatment, a so-called self-aligned barrier (SAB) is expected to replace the dielectric barrier film for covering the metal line. SAB (CoWP, etc.) is mainly applied to improve electromigration resistance and reduce capacitive coupling between adjacent metal wires.

자가정렬 장벽에 대한 현재의 통합 구성은 덮여야 할 금속 표면의 촉매 활성화에 근거한 무전해 프로세스(electroless process)에 선택적으로 이용된다. 우선, 촉매, 일반적으로 팔라듐은 금속선에 주로 증착되고, 실질적으로 무전해도금욕(electroless plating bath)에서 선 위에 장벽이 증착된다. 팔라듐은 선 위의 장벽의 선택적인 자가정렬 성장을 촉진시킨다. 사용중 선으로부터의 과도한 전류 누설을 피하기 위해, 금속선 사이의 증착된 임의의 팔라듐은 정확히 제거되어야 한다. 구리에 대한 팔라듐 활성화가 100% 선택적인 것은 아니기 때문에, 활성화 및 세정 단계는 통합에 매우 중요하다. 이 접근법에서는, 표면의 금속 부분을 촉매 작용에 반응시키는 것에 의해 선택성이 달성된다. 금속막만이 금속 표면의 상부에 증착될 수 있는 것이 이 접근법의 단점이다. Current integrated schemes for self-aligning barriers are optionally used in electroless processes based on catalytic activation of metal surfaces to be covered. First, the catalyst, generally palladium, is mainly deposited on metal wires, and a barrier is deposited on the wires in a substantially electroless plating bath. Palladium promotes selective self-aligned growth of barriers on the line. To avoid excessive current leakage from the line during use, any palladium deposited between metal lines must be removed correctly. Since palladium activation for copper is not 100% selective, the activation and cleaning steps are very important for integration. In this approach, selectivity is achieved by reacting the metal part of the surface to catalysis. The disadvantage of this approach is that only a metal film can be deposited on top of the metal surface.

공지의 무전해 CoWP 증착 프로세스는, 캐패시턴스의 임의의 이득이 부분적으로 손실되게 하는 선 높이의 증가를 초래한다. 또한, 무전해 성장막은 수평 방향 으로 성장하는 경향이 있고 이에 따라 유전체 공간을 감소시킨다. 이것은 선 사이의 용량성 커플링의 증가로 이어져 신뢰도를 감소시킨다. 또한 이들 프로세스는 금속선 사이의 금속 증착을 일으켜 누설 전류 특성의 저하를 초래할 수도 있다.Known electroless CoWP deposition processes result in an increase in line height that causes any gain in capacitance to be partially lost. In addition, the electroless growth film tends to grow in the horizontal direction, thereby reducing the dielectric space. This leads to an increase in capacitive coupling between lines, which reduces the reliability. These processes may also cause metal deposition between metal wires, leading to degradation of leakage current characteristics.

또한 당업계에서는 구리선 위에 금속 TaN/Ta 장벽을 증착하기 위해 물리적 증착(PVD)을 이용하는 것이 알려져 있다. 지금까지 두 가지 다른 접근법이 알려져 있다. 간단히 말하면, 첫번째 접근법은 구리선 사이의 금속 장벽을 제거하기 위해 금속 장벽의 리소그래픽 패터닝을 포함하는 것이다. 두번째 접근법은 구리선에 오목부를 생성하여 이들 영역을 장벽으로 채우는 것을 포함하는 것이다. 첫번째 접근법에서는 값비싼 여분의 마스킹 단계가 필요하다. 또한, 어떤 정렬불량 오류는 선의 한쪽에서 완전한 장벽 제거를 초래한다. 두번째 접근법에서는, CMP 과연마(over polish) 또는 습식 화학적 에칭에 의해 구리선에 오목부를 생성한다. 그 후 PVD 장벽은 유전체 및 금속선 위에 증착된다. 두번째 CMP 단계에서, 필드 위의 장벽은 구리선의 오목부 위의 TaN/Ta 장벽 캡을 남기고 제거된다. 이 접근법의 단접은 1) Cu 오목부는 특별히 서로 다른 선폭으로 제어하기 어렵고, 2) Cu 에치백은 저항성을 증가시키는 Cu 공간을 소비하며, 3) 두번의 CPM 단계에는 비용이 많이 들고, 4) 에치백 단계는 금속간 유전체의 저유전율 특성(low-k property)을 확산/파괴할 수 있다는 것이다.It is also known in the art to use physical vapor deposition (PVD) to deposit metal TaN / Ta barriers over copper wire. To date, two different approaches are known. In short, the first approach involves lithographic patterning of the metal barrier to remove the metal barrier between the copper wires. The second approach involves creating recesses in the copper wire to fill these areas with barriers. The first approach requires expensive extra masking steps. Also, some misalignment errors result in complete barrier removal on one side of the line. In a second approach, recesses are created in the copper wire by CMP over polish or wet chemical etching. The PVD barrier is then deposited over the dielectric and metal wires. In the second CMP step, the barrier on the field is removed leaving the TaN / Ta barrier cap on the recess of the copper wire. The single junction of this approach is: 1) Cu recesses are particularly difficult to control with different line widths, 2) Cu etchback consumes Cu space to increase resistivity, 3) costly in two CPM steps, and 4) The chipback step is to spread / break the low-k properties of the intermetallic dielectric.

유전체 상의 금속막 또는 비금속막 또는 금속을 선택적으로 성장시키고, 적어도 상술한 문제 중 일부를 경감시키는 새로운 선택적 증착 프로세스를 제공하는 것이 바람직하다.It is desirable to provide a new selective deposition process that selectively grows a metal film or nonmetal film or metal on the dielectric and alleviates at least some of the problems discussed above.

본 발명에 따르면, 반도체 장치용 구조체에서 제 2 물질에 내장된 제 1 물질의 표면 위에 층을 형성하는 방법으로서, 기상 증착 단계에 의해 제 1 물질의 표면 위에 층을 선택적으로 증착하고, 기상 증착 단계 동안 제 2 물질 상에 층이 증착되지 않도록 제 2 물질의 표면을 기상 증착 단계 이전에 처리한다.According to the present invention, a method of forming a layer on a surface of a first material embedded in a second material in a structure for a semiconductor device, the method comprising selectively depositing a layer on the surface of the first material by a vapor deposition step, and vapor deposition step The surface of the second material is treated prior to the vapor deposition step so that no layer is deposited on the second material during.

바람직한 실시예에서는, 기상 증착 단계는 원자층 증착 단계를 포함한다.In a preferred embodiment, the vapor deposition step comprises an atomic layer deposition step.

처리 단계는 제 2 물질의 표면을 친수성(hydrophilic) 표면에서 소수성(hydrophobic) 표면으로 변환한다.The treatment step converts the surface of the second material from a hydrophilic surface to a hydrophobic surface.

일 실시예에서, 처리 단계는 유전체인 제 2 물질의 표면을 친수성 표면에서 소수성 표면으로 변환한다.In one embodiment, the treating step converts the surface of the dielectric, second material, from a hydrophilic surface to a hydrophobic surface.

처리 단계 이전에 제 2 물질의 표면은 실질적으로 수산기로 종결되고, 처리 단계 이후에 제 2 물질의 표면은 실질적으로 메틸기로 종결된다.Prior to the treatment step the surface of the second material is substantially terminated with hydroxyl groups and after the treatment step the surface of the second material is substantially terminated with methyl groups.

이것은 제 2 물질의 표면을 헥사메틸 디실라잔(HMDS) 증기에 노출시키는 것에 의해 달성될 수 있다.This can be accomplished by exposing the surface of the second material to hexamethyl disilazane (HMDS) vapor.

또 다른 실시예에서 제 2 물질의 표면은 산성 세정, 예컨대 HF 습식 세정 또는 HF 증기 세정으로 처리되어 표면을 소수성으로 되게 한다.In another embodiment the surface of the second material is treated with acidic cleaning, such as HF wet cleaning or HF steam cleaning, to render the surface hydrophobic.

또 다른 실시예에서, 처리 단계는, 제 1 물질의 표면 및 제 2 물질의 표면 위에 레지스트 물질층을 증착하고, 리소그래픽 플럭스로 레지스트 물질층을 조사하여, 제 1 물질에 의한 플럭스의 반사로 인해, 제 2 물질보다 실질적으로 위에 존재하는 레지스트 물질의 하나 이상의 영역보다 먼저, 제 1 물질보다 실질적으로 위에 존재하는 레지스트 물질의 영역에 현상되도록 하며, 제 2 물질보다 실질적으로 위에 존재하는 레지스트 물질의 하나 이상의 영역을 제거하지 않으면서 제 1 물질보다 실질적으로 위에 존재하는 레지스트 물질의 영역을 제거하는 것을 포함한다.In another embodiment, the treating step deposits a layer of resist material on the surface of the first material and on the surface of the second material and irradiates the layer of resist material with lithographic flux, due to reflection of the flux by the first material One of the resist materials present substantially above the second material, prior to developing at least one region of the resist material present substantially above the second material; And removing the region of the resist material which is substantially above the first material without removing the above region.

본 발명은 특히 반도체 장치용 상호 접속 구조체에서 금속선 위의 확산 장벽층의 증착에 유용하다.The invention is particularly useful for the deposition of diffusion barrier layers over metal lines in interconnect structures for semiconductor devices.

이하 첨부 도면을 참조하여 본 발명의 실시예를 예로서 설명한다.Embodiments of the present invention will be described below by way of example with reference to the accompanying drawings.

도 1(a)~1(f)는 반도체 장치용 구조체의 제조 과정을 나타내는 개략도,1 (a) to 1 (f) are schematic diagrams illustrating a manufacturing process of a structure for a semiconductor device;

도 2는 표면에 증착될 HMDS 증기를 나타내는 도면,2 shows the HMDS vapor to be deposited on the surface;

도 3은 다양한 표면 타입에 대해 Ta의 양을 ALD에 의해 증착된 주기의 수의 함수로서 나타내는 그래프,3 is a graph showing the amount of Ta as a function of the number of cycles deposited by ALD for various surface types,

도 4는 서로 다른 표면 처리의 함수로서 ALD 막의 표면 적용 범위를 나타내는 그래프, 4 is a graph showing the surface coverage of an ALD film as a function of different surface treatments,

도 5(a)~5(k)는 반도체 장치용 구조체의 제조 과정을 나타내는 개략도이다.5 (a) to 5 (k) are schematic diagrams illustrating a manufacturing process of a structure for a semiconductor device.

본 발명의 바람직한 실시예에서는, 원자층 증착(Atomic Layer Deposition, ALD)이 반도체 기판의 금속 상호 접속선 상에 장벽층을 선택적으로 증착시키는 데 사용된다. ALD는 증착 상태에 있어 균일하고, 적합하며, 매우 제어 용이한 것으로 알려져 있는 증착 기술이다. ALD 기술은 동시에 웨이퍼 표면과 반응하는 가스 상태의 반응물(또는 전구체)의 순차적인 펄스를 수반한다. 전구체 펄스 중에 이용가능한 표면 반응 장소에서의 반응물의 흡수에 의해 층의 성장이 스스로 제한된다. ALD는 거의 웨이퍼 표면에서의 리간드(ligand)의 밀도, 이용 가능성, 접근성 및 ALD 반응물과의 반응성에 의존한다.In a preferred embodiment of the present invention, atomic layer deposition (ALD) is used to selectively deposit the barrier layer on the metal interconnects of the semiconductor substrate. ALD is a deposition technique known to be uniform, suitable and very controllable in the deposition state. ALD technology involves sequential pulses of gaseous reactants (or precursors) that simultaneously react with the wafer surface. The growth of the layer is self limiting by the absorption of reactants at the surface reaction sites available during the precursor pulse. ALD depends largely on the density of ligands, availability, accessibility and reactivity with ALD reactants at the wafer surface.

선택적 원자층 증착은 흡수 표면을 국부적으로 비활성화하는, 즉, 흡수 표면의 선택된 부분을 공급된 전구체와 화학적으로 반응하지 않게 하는 것에 의해 가능해진다. Selective atomic layer deposition is made possible by locally deactivating the absorbing surface, i.e., preventing selected portions of the absorbing surface from chemically reacting with the supplied precursor.

많은 ALD 전구체는 유전체 표면에 자연히 존재하는 리간드에 기반한 수산기 및 아민 등의 친수성 그룹에 대해 매우 반응성이 높다. ALD 적용 이전에, 유전체 표면의 이들 친수성 그룹은 ALD 전구체에 반응하지 않는 소수성 그룹으로 변환된다. 따라서, ALD가 적용되면, 국부 성장이 유전체 표면 위에는 발생하지 않고, 금속 표면 위에만 발생한다.Many ALD precursors are very reactive to hydrophilic groups such as hydroxyl groups and amines based on ligands naturally present on the dielectric surface. Prior to ALD application, these hydrophilic groups on the dielectric surface are converted to hydrophobic groups that do not react to the ALD precursor. Thus, when ALD is applied, local growth does not occur on the dielectric surface, but only on the metal surface.

도 1(a)~1(f)를 참조하면, 부분 형성된 이중 상감 구조체(1)는 유전체층(2), 유전체층(2)에 형성된 금속비아(3), 유전체층(2)과 금속비아(3)를 분리하는 금속 확산 장벽(4)을 구비한다. 이 구조체(1)는 표준 실시에 따라 형성되어도 좋다.Referring to FIGS. 1A-1F, the partially formed double inlay structure 1 includes a dielectric layer 2, a metal via 3 formed in the dielectric layer 2, a dielectric layer 2, and a metal via 3. It has a metal diffusion barrier (4) to separate the. This structure 1 may be formed according to standard practice.

표준 실시에 따라 금속 비아(3)가 증착되고, 화학적 기계 연마(CMP)가 실행된 후에, 부식 방지제(5)가 플라즈마 처리, 예컨대 플라즈마 처리에 기초한 수소에 의해 제거된다. After the metal vias 3 are deposited in accordance with standard practice and chemical mechanical polishing (CMP) is carried out, the corrosion inhibitor 5 is removed by plasma treatment, for example hydrogen based plasma treatment.

플라즈마 처리는 소수성 그룹, 예컨대, 리간드에 기초한 수산기 또는 아민에 노출시키고, 유전체층(2)이 종결된다. 바람직한 실시예에서는, 유전체층(2)을 헥사메틸 디실라잔(HMDS) 증기에 노출시킴으로써 노출된 수산기가 부동태화/비활성화된다. 도 2에 도시하는 바와 같이, HMDS 증기(20)는 유전체 표면에서 Si-메틸 리간드(23)로 수산기(21)를 대체하고, ALD 중 사용된 통상의 온도에서 여러가지 ALD 전구체에 대해 반응하지 않는다.Plasma treatment exposes to hydrophobic groups such as hydroxyl-based amines or amines, and the dielectric layer 2 terminates. In a preferred embodiment, the exposed hydroxyl groups are passivated / deactivated by exposing the dielectric layer 2 to hexamethyl disilazane (HMDS) vapor. As shown in FIG. 2, HMDS vapor 20 replaces hydroxyl group 21 with Si-methyl ligand 23 at the dielectric surface and does not react to various ALD precursors at the normal temperatures used in ALD.

그리고 원자층 증착은 200~275℃ 사이의 온도 구간에서 펜타키스-디메틸아미도탄탈륨(PDMAT) 및 NH3을 전구체로 이용하여 실행되는 것이 바람직하다. 모든 반응 장소의 포화 상태를 허용하기 위해 전구체 노출 시간은 일반적으로 펄스당 0.5초 이상이다. 40주기 근방의 노출 후에 금속 비아에서 두께가 대략 2nm인 Ta3N5 장벽(6)이 얻어지고, 이것은 씌우는 목적을 위해 충분하다. 금속에 대한 선택성이 크기 때문에 금속선은 실질적으로 장벽(6)으로 덮일 수 있다. 유전체 상의 금속선 사이에 장벽(6a)은 매우 적은 양만이 증착된다(<1e15at/cm2).In addition, atomic layer deposition is preferably performed using pentakis-dimethylamidotantalum (PDMAT) and NH 3 as precursors in a temperature range between 200 and 275 ° C. The precursor exposure time is generally at least 0.5 seconds per pulse to allow saturation of all reaction sites. After exposure near 40 cycles, a Ta 3 N 5 barrier 6 of approximately 2 nm in thickness is obtained in the metal via, which is sufficient for the purpose of covering. Because of the high selectivity to the metal, the metal wire can be substantially covered with the barrier 6. Only a very small amount of barrier 6a is deposited between the metal lines on the dielectric (<1e15at / cm2).

장벽의 성장 상태는 표면 위의 반응 그룹의 밀도에 달려 있다. 도 3에 도시되어 있는 바와 같이, 20 내지 100 주기의 순차적 전구체 노출 후, Cu 표면에 증착된 Ta의 양은 메틸화된, 예컨대 CVD SiOC 타입 물질에서보다 50 내지 20배 더 큰 것이 관찰됐다.The growth state of the barrier depends on the density of the reaction groups on the surface. As shown in FIG. 3, after 20-100 cycles of sequential precursor exposure, the amount of Ta deposited on the Cu surface was observed to be 50-20 times greater than in methylated, eg, CVD SiOC type materials.

전구체 흡수의 선택성은 SiOC 표면의 반응성 표면 그룹의 양이 적은 것(현저하게 반응하지 않는 메틸 그룹의 존재)에 기인한다. 그러나, 성장의 초기 단계 동 안 구리에 비해 적은 양의 전구체 분자가 메틸화된 표면에 화학흡착되고, 따라서, ALD 프로세스의 선택성이 설명될 것이다. SiOC에 적용되는 주기의 수가 크면, 이미 증착된 물질에 증착이 두드러지게 일어날 것이고 섬 형상의 성장 상태가 발생할 것이다. 활성화된 표면 그룹의 초기 밀도가 낮으면, 섬이 서로 닿기 전에 주기의 수를 크게 할 것이다. 도 4에서, 표면 적용 범위는 서로 다른 표면 전처리의 기능으로서 ALD 막을 나타낸다. 아르곤 또는 수소 플라즈마의 적용은 초기 흡수 장소의 수를 증가시킬 수 있다. 임의의 플라즈마 표면 처리를 피하는 동안은 주기당 성장률은 낮아질 것이다.The selectivity of precursor absorption is due to the low amount of reactive surface groups on the SiOC surface (the presence of methyl groups that do not react significantly). However, during the initial stages of growth, less precursor molecules are chemisorbed on the methylated surface compared to copper, thus the selectivity of the ALD process will be explained. If the number of cycles applied to the SiOC is large, the deposition will predominantly occur on the already deposited material and island-like growth conditions will occur. Lower initial densities of activated surface groups will increase the number of cycles before the islands touch each other. In Figure 4, the surface coverage shows ALD membranes as a function of different surface pretreatments. Application of argon or hydrogen plasma can increase the number of initial absorption sites. The growth rate per cycle will be low while avoiding any plasma surface treatment.

다시 도 1(a)~1(f)를 참조하면, 전위 누설 경로의 형성을 피하기 위해 최종 HF 침지는 유전체에 증착된 임의의 장벽(6a)을 제거하는 데 이용된다. 마지막으로 제 1 유전체층(2) 및 장벽(6) 위에 유전체층(7)이 표준 방식으로 더 증착된다.Referring again to FIGS. 1A-1F, the final HF immersion is used to remove any barrier 6a deposited on the dielectric to avoid the formation of potential leakage paths. Finally, a dielectric layer 7 is further deposited in a standard manner over the first dielectric layer 2 and the barrier 6.

HMDS를 이용하지 않는 또 다른 실시예에서는, 유전체층(2)은 사실상 소수성이지만, 예컨대 플라즈마 처리의 결과로서 친수성 표면을 갖는다. 이 실시예에서는, 장벽(6)의 증착 전에, 산, 예컨대 HF 습식 세정 또는 증기 세정으로 유전체층(2)을 처리하여 유전체층(2)의 표면을 소수성으로 되게 한다. 그 후 상술한 바와 같이 금속선 위에 장벽(6)을 선택적으로 증착할 수 있다.In another embodiment without using HMDS, dielectric layer 2 is in fact hydrophobic, but has a hydrophilic surface, for example as a result of plasma treatment. In this embodiment, the dielectric layer 2 is treated with an acid such as HF wet cleaning or steam cleaning prior to deposition of the barrier 6 to render the surface of the dielectric layer 2 hydrophobic. The barrier 6 can then be selectively deposited over the metal wire as described above.

이런 식으로 ALD 장벽으로 선을 덮으면 여러 가지 이점이 있는데, 특히 소수성 표면에 비해 금속성 표면 위의 ALD 장벽은 선택성이 우수하다. ALD의 부가적인 이점은 성장률의 원자 단계에서의 제어 및 증착 프로세스의 적합성을 포함한다. 또한, CoWP에 비해 매우 얇은 장벽을 사용할 수 있어, 형태 및 캐패시턴스 증가가 최소화된다. 또한 장벽의 성장도 최소화된다.Covering the line with the ALD barrier in this way has several advantages, particularly the ALD barrier on the metallic surface with good selectivity compared to hydrophobic surfaces. Additional advantages of ALD include the suitability of the deposition and control process at the atomic stage of growth rate. In addition, very thin barriers can be used compared to CoWP, minimizing form and capacitance increase. The growth of barriers is also minimized.

이제 본 발명의 또 다른 실시예인, 장벽 증착용 자가정렬 개구 영역을 생성하기 위해 반사 금속선 위에 국부 레진 현상에 기반한 프로세스를 설명할 것이다. 자가정렬된 레지스트 현상은 금속선 및 금속간 유전체층의 반사율 차이를 이용한다. 마스크레스 조명(maskless illumination) 단계 동안, 금속 레진 인터페이스에서의 광 반사("국소 이중 노출")로 인해 현상의 문턱(threshold)은 국소적으로 금속선 위에 도달한다. 현상의 문턱 도우즈는, 금속선 위에 걸쳐 얻어지고 광이 흡수되는 금속선 사이에서 불충분하도록 조명 도우즈가 조정된다. 현상 문턱에 도달하여 레지스트가 제거될 수 있도록 되는 바람직한 레지스트는 표준의 193nm 네거티브 레지스트이다. 레지스트 제거 후에 선택적인 금속 또는 유전체 장벽이 바람직하게는 ALD 증착 방법을 이용하여 선택적으로 증착된다. 잔여 레지스트는 ALD 전구체에 대해 반응하지 않아, 장벽 성장은 주로 금속선 위에서만 발생한다.Now, another embodiment of the present invention will be described a process based on local resin phenomena on reflective metal lines to create self-aligned opening regions for barrier deposition. Self-aligned resist development takes advantage of the difference in reflectance between the metal wire and the intermetallic dielectric layer. During the maskless illumination step, the threshold of development reaches locally over the metal line due to light reflection ("local double exposure") at the metal resin interface. The threshold dose of development is adjusted over the metal wire so that the illumination dose is insufficient between the metal wires where light is absorbed. A preferred resist that is capable of reaching the development threshold and allowing the resist to be removed is a standard 193 nm negative resist. After resist removal, an optional metal or dielectric barrier is preferably deposited using an ALD deposition method. Residual resist does not react to the ALD precursor, so barrier growth mainly occurs above the metal line.

도 5(a)~5(k)를 참고하여 이 프로세스를 더 상세히 설명한다. 상호 접속 구조체를 형성하는 제 1 단계에서는, 반사 방지 유전체 물질층(101)은 유전체(100), 예컨대 저유전율 절연체(low-K insulator)의 층 위에 증착된다. 반사방지 유전체 물질, 예컨대, 바닥 반사방지 코팅재(bottom anti-reflecting coatings, BARC)가 포토리소그래픽 광 흐름을 반사하는 것이 아니라 실질적으로 흡수하는 물질로 잘 알려져 있다. 그후 하드마스크(102)는 반사방지 유전체 물질층(101) 위에 표준 방식으로 증착된다.This process will be described in more detail with reference to Figs. 5 (a) -5 (k). In a first step of forming the interconnect structure, an antireflective dielectric material layer 101 is deposited over a layer of dielectric 100, such as a low-K insulator. Antireflective dielectric materials, such as bottom anti-reflecting coatings (BARC), are well known as materials that substantially absorb rather than reflect photolithographic light flow. The hard mask 102 is then deposited in a standard manner over the antireflective dielectric material layer 101.

마스크(103)는 표준 레지스트 회전 단계에서 하드마스크(102)에 레지스트 네 거티브의 패턴(104)을 증착시키기 위해 이용하고, 포토리소그래피 노출이 실행된다.Mask 103 is used to deposit a pattern of resist negative 104 on hardmask 102 in a standard resist rotation step, and photolithography exposure is performed.

레지스트 패턴은 표준 방식으로 하드마스크(102)를 통해 전사되어 하나 이상의 비아(105)를 형성하고, 예컨대 플라즈마 에칭에 의해 레지스트 패턴(104)이 제거된다.The resist pattern is transferred through the hard mask 102 in a standard manner to form one or more vias 105, and the resist pattern 104 is removed by, for example, plasma etching.

확산 장벽층(106)은 비아(105)의 측벽 및 하드마스크(102) 위에 표준 방식으로 형성된다. 다음으로, 금속층(107), 본 예에서는 구리가 비아(105)를 채우도록 증착된다. 이것은, 초기 구리 시드층을 증착한 후 전기화학적 도금에 의해 비아(105)를 구리로 채우는 것에 의해 표준 방식으로 달성된다.The diffusion barrier layer 106 is formed in a standard manner over the sidewalls of the vias 105 and the hardmask 102. Next, a metal layer 107, in this example copper, is deposited to fill the vias 105. This is accomplished in a standard manner by depositing the initial copper seed layer and then filling the vias 105 with copper by electrochemical plating.

비아(105) 위의 구리가 과도해지면, 하드마스크(102) 위의 장벽층(106)의 일부 및 하드마스크(102) 자체가 화학 기계 연마(CMP)에 의해 표준 방식으로 제거된다.If the copper over the via 105 becomes excessive, a portion of the barrier layer 106 over the hard mask 102 and the hard mask 102 itself are removed in a standard manner by chemical mechanical polishing (CMP).

이것에 후속하여, 종래의 193nm의 네거티브 레지스트층(108)이 반사방지 유전체 물질층(101) 및 구리(107) 위에 증착된다. 다음으로, 네거티브 레지스트층(108)이 마스크를 사용하지 않고 리소그래피 광 플럭스(109)에 노출된다. 도 6(h)에 도시하는 바와 같이, 플럭스는 층(108)에 실질적으로 수직으로 입사한다. 네거티브 레지스트층(108) 전체에 걸쳐 이동하고 구리선(107)으로 입사하는 플럭스는 층(108)을 통해 다시 반사된다. 그러나, 네거티브 레지스트층(108)을 통해 이동하고 반사 방지 유전체 물질(101)로 입사하는 플럭스는 그 물질에 의해 흡수된다. 그 결과, 실질적으로 구리 비아(107) 바로 위에 있는 네거티브 레지스트 층(108)의 영역은 실질적으로 반사 방지 유전체 물질(101) 바로 위에 있는 네거티브 레지스트층(108)의 영역보다 더 유동하도록 노출된다. 입사광 플럭스(109)의 강도 및 지속 기간은, 네거티브 레지스트층(108)용 현상 문턱이 실질적으로 구리선(107) 바로 위에 있는 부분에는 도달하지만 실질적으로 반사 방지 유전체 물질(101) 바로 위에 있는 부분에는 도달하지 않도록 선택된다.Following this, a conventional 193 nm negative resist layer 108 is deposited over the antireflective dielectric material layer 101 and copper 107. Next, the negative resist layer 108 is exposed to the lithographic light flux 109 without using a mask. As shown in FIG. 6 (h), the flux enters the layer 108 substantially perpendicularly. Flux that travels across the negative resist layer 108 and enters the copper line 107 is reflected back through the layer 108. However, flux that travels through the negative resist layer 108 and enters the antireflective dielectric material 101 is absorbed by that material. As a result, the area of the negative resist layer 108 that is substantially directly above the copper vias 107 is exposed to flow more than the area of the negative resist layer 108 that is substantially above the antireflective dielectric material 101. The intensity and duration of the incident light flux 109 reaches a portion where the development threshold for the negative resist layer 108 is substantially directly above the copper wire 107 but substantially above the antireflective dielectric material 101. It is chosen not to.

다음으로, 표준 방식으로, 구리선(107)이 드러나게 하기 위해 현상되는 레지스트층(108)의 부분이 제거된다. 그 후 구리선(107) 위에 장벽층(110)을 선택적으로 증착하기 위해 ALD가 이용된다. 레지스트층(108)의 영역은 ALD 전구체에 반응하지 않고 이들 영역 위에는 성장이 거의 또는 전혀 발생하지 않는다. ALD를 적용하기 위한 조건은 도 1 내지 4를 참조하여 상술한 실시예와 동일하게 할 수 있고, 즉, 200 내지 275℃ 사이의 온도 구간에서 펜타키스-디메틸아미도탄탈륨(PDMAT) 및 NH3을 전구체로 이용하여, 일반적으로 펄스당 0.5초 이상의 전구체 노출 시간으로 할 수 있다.Next, in a standard manner, the portion of the resist layer 108 developed to expose the copper wire 107 is removed. ALD is then used to selectively deposit barrier layer 110 over copper wire 107. The regions of the resist layer 108 do not react to the ALD precursor and little or no growth occurs over these regions. The conditions for applying the ALD, see Figures 1 to 4 may be the same as the above-described embodiment, that is, pentakis in the temperature range between 200 to 275 ℃ - to FIG tantalum (PDMAT) and NH 3 dimethylamido When used as a precursor, it can generally be made into the precursor exposure time of 0.5 second or more per pulse.

이후에, 예컨대 플라즈마 에칭 또는 습식 화학적 수단에 의해 레지스트층(108)의 잔여 영역이 제거되고, 장벽(110)은 구리선(107) 위에 국소적으로 남겨져, 장벽은 작은 측면 돌출 부분만을 갖는다.Thereafter, the remaining regions of the resist layer 108 are removed, for example by plasma etching or wet chemical means, and the barrier 110 is left locally over the copper wire 107 so that the barrier has only small lateral protrusions.

마지막으로, 또다른 유전체층(도시하지 않음)을 제 1 유전체층(100) 및 장벽(110) 위에 표준 방식으로 증착할 수 있다.Finally, another dielectric layer (not shown) may be deposited over the first dielectric layer 100 and the barrier 110 in a standard manner.

이 접근법에 의하면 약간만 측면 성장이 이루어진 장벽이 잘 정렬되고 잘 규 정된다. 전위 누설 경로의 형성을 피하기 위해 선택적 장벽 증착 후에 레지스트 및 임의의 잔여물을 쉽게 제거할 수 있다. 또한, 알려진 접근법에서와 같이 SiC 또는 SiCN 캡핑 및/또는 에칭 저지층 및 USG 하드마스크를 사용하지 않기 때문에 금속 비아간의 캐패시턴스가 감소된다. 더욱이, 이 접근법에 의하면 선의 완전 금속 피복 때문에 유전체 신뢰성 및 일렉트로마이그레이션 수명에 대해 상당한 개선이 이루어진다.According to this approach, the barriers with only minor lateral growth are well aligned and well defined. The resist and any residues can be easily removed after selective barrier deposition to avoid the formation of potential leakage paths. In addition, the capacitance between metal vias is reduced because no SiC or SiCN capping and / or etch stop layer and USG hardmask are used, as in known approaches. Moreover, this approach results in significant improvements in dielectric reliability and electromigration lifetime due to the full metal coating of the wire.

본 발명의 실시예에서 ALD 대신 다른 기상 증착 기술 예컨대 CVD가 이용될 수 있음이 인식될 것이다. 상술한 실시예는 상호 접속 구조체의 확산 장벽의 증착에 관한 것이지만, 본 발명의 실시예는 반도체 장치의 다양한 구조에서 다른 형태의 층을 증착하도록 이용될 수도 있음이 인식될 것이다.It will be appreciated that other vapor deposition techniques such as CVD may be used instead of ALD in embodiments of the present invention. While the above embodiments relate to the deposition of diffusion barriers in interconnect structures, it will be appreciated that embodiments of the present invention may be used to deposit other types of layers in various structures of semiconductor devices.

따라서 본 발명은 바람직한 실시예를 참조하여 설명되고, 본 실시예는 대표적인 것일 뿐이며, 첨부된 청구항 및 그에 상당하는 것에 설명되어 있는 바와 같이, 당업자에게 본 발명의 정신 및 범위 내에서 수정과 변경 등을 가할 수 있는 것이 이해될 것이다. 청구항에서는, 괄호안의 어떤 참조부호도 청구항을 제한하는 것으로 해석되지 않아야 할것이다. "구비하는", 및 "구비한다" 등의 단어는 전체적으로 임의의 청구항 또는 명세서에 열거된 것이 아닌 구성 요소 또는 단계의 존재를 배제하지 않는다. 단일의 구성 요소의 부호는 복수의 구성 요소의 부호를 배제하지 않는다.Therefore, the present invention will be described with reference to the preferred embodiments, the embodiments are only representative, and as described in the appended claims and their equivalents, those skilled in the art can make modifications and changes within the spirit and scope of the invention. It will be understood that it can be added. In the claims, any reference signs placed between parentheses shall not be construed as limiting the claim. The words "including", "including", and the like do not exclude the presence of elements or steps that are not listed in any claim or in the specification as a whole. The sign of a single component does not exclude the sign of a plurality of components.

당업자는 본 발명의 범위 내에서 본 발명의 상세한 설명에 개시된 여러가지 파라미터가 수정될 수 있고, 개시 및/또는 청구된 여러가지 실시예가 조합될 수 있 음을 쉽게 인식할 것이다.Those skilled in the art will readily recognize that various parameters disclosed in the detailed description of the invention may be modified, and that various embodiments disclosed and / or claimed may be combined within the scope of the invention.

Claims (19)

반도체 장치용 구조체에서의 제 2 물질에 내장된 제 1 물질 표면 위에 층을 형성하는 방법으로서, A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device, the method comprising: 기상 증착 단계에 의해 상기 제 1 물질의 표면 위에 상기 층을 선택적으로 증착하고, Selectively depositing the layer on the surface of the first material by a vapor deposition step, 상기 기상 증착 단계 동안 상기 제 2 물질 상에 상기 층이 증착되지 않도록, 상기 제 2 물질의 표면을 상기 기상 증착 단계 이전에 처리하는 층 형성 방법.And treating the surface of the second material prior to the vapor deposition step such that the layer is not deposited on the second material during the vapor deposition step. 제 1 항에 있어서,The method of claim 1, 상기 기상 증착 단계는 원자층 증착 단계(ALD:atomic layer deposition)를 포함하는 층 형성 방법.The vapor deposition step includes atomic layer deposition (ALD). 제 1 항에 있어서,The method of claim 1, 상기 처리 단계는 상기 제 2 물질의 표면을, 상기 기상 증착 단계에서 사용된 적어도 하나의 화학적 성분(chemical component)에 실질적으로 반응하지 않도록 하는 층 형성 방법.And wherein said treating step causes said surface of said second material to be substantially insensitive to at least one chemical component used in said vapor deposition step. 제 3 항에 있어서,The method of claim 3, wherein 상기 처리 단계는 상기 제 2 물질의 표면을 친수성(hydrophilic) 표면에서 소수성(hydrophobic) 표면으로 변환하는 층 형성 방법.Said treating step converts the surface of said second material from a hydrophilic surface to a hydrophobic surface. 제 1 항에 있어서,The method of claim 1, 상기 처리 단계 이전에 상기 제 2 물질의 표면은 실질적으로 수산기로 종결되고(terminates in hydroxyl groups), 상기 처리 단계 이후에 상기 제 2 물질의 표면은 실질적으로 메틸기로 종결되는 층 형성 방법.And wherein the surface of the second material is substantially terminated in hydroxyl groups before the treatment step and the surface of the second material is substantially terminated with a methyl group after the treatment step. 제 1 항에 있어서,The method of claim 1, 상기 처리 단계는 상기 제 2 물질의 표면을 HMDS 증기에 노출시키는 층 형성 방법.Said treating step exposes a surface of said second material to HMDS vapor. 제 1 항에 있어서,The method of claim 1, 상기 처리 단계는 상기 제 2 물질의 표면을 세정하는(cleaning) 단계를 포함하는 층 형성 방법.Wherein said treating step comprises cleaning the surface of said second material. 제 7 항에 있어서,The method of claim 7, wherein 상기 세정 단계는 산성 습식 세정(an acidic wet clean) 또는 산성 증기 세정(an acidic vapor clean)을 포함하는 층 형성 방법.The cleaning step comprises an acidic wet clean or an acidic vapor clean. 제 8 항에 있어서,The method of claim 8, 상기 세정 단계는 HF 습식 세정 또는 HF 증기 세정을 포함하는 층 형성 방법.Wherein said cleaning step comprises HF wet cleaning or HF steam cleaning. 제 1 항에 있어서,The method of claim 1, 상기 처리 단계는 상기 제 2 물질의 표면 위에, 상기 기상 증착 단계에서 사용된 적어도 하나의 성분에 반응하지 않는 제 3 물질을 증착하는 단계를 포함하는 층 형성 방법.Said treating step comprises depositing a third material on the surface of said second material that does not react to at least one component used in said vapor deposition step. 제 1 항에 있어서,The method of claim 1, 상기 처리 단계는, The processing step, 상기 제 1 물질의 표면 및 상기 제 2 물질의 표면 위에 레지스트 물질의 층 을 증착하고, Depositing a layer of resist material on the surface of the first material and the surface of the second material, 리소그래픽 플럭스로 상기 레지스트 물질의 층을 조사하여, 상기 제 1 물질에 의한 상기 플럭스의 반사로 인해, 상기 제 2 물질보다 실질적으로 위에 존재하는 상기 레지스트 물질의 하나 이상의 영역보다 먼저, 상기 제 1 물질보다 실질적으로 위에 존재하는 상기 레지스트 물질의 한 영역이 현상(develop)되도록 하며, Irradiating the layer of resist material with a lithographic flux, prior to the one or more regions of the resist material that are substantially above the second material, due to reflection of the flux by the first material More substantially allowing a region of the resist material present above to develop, 상기 제 2 물질보다 실질적으로 위에 존재하는 상기 레지스트 물질의 하나 이상의 영역을 제거하지 않으면서 상기 제 1 물질보다 실질적으로 위에 존재하는 상기 레지스트 물질의 상기 영역을 제거하는 Removing the region of the resist material that is substantially above the first material without removing one or more regions of the resist material that are substantially above the second material 층 형성 방법.Layer formation method. 제 11 항에 있어서,The method of claim 11, 상기 제 2 물질과 상기 레지스트 물질의 층 사이에 반사방지 물질의 층이 배치되는 층 형성 방법.And a layer of antireflective material disposed between the second material and the layer of resist material. 제 1 항에 있어서,The method of claim 1, 상기 제 1 물질은 금속인 층 형성 방법.And the first material is a metal. 제 13 항에 있어서,The method of claim 13, 상기 금속은 구리인 층 형성 방법.And the metal is copper. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 14, 상기 제 2 물질은 유전체인 층 형성 방법.And the second material is a dielectric. 제 15 항에 있어서,The method of claim 15, 상기 제 2 물질은 초저유전율 물질(ultra low k material)인 층 형성 방법.And the second material is an ultra low k material. 제 1 항에 있어서,The method of claim 1, 상기 층은 확산 장벽인 층 형성 방법.Wherein said layer is a diffusion barrier. 제 2 항에 있어서,The method of claim 2, ALD 중 사용된 전구체(precursor)는 PDMAT 및 NH3인 층 형성 방법.Precursors used in ALD are PDMAT and NH 3 . 제 1 항에 있어서,The method of claim 1, 상기 구조체는 상호 접속 구조체(interconnect structure)인 층 형성 방법.And the structure is an interconnect structure.
KR1020087016306A 2005-12-07 2006-12-04 A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device KR20080080612A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP05301019.5 2005-12-07
EP05301019 2005-12-07

Publications (1)

Publication Number Publication Date
KR20080080612A true KR20080080612A (en) 2008-09-04

Family

ID=37944289

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087016306A KR20080080612A (en) 2005-12-07 2006-12-04 A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device

Country Status (7)

Country Link
US (1) US20090197405A1 (en)
EP (1) EP1961042A2 (en)
JP (1) JP2009518844A (en)
KR (1) KR20080080612A (en)
CN (1) CN101326630B (en)
TW (1) TW200729394A (en)
WO (1) WO2007066277A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101318506B1 (en) * 2009-07-14 2013-10-16 도쿄엘렉트론가부시키가이샤 Film-forming method
KR20140080454A (en) * 2012-12-20 2014-06-30 램 리써치 코포레이션 Porous dielectrics k value restoration by thermal treatment and or solvent treatment
KR20170125740A (en) * 2016-05-05 2017-11-15 에이에스엠 아이피 홀딩 비.브이. Selective deposition using hydrophobic precursors
KR102124612B1 (en) 2019-03-14 2020-06-18 최진욱 Air cleaning system

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7998864B2 (en) * 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
JP5820267B2 (en) 2008-03-21 2015-11-24 プレジデント アンド フェローズ オブ ハーバード カレッジ Self-aligned barrier layer for wiring
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
KR101770537B1 (en) 2009-10-23 2017-08-22 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Self-aligned barrier and capping layers for interconnects
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
CN104835778B (en) * 2014-02-08 2017-12-05 中芯国际集成电路制造(上海)有限公司 A kind of preparation method of semiconductor devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
CN105575881B (en) * 2014-10-11 2018-09-21 中芯国际集成电路制造(上海)有限公司 A kind of production method of semiconductor devices
US9659864B2 (en) * 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
JP2017222928A (en) * 2016-05-31 2017-12-21 東京エレクトロン株式会社 Selective accumulation by surface treatment
US10580644B2 (en) * 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
KR102271771B1 (en) * 2017-05-25 2021-07-01 삼성전자주식회사 Method of forming thin film and method of manufacturing integrated circuit device
CN109037482A (en) * 2018-08-03 2018-12-18 武汉华星光电半导体显示技术有限公司 The preparation method and OLED display of thin-film encapsulation layer
JP7311628B2 (en) * 2019-04-30 2023-07-19 マトソン テクノロジー インコーポレイテッド Selective deposition using methylation treatment

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6506675B1 (en) * 1999-07-09 2003-01-14 Kabushiki Kaisha Toshiba Copper film selective formation method
US20040126482A1 (en) * 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US6844258B1 (en) * 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
JP2008522423A (en) * 2004-12-01 2008-06-26 エヌエックスピー ビー ヴィ Method for forming an interconnect structure on an integrated circuit die
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101318506B1 (en) * 2009-07-14 2013-10-16 도쿄엘렉트론가부시키가이샤 Film-forming method
US9293417B2 (en) 2009-07-14 2016-03-22 Tokyo Electron Limited Method for forming barrier film on wiring line
KR20140080454A (en) * 2012-12-20 2014-06-30 램 리써치 코포레이션 Porous dielectrics k value restoration by thermal treatment and or solvent treatment
KR20170125740A (en) * 2016-05-05 2017-11-15 에이에스엠 아이피 홀딩 비.브이. Selective deposition using hydrophobic precursors
KR102124612B1 (en) 2019-03-14 2020-06-18 최진욱 Air cleaning system

Also Published As

Publication number Publication date
WO2007066277A3 (en) 2007-11-15
US20090197405A1 (en) 2009-08-06
WO2007066277A2 (en) 2007-06-14
TW200729394A (en) 2007-08-01
CN101326630A (en) 2008-12-17
CN101326630B (en) 2011-07-20
EP1961042A2 (en) 2008-08-27
JP2009518844A (en) 2009-05-07

Similar Documents

Publication Publication Date Title
KR20080080612A (en) A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US6136693A (en) Method for planarized interconnect vias using electroless plating and CMP
US7205228B2 (en) Selective metal encapsulation schemes
TWI443224B (en) Method of forming a metal layer over a patterned dielectric by wet chemical deposition including an electroless and a powered phase
TWI290736B (en) Semiconductor device and method for production thereof
US7977235B2 (en) Method for manufacturing a semiconductor device with metal-containing cap layers
US6514860B1 (en) Integration of organic fill for dual damascene process
US8173538B2 (en) Method of selectively forming a conductive barrier layer by ALD
US7365011B2 (en) Catalytic nucleation monolayer for metal seed layers
TWI524467B (en) Local silicidation of via bottoms in metallization systems of semiconductor devices
US6251781B1 (en) Method to deposit a platinum seed layer for use in selective copper plating
US20230361040A1 (en) Ruthenium oxide film and ruthenium liner for low-resistance copper interconnects in a device
US6713382B1 (en) Vapor treatment for repairing damage of low-k dielectric
US7157373B2 (en) Sidewall sealing of porous dielectric materials
US6713874B1 (en) Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
US6670271B1 (en) Growing a dual damascene structure using a copper seed layer and a damascene resist structure
US9087881B2 (en) Electroless fill of trench in semiconductor structure
US6475905B1 (en) Optimization of organic bottom anti-reflective coating (BARC) thickness for dual damascene process
KR20070008118A (en) Method for forming the metal contact of semiconductor device
KR100691105B1 (en) Method of forming copper interconnection using dual damascene process
KR101107229B1 (en) Method of Forming Metal Interconnect of the Semiconductor Device
KR100465761B1 (en) Semiconductor interconnect structure with TaN and method of forming the same
KR100720401B1 (en) Method for Forming Cu lines in Semiconductor Device
KR100649312B1 (en) Manufacturing method of semiconductor device
JP2007027177A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application