KR20080062339A - 기판처리장치 및 이를 제조하는 방법 - Google Patents

기판처리장치 및 이를 제조하는 방법 Download PDF

Info

Publication number
KR20080062339A
KR20080062339A KR1020060138000A KR20060138000A KR20080062339A KR 20080062339 A KR20080062339 A KR 20080062339A KR 1020060138000 A KR1020060138000 A KR 1020060138000A KR 20060138000 A KR20060138000 A KR 20060138000A KR 20080062339 A KR20080062339 A KR 20080062339A
Authority
KR
South Korea
Prior art keywords
gas
lower chamber
upper portion
coupled
flow path
Prior art date
Application number
KR1020060138000A
Other languages
English (en)
Inventor
정순빈
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020060138000A priority Critical patent/KR20080062339A/ko
Publication of KR20080062339A publication Critical patent/KR20080062339A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles

Abstract

기판처리장치는 하부챔버의 상부에 결합하여 하부챔버의 내부에 소스가스를 공급하는 가스공급부재를 포함한다. 가스공급부재는 바닥면으로부터 상부를 향하여 함몰되며 내부에 소스가스가 흐르는 유로가 형성된 가스분배링과, 바닥면으로부터 함몰된 부분의 입구에 결합되어 외부로부터 밀봉된 유로를 형성하는 씰링(sealing) 부재를 가진다.
Figure P1020060138000
가스분배링, 유로, 씰링부재, 전자빔 용접

Description

기판처리장치 및 이를 제조하는 방법{apparatus for treating substrate and method for manufaturing the apparatus}
본 발명의 특징과 형상, 효과는 이하의 상세한 설명 및 특허청구범위, 그리고 첨부된 도면을 통하여 쉽게 이해할 수 있을 것이다.
도 1a 및 1b는 금속라인들 사이에 형성된 갭을 채우는 모습을 나타내는 웨이퍼의 단면도이다.
도 2는 본 발명에 따른 기판처리장치를 포함하는 반도체 제조설비를 개략적으로 나타내는 도면이다.
도 3은 도 2의 기판처리장치를 개략적으로 나타내는 도면이다.
도 4 및 도 5는 도 3의 지지부재를 개략적으로 나타내는 도면이다.
도 6은 도 3의 지지부재를 개략적으로 나타내는 사시도이다.
도 7은 도 5의 Ⅰ-Ⅰ'을 따라 구성한 단면도이다.
도 8은 도 3의 제1 가스공급부재를 개략적으로 나타내는 도면이다.
도 9는 도 3의 노즐을 개략적으로 나타내는 도면이다.
도 10은 본 발명에 따른 기판처리방법을 나타내는 흐름도이다.
< 도면의 주요 부분에 대한 부호의 설명 >
1 : 반도체 제조설비 10 : 기판처리장치(공정챔버)
100 : 본체 130 : 도어
200 : 지지부재 220 : 지지플레이트
240 : 구동축 300 : 제1 가스공급부재
322, 324 : 유로 326 : 씰링부재
400 : 커버 500 : 플라스마 생성부재
600 : 제2 가스공급부재
본 발명은 기판을 처리하는 장치 및 이를 제조하는 방법에 관한 것으로, 더욱 상세하게는 소스가스를 사용하여 기판을 처리하는 장치 및 이를 제조하는 방법에 관한 것이다.
반도체 장치는 실리콘 기판 상에 많은 층들(layers)을 가지고 있으며, 이와 같은 층들은 증착공정을 통하여 기판 상에 증착된다. 이와 같은 증착공정은 몇가지 중요한 이슈들을 가지고 있으며, 이와 같은 이슈들은 증착된 막들을 평가하고 증착방법을 선택하는 데 있어서 중요하다.
첫번째는 증착된 막의 '질'(qulity)이며, 두번째는, 웨이퍼를 가로지르는 균일한 두께(uniform thickness)이다. 증착과 관련된 또 다른 이슈는 공간을 채우는 것(filling space)이다. 이는 금속라인들 사이를 산화막을 포함하는 절연막으로 채우는 갭 필링(gap filling)을 포함한다. 갭은 금속라인들을 물리적 및 전기적으로 절연시키기 위하여 제공된다.
도 1a 및 1b는 금속라인들(a) 사이에 형성된 갭을 채우는 모습을 나타내는 웨이퍼의 단면도이다. 도 1a 및 도 1b는 불완전한(incomplete) 갭 필링 과정을 보여주고 있다. 금속라인들(a) 사이의 갭은 절연막(b)으로 채워진다. 이때, 갭 내에 절연막(b)이 채워짐과 동시에, 갭 내의 상부에는 오버행들(overhang)(h)이 빵덩어리(breadloafing) 형태로 성장하며, 오버행(h)의 성장속도는 갭 내에 채워지는 절연막(b)의 성장속도보다 빠르다. 결국, 오버행(h)들은 서로 만나 갭의 상부를 폐쇄하여 갭 내에 보이드(void)를 형성하며, 절연막(b)이 갭 내에 증착되는 것을 방해한다. 형성된 보이드는 높은 접촉저항(contact resistance) 및 높은 면저항(sheet resistance)을 가져오며, 파손을 일으키기도 한다. 또한, 보이드는 처리액 또는 수분을 함유하여, 안정성 문제를 일으키기도 한다.
고밀도 플라스마 화학기상증착(High-Density Plasma Chemical Vapor Deposition:HDPCVD) 방법은 플라스마를 이용하여 갭 내에 막을 증착하고, 막의 증착시 성장한 오버행을 에칭하며, 이후 다시 막을 증착하는 증착/에칭/증착 방법을 사용하여 보이드가 형성되는 것을 방지한다. 즉, 부분적으로 채워진 갭을 재형상화하여 갭을 개방시키고, 갭 내에 보이드가 형성되기 이전에 갭 내에 막을 증착시킨다. 이와 같은 방법은 큰 종횡비(Aspect Ratio:AR)를 가지는 갭 내에 보이드 없이 막을 증착시킬 수 있다.
이와 같은 플라스마 화학기상증착장치는 증착공정이 이루어지며 상부가 개방된 하부챔버를 구비한다. 하부챔버의 내부에는 웨이퍼가 로딩되며, 하부챔버의 상 부에 결합된 가스공급부재는 웨이퍼의 상부에 소스가스를 공급한다. 소스가스가 공급된 상태에서 하부챔버 내에 전자기장을 형성하면 전자기장에 의하여 소스가스로부터 플라스마가 생성된다. 하부챔버 외부에는 고주파 전원이 연결된 코일이 제공되며, 고주파 전원이 인가되면 코일은 하부챔버 내에 전자기장을 형성한다.
그러나, 종래의 가스공급부재는 가스분배링의 바닥면으로부터 상부를 향하여 함몰된 유로가 형성되며, 가스분배링의 하부에는 별도의 결합부재가 체결되어 유로가 외부로부터 밀봉되었다. 그러나, 이와 같은 체결방법은 가스공급부재의 조립을 어렵게 하며, 유사시 가스공급부재의 유지보수에 많은 시간이 소요되는 문제점을 야기하였다.
본 발명은 상술한 문제점을 해결하기 위한 것으로, 본 발명의 목적은 조립 및 유지보수가 용이한 가스공급부재를 구비하는 기판처리장치 및 이를 제조하는 방법을 제공하는 데 있다.
본 발명의 다른 목적은 가스분배링의 내부에 형성된 유로를 외부로부터 완전하게 밀봉할 수 있는 기판처리장치 및 이를 제조하는 방법을 제공하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.
본 발명에 의하면, 기판을 처리하는 장치는 공정이 이루어지는 공간을 제공하며 상부가 개방된 하부챔버, 상기 하부챔버 내에 설치되며 기판이 놓여지는 지지 플레이트를 포함하는 지지부재, 상기 하부챔버의 상부에 결합하여 상기 지지플레이트의 상부에 소스가스를 공급하는 가스공급부재를 포함하되, 상기 가스공급부재는 상기 하부챔버의 상부에 결합하며 상기 하부챔버와 접하는 바닥면으로부터 상부를 향하여 함몰되어 상기 소스가스가 흐르는 유로가 형성된 가스분배링, 상기 바닥면으로부터 함몰된 부분의 입구에 결합되어 외부로부터 밀봉된 상기 유로를 형성하는 씰링(sealing) 부재를 가진다.
이때, 상기 가스분배링은 링 형상이며, 상기 씰링부재는 링 형상일 수 있다.
한편, 상기 가스공급부재는 상기 가스분배링의 내주면에 결합되고 상기 유로에 연통되어 상기 소스가스를 분사하는 복수의 인젝터들을 포함할 수 있다.
또한, 상기 장치는 상기 하부챔버의 개방된 상부를 폐쇄하는 커버를 더 포함할 수 있다. 또한, 상기 장치는 상기 지지플레이트의 상부에 공급된 소스가스에 에너지를 인가하여 플라스마를 생성하는 플라스마 생성부재를 더 포함할 수 있다.
본 발명에 의하면, 상부가 개방된 하부챔버와 상기 하부챔버의 상부에 결합되는 가스공급부재를 포함하는 기판처리장치를 제조하는 방법은, 상기 가스공급부재가 상기 하부챔버의 상부에 결합하며 상기 하부챔버와 접하는 바닥면으로부터 상부를 향하여 함몰되어 상기 소스가스가 흐르는 유로가 형성된 가스분배링과, 상기 바닥면으로부터 함몰된 부분의 입구에 결합되어 외부로부터 밀봉된 상기 유로를 형성하는 씰링(sealing) 부재를 포함하며, 상기 씰링부재를 상기 입구에 결합한다.
이때, 씰링부재는 용접에 의하여 상기 입구에 결합할 수 있다. 한편, 상기 용접은 전자빔(e-beam) 용접일 수 있다.
이하, 본 발명의 바람직한 실시예를 첨부된 도 2 내지 도 10을 참고하여 더욱 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예에 한정되는 것으로 해석되어서는 안 된다. 본 실시예는 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
이하에서는 기판의 일례로 웨이퍼(W)를 들어 설명하나, 본 발명은 이에 한정되지 않는다. 또한, 이하에서는 증착 공정을 수행하는 기판처리장치(또는 공정챔버)(10)를 가지는 반도체 제조설비(1)를 예로 들어 설명한다. 그러나, 본 발명의 기술적 사상과 범위는 이에 한정되지 않으며, 본 발명은 애싱 공정, 에칭 공정, 또는 세정 공정에 응용될 수 있다.
도 2는 본 발명에 따른 기판처리장치(10)를 포함하는 반도체 제조설비(1)를 개략적으로 나타내는 도면이다.
도 2를 살펴보면, 반도체 제조설비(1)는 공정설비(2), 설비 전방 단부 모듈(Equipment Front End Module:EFEM)(3), 그리고 경계벽(interface wall)(4)을 포함한다. 설비 전방 단부 모듈(3)은 공정설비(2)의 전방에 장착되어, 웨이퍼들(W)이 수용된 용기(도시안됨)와 공정설비(2) 간에 웨이퍼(W)를 이송한다. 설비 전방 단부 모듈(3)은 복수의 로드포트들(loadports)(60)과 프레임(frame)(50)을 가진다. 프레 임(50)은 로드포트(60)와 공정 설비(2) 사이에 위치한다. 웨이퍼(W)를 수용하는 용기는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시안됨)에 의해 로드포트(60) 상에 놓여진다. 용기는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(50) 내에는 로드포트(60)에 놓여진 용기와 공정설비(2) 간에 웨이퍼(W)를 이송하는 프레임 로봇(70)이 설치된다. 프레임(50) 내에는 용기의 도어를 자동으로 개폐하는 도어 오프너(도시안됨)가 설치될 수 있다. 또한, 프레임(50)에는 청정 공기가 프레임(50) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(50) 내로 공급하는 팬필터 유닛(Fan Filter Unit:FFU)(도시안됨)이 제공될 수 있다.
웨이퍼(W)는 공정설비(20) 내에서 소정의 공정이 수행된다. 공정설비(2)는 로드록 챔버(loadlock chamber)(20), 트랜스퍼 챔버(transfer chamber)(30), 그리고 공정챔버(process chamber)(10)를 가진다. 트랜스퍼 챔버(30)는 상부에서 바라볼 때 대체로 다각의 형상을 가진다. 트랜스퍼 챔버(30)의 측면에는 로드록 챔버(20) 또는 공정챔버(10)가 위치된다. 로드록 챔버(20)는 트랜스퍼 챔버(30)의 측부들 중 설비 전방 단부 모듈(3)과 인접한 측부에 위치되고, 공정챔버(10)는 다른 측부에 위치된다. 로드록 챔버(20)는 공정 진행을 위해 공정설비(2)로 유입되는 웨이퍼들(W)이 일시적으로 머무르는 로딩 챔버(20a)와 공정이 완료되어 공정설비(2)로부터 유출되는 웨이퍼들(W)이 일시적으로 머무르는 언로딩 챔버(20b)를 가진다. 트랜스퍼 챔버(30) 및 공정챔버(10) 내부는 진공으로 유지되고, 로드록 챔버(20) 내부는 진공 및 대기압으로 전환된다. 로드록 챔버(20)는 외부 오염물질이 트랜스퍼 챔버(30) 및 공정챔버(10)로 유입되는 것을 방지한다. 로드록 챔버(20)와 트랜스퍼 챔버(30) 사이, 그리고 로드록 챔버(20)와 설비 전방 단부 모듈(3) 사이에는 게이트 밸브(도시안됨)가 설치된다. 설비 전방 단부 모듈(3)과 로드록 챔버(20) 간에 웨이퍼(W)가 이동하는 경우, 로드록 챔버(20)와 트랜스퍼 챔버(30) 사이에 제공된 게이트 밸브가 닫히고, 로드록 챔버(20)와 트랜스퍼 챔버(30) 간에 웨이퍼(W)가 이동되는 경우, 로드록 챔버(20)와 설비 전방 단부 모듈(3) 사이에 제공되는 게이트 밸브가 닫힌다.
트랜스퍼 챔버(30) 내에는 이송 로봇(40)이 장착된다. 이송 로봇(40)은 공정챔버(10)로 웨이퍼(W)를 로딩하거나 공정챔버(10)로부터 웨이퍼(W)를 언로딩한다. 또한, 이송 로봇(40)은 공정챔버(10)와 로드록 챔버(20) 간에 웨이퍼(W)를 이송한다.
공정챔버(10)는 웨이퍼(W)에 대하여 소정의 공정, 예컨대 증착, 에칭과 같은 공정을 수행하며, 이하에서는 공정챔버(10)를 기판처리장치(10)로 부르기로 한다. 기판처리장치(10)에 대한 상세한 설명은 후술하기로 한다.
도 3은 본 발명에 따른 기판처리장치(10)를 개략적으로 나타내는 정면도이다.
도 3에 도시한 바와 같이, 웨이퍼(W)에 대한 공정을 수행하기 위한 기판처리장치(10)는 상부가 개방된 원통형의 하부챔버와 하부챔버의 개방된 상부를 덮는 커 버(400)를 포함하며, 하부챔버는 원통형의 본체(100), 본체(100)의 상단에 연결되는 제1 가스공급부재(300)를 포함한다.
본 실시예에서 기판처리장치(10)를 이용하여 수행하는 공정은 증착 공정이며, 이하에서는 고밀도 플라스마 화학 기상 증착(High Density Plasma Chemical Vapor Deposition:HDPCVD) 공정을 예로 들어 설명한다. 앞서 본 바와 같이, 고밀도 플라스마 화학 기상 증착 공정은 높은 밀도의 플라스마를 형성하여 금속배선들 사이에 형성된 갭 내에 막을 증착시키는 증착(deposition) 공정과, 갭 상부의 오버행들(overhang)을 에칭하는 에칭(etching) 공정을 포함한다. 갭의 상부에서 성장한 오버행들은 갭의 입구를 폐쇄하여 갭 내에 보이드(void)를 형성한다. 따라서, 에칭 공정을 통하여 오버행들을 제거함으로써, 갭 내에 보이드가 형성되는 것을 방지한다.
본체(100)의 내부공간에는 웨이퍼(W)를 지지하기 위한 지지부재(200)가 설치된다. 지지부재(200)는 정전기력을 이용하여 웨이퍼(W)를 고정할 수 있는 정전척(ESC)이 사용될 수 있으며, 선택적으로 기계적인 구조를 통하여 클램핑이 가능한 기계척 또는 진공으로 웨이퍼(W)를 흡착하는 진공척이 사용될 수 있다. 한편, 지지부재(200)에는 플라즈마 상태의 소스가스를 웨이퍼(W)로 유도할 수 있도록 바이어스 전원이 인가될 수 있다. 지지부재(200)에 대한 상세한 내용은 후술하기로 한다.
다음으로, 본체(100)의 측벽에는 웨이퍼(W)가 드나들 수 있는 통로(122) 및 통로(122)와 연통되어 웨이퍼(W)가 본체(100)의 내부로 진입하는 입구(124)가 형성된다. 입구(124)의 단면적은 통로(122)의 단면적보다 크다. 웨이퍼(W)는 입구(124) 및 통로(122)를 통하여 본체(100)의 내부로 진입하거나 본체(100)의 외부로 빠져나간다.
입구(124) 상에는 입구(124)와 연결되는 통로(122)의 일단을 개폐하는 도어(130)가 설치된다. 도어(130)는 구동기(132)에 연결되며, 구동기(132)의 작동에 의하여 통로(122)의 길이방향과 대체로 수직한 방향으로 이동하면서 통로(122)의 일단을 개폐한다.
본체(100)의 바닥벽에는 복수의 배기홀들(102)이 형성되며, 배기홀들(102)에는 각각 배기라인들(104)이 연결된다. 배기라인(104) 상에는 펌프(도시안됨)가 설치될 수 있다. 배기라인들(104)은 본체(100) 내부의 가스를 외부로 배출하기 위한 통로가 된다. 본체(100)의 내부에서 발생된 반응가스 및 미반응가스, 그리고 반응부산물 등은 배기라인들(104)을 통하여 본체(100)의 외부로 배출되며, 본체(100) 내부의 압력을 진공 상태로 유지하기 위하여 배기라인들(104)을 통하여 본체(100) 내부의 가스를 외부로 배출할 수 있다.
본체(100)의 상단에는 증착 또는 식각공정을 수행할 수 있도록 본체(100)의 내부에 소스가스를 공급하는 제1 가스공급부재(300)가 제공된다. 제1 가스공급부재(300)는 가스분배링(320) 및 가스분배링(320)에 체결된 복수의 인젝터(340)를 구비한다. 제1 가스공급부재(300)에 대한 상세한 설명은 후술하기로 한다.
도 4 및 도 5는 도 3의 지지부재(200)를 개략적으로 나타내는 도면이다. 도 6은 도 3의 지지부재를 개략적으로 나타내는 사시도이며, 도 7은 도 5의 Ⅰ-Ⅰ'을 따라 구성한 단면도이다.
도 4 및 도 5에 도시한 바와 같이, 지지부재(200)는 지지플레이트(220), 구동축(240), 구동기(260), 그리고 제어기(280)를 포함한다.
웨이퍼(W)는 지지플레이트(220)의 상부에 지지플레이트(220)와 나란하게 놓여진다. 지지플레이트(220)는 알루미늄 재질이며, 이로 인하여 웨이퍼(W) 상에 형성된 패턴은 지지플레이트(220)와 반응할 가능성이 있다. 따라서, 세라믹 재질의 보호층(221)을 지지플레이트(220)의 상부면에 형성할 수 있으며, 세라믹 재질은 산화알루미늄(aluminium oxide:Al2O3)를 포함한다.
지지플레이트(220)의 하부에는 구동축(240)의 일단이 연결되며, 구동축(240)의 타단은 구동기(260)에 연결된다. 구동기(260)는 모터를 포함하는 회전장치이며, 외부로부터 인가된 전류에 의하여 회전력을 발생시킨다. 발생된 회전력은 구동축(240)에 전달되며, 구동축(240)은 지지플레이트(220)와 함께 회전한다.
구동축(240)과 본체(100)의 바닥벽 사이에는 씰링부재(241)가 제공된다. 씰링부재(241)는 본체(100) 내부의 기밀을 유지함과 동시에 구동축(240)의 회전이 가능하도록 돕는다. 씰링부재(241)는 마그네틱 씰(magnetic seal)을 포함한다.
구동기(260)는 제어기(280)에 연결되며, 제어기(280)는 구동기(260)의 동작 을 제어한다. 제어기(280)는 구동기(260)의 회전속도, 회전량, 회전방향을 포함한 구동기(260)의 동작을 모두 제어할 수 있다.
도 5에 도시한 바와 같이, 지지플레이트(220)의 내부에는 냉각가스가 흐르는 제1 냉각라인 및 냉각유체가 흐르는 제2 냉각라인(232)이 형성된다.
제1 냉각라인은 지지플레이트(220)의 상부에 놓여진 웨이퍼(W)의 배면에 냉각가스를 공급하며, 웨이퍼(W)는 냉각가스에 의하여 기설정된 온도로 냉각된다. 공정 중에는 고온의 열이 발생하며, 특히, 고밀도 플라스마 화학 기상 증착공정 중의 스퍼터링에 의한 에칭 공정에서 고온의 열이 발생한다. 이로 인하여 웨이퍼(W)의 온도가 상승할 수 있으며, 제1 냉각라인은 냉각가스를 이용하여 웨이퍼(W)를 냉각시킨다.
제1 냉각라인은 냉각가스유로(222), 분배라인(224), 그리고 복수의 분기라인들(226)을 포함한다. 도 5에 도시한 바와 같이, 냉각가스유로(222)는 지지플레이트(220)의 중심에 형성되며, 냉각가스유로(222)의 하단은 구동축(240)의 중심에 형성된 냉각가스유로(242)의 상단과 연결된다. 분배라인(224)은 냉각가스유로(222)로부터 지지플레이트(220)의 반경방향으로 연장된다. 분기라인들(226)은 분배라인(224)으로부터 분기되어 지지플레이트(220)의 상부를 향하여 연장되며, 보호층(221) 상에 형성된 복수의 분출구들(228)에 각각 연결된다.
구동축(240)의 중심에 형성된 냉각가스유로(242)의 하단은 냉각가스라인(244)에 연결되며, 냉각가스라인(244) 내에는 웨이퍼(W)의 배면에 공급되는 냉각 가스가 흐른다. 냉각가스는 불활성기체(inert gas)를 포함하며, 불활성기체는 헬륨(He)을 포함한다.
냉각가스라인(244)을 통하여 냉각가스유로(242)에 공급된 냉각가스는 냉각가스유로(222) 및 분배라인(224)을 통하여 각각의 분기라인(226)으로 공급되며, 공급된 냉각가스는 분출구들(228)을 통하여 웨이퍼(W)의 배면에 공급된다.
도 6에 도시한 바와 같이, 복수의 지지돌기들(229)은 보호층(221)의 상부에 설치된다. 복수의 지지돌기들(229)은 지지플레이트(220)의 중심 및 중심을 기준으로 네방향에 등간격으로 배치되며, 지지플레이트(220)의 상부에 놓여진 웨이퍼(W)의 배면을 지지한다.
따라서, 웨이퍼(W)는 복수의 지지돌기들(229)에 의하여 지지되어 보호층(221)의 상부면으로부터 일정거리 이격된 상태를 유지하며, 웨이퍼(W)는 배면에 공급된 냉각가스에 의하여 일정한 온도로 조절된다.
제2 냉각라인(232)은 분배라인(224)의 하부에 위치하며, 도 9에 도시한 바와 같이, 제2 냉각라인(232)은 냉각가스유로(222)를 감싸도록 배치된 나선 형상이다. 제2 냉각라인(232)은 지지플레이트(220)의 온도를 기설정된 온도로 냉각한다. 앞서 말한 바와 같이, 증착공정, 특히 고밀도 플라스마 화학기상증착공정에서 발생한 고온의 열로 인하여 지지플레이트(220)의 온도가 상승할 수 있다. 따라서, 제2 냉각라인(232)을 이용하여 지지플레이트(220)를 냉각시킨다.
도 5에 도시한 바와 같이, 제2 냉각라인(232)의 일단은 냉각유체공급라 인(234)에 연결되며, 제2 냉각라인(232)의 타단은 냉각유체회수라인(236)에 연결된다. 냉각유체공급라인(234)은 냉각유체공급라인(234) 상에 설치된 밸브(234a)에 의하여 개폐된다. 냉각유체공급라인(234) 내에는 냉각유체가 흐르며, 제2 냉각라인(232)에 냉각유체를 공급한다. 냉각유체공급라인(234)을 통하여 공급된 냉각유체는 제2 냉각라인(232)을 따라 냉각유체회수라인(236)이 연결된 끝단까지 이동하면서 지지플레이트(220)를 기설정된 온도로 냉각한다. 이후, 냉각유체는 냉각유체회수라인(236)을 통해 회수되며, 회수된 냉각유체는 칠러(chiller)(도시안됨)를 통하여 일정 온도로 냉각된 이후에 냉각유체공급라인(234)으로 재공급될 수 있다.
도 8은 도 3의 제1 가스공급부재(300)를 개략적으로 나타내는 도면이다.
도 8에 도시한 바와 같이, 가스분배링(320)은 링 형상이며, 가스분배링(320) 상에는 안쪽에 배치된 제1 유로(322) 및 제1 유로(322)의 바깥쪽에 배치된 제2 유로(324)가 형성된다. 제1 유로(322) 및 제2 유로(324)의 형성방법에 대하여 설명하면 다음과 같다. 가스분배링(320)의 바닥면으로부터 상부를 향하여 함몰되며, 제1 및 제2 유로(322, 324)의 너비를 합한 너비보다 대체로 큰 너비를 가지는 링 형상의 입구(326)를 가공한다. 다음, 입구(326)로부터 상부를 향하여 각각 함몰되는 제1 및 제2 유로(322, 324)를 가공한다. 도 8에 도시한 바와 같이, 제1 유로(322)는 제2 유로(324)의 안쪽에 배치되며, 제2 유로(324)는 제1 유로(322)의 바깥쪽에 배치된다. 제1 및 제2 유로(322, 324)는 링 형상이다. 다음, 씰링부재(326)를 입구(326)에 결합하여 입구(326)를 폐쇄하며, 씰링부재(326)를 이용하여 제1 및 제2 유로(322, 324)의 내부를 밀봉한다. 씰링부재(326)를 입구(326)에 결합시키는 방법은 접착(bonding) 및 용접(welding)을 포함한 다양한 방법이 가능하며, 제1 및 제2 유로(322, 324)의 완전한 밀봉상태를 확보하기 위해서는 전자빔(e-beam) 용접에 의하여 결합시키는 방법이 바람직하다.
한편, 제1 소스가스가 흐르는 제1 소스가스라인(382)은 제1 유로(322)에 연결되며, 제1 소스가스라인(382)은 밸브(382a)에 의하여 개폐된다. 제2 소스가스가 흐르는 제2 소스가스라인(384)은 제2 유로(324)에 연결되며, 제2 소스가스라인(384)은 밸브(384a)에 의하여 개폐된다. 따라서, 제1 유로(322)에는 제1 소스가스가 흐르며, 제2 유로(324)에는 제2 소스가스가 흐른다. 제1 소스가스는 실란(silane)(SiH4)을 포함하는 실리콘-함유(silicon-containing) 가스이며, 제2 소스가스는 산소(O2)를 포함하는 산소-포함(oxygen-containing) 가스이다.
가스분배링(320) 상에는 복수의 인젝터들(340)이 등간격으로 설치되며, 인젝터들(340)은 가스분배링(320)의 내측으로부터 가스분배링(320)의 중심을 향하여 돌출된다. 인젝터들(340)은 제1 내지 제3 인젝터(342, 344, 346)가 한 조를 이루며, 한 조를 이룬 제1 내지 제3 인젝터(342, 344, 346)가 복수개 제공된다. 제2 인젝터(344)는 제1 및 제3 인젝터(342, 346)의 사이에 배치되며, 제1 및 제3 인젝터(342, 346)는 제2 인젝터(344)를 기준으로 대칭되도록 배치된다. 제1 및 제3 인젝터(342, 346)는 제1 및 제3 라인(362, 366)을 통하여 각각 제1 유로(322)에 연결되며, 제2 인젝터(344)는 제2 라인(364)을 통하여 제2 유로(324)에 연결된다. 따라 서, 제1 및 제3 인젝터(342, 346)는 제1 유로(322)를 흐르는 제1 소스가스를 공급하며, 제2 인젝터(344)는 제2 유로(324)를 흐르는 제2 소스가스를 공급한다.
도 3에 도시한 바와 같이, 결합부재(150)는 가스분배링(320)과 대응되는 크기의 링 형상이고, 가스분배링(320)의 하단에 결합되어 제1 및 제2 유로(322, 324)를 외부로부터 폐쇄하며, 제1 및 제2 유로(322, 324) 내의 제1 및 제2 소스가스가 외부로 누설되는 것을 방지한다. 이를 위하여, 가스분배링(320)과 결합부재(150)의 사이에 오링(도시안됨)이 제공될 수 있다.
커버(400)는 제1 가스공급부재(300)의 상부에 결합되며, 본체(100)의 개방된 상부를 폐쇄한다. 커버(400)의 상부에는 본체(100) 내부로 공급되는 소스가스를 플라스마 상태로 만들기 위한 플라스마 생성부재(500)가 설치된다. 플라스마 생성부재(500)는 커버(400)의 상부에 제공되어 전자기장을 형성하는 코일(540) 및 코일(540)을 고정하는 고정체(520)를 가지며, 코일(540)에는 고주파전원(도시안됨)이 연결된다. 한편 커버(400)는 고주파 에너지가 전달되는 절연체 재료, 바람직하게는 산화 알루미늄과 세라믹 재질로 만들어진다.
본 실시예에서는 코일(540)이 커버(400)의 상부에 제공되는 것으로 설명하고 있다. 그러나, 코일(540)의 위치는 다양하게 변형될 수 있다. 예를 들어, 본체(100)의 측면 또는 가스분배링(320)의 측면에 제공될 수 있다.
도 3에 도시한 바와 같이, 커버(400)의 중앙에는 제2 가스공급부재(600)가 설치된다. 제2 가스공급부재(600)는 본체(100)의 내부에 소스가스 및 세정가스를 공급한다. 제2 가스공급부재(600)는 제1 가스공급관(620) 및 제2 가스공급관(640)을 구비한다. 제1 가스공급관(620)은 커버(400)의 중앙에 연결되며, 제1 공급라인(622)을 통하여 공급된 세정가스를 본체(100)의 내부로 공급한다. 제1 공급라인(622)은 밸브(622a)를 이용하여 개폐한다. 제2 가스공급관(640)은 제1 가스공급관(620)의 내부에 설치되며, 제2 공급라인(642)을 통하여 공급된 소스가스를 본체(100)의 내부로 공급한다. 제2 공급라인(642)은 밸브(642a)를 이용하여 개폐한다. 제2 가스공급관(640)의 끝단에는 노즐(660)이 연결된다.
도 9는 도 3의 노즐(660)을 개략적으로 나타내는 도면이다.
도 9에 도시한 바와 같이, 노즐(660)은 삽입관(662), 확산부재(664), 가이드판(666), 그리고 분사기(668)를 포함한다. 삽입관(662)은 속이 빈 원통 형상이며, 삽입관(662)의 상단은 제2 가스공급관(640)의 내부에 삽입연결되고, 삽입관(662)의 하단은 확산부재(664)에 연결된다. 확산부재(664)는 삽입관(662)의 하단으로부터 하부를 향하여 연장되며, 하부로 갈수록 횡단면의 단면적이 점차로 증가한다. 도 8에 도시한 바와 같이, 확산부재(664)의 외면은 원호(arc) 형상을 가진다. 확산부재(664)의 하단에는 가이드판(666)이 연결된다. 가이드판(666)은 확산부재(664)의 하단 면적보다 큰 면적을 가지는 원판 형상이다. 가이드판(666)의 하단 중앙에는 첨단 형상의 분사기(668)가 연결된다.
한편, 삽입관(662) 및 확산부재(664)의 중심에는 분사통로(663)가 형성된다. 분사통로(663)는 제2 가스공급관(640)과 대체로 나란하게 제공되며, 분사통로(663)의 내부에는 소스가스가 흐른다. 가이드판(666)의 중심에는 분사통로(663)와 연통되는 관통홀(665)이 형성되며, 관통홀(665)은 분사기(668)에 형성된 제1 및 제2 분사구(667a, 667b)와 연통한다. 따라서, 분사통로(663)를 통하여 유입된 소스가스는 관통홀(665)과 제1 및 제2 분사구(667a, 667b)을 통하여 지지부재(200)의 상부에 공급된다. 소스가스는 실란(silane)(SiH4)을 포함하는 실리콘-함유 가스이다.
또한, 제1 가스공급관(620)의 내부를 흐르는 세정가스는 노즐(660)의 확산부재(664) 및 가이드판(666)의 표면을 따라 흐르며, 지지부재(200)의 상부로 확산된다. 세정가스는 삼불화질소(NF3) 및 아르곤(Ar)을 포함한다. 세정가스는 공정완료 후 본체(100)의 내부를 세정하기 위하여 제공된다.
본 실시예에서는 인젝터(340)를 이용하여 본체(100) 내부의 가장자리 영역에 소스가스를 공급하며, 노즐(660)을 이용하여 본체(100) 내부의 중앙 영역에 소스가스를 공급하는 것으로 설명하고 있으며, 이는 웨이퍼(W)의 상부에 소스가스를 균일하게 공급하므로써 웨이퍼(W)의 전면(全面)에 대하여 공정이 균일하게 이루어지도록 하기 위함이다. 그러나, 이와 달리 소스가스를 인젝터(340)와 노즐(660) 중 어느 하나를 이용하여 공급할 수도 있다.
도 10은 본 발명에 따른 기판처리방법을 나타내는 흐름도이다. 이하, 도 10을 참고하여 본 발명에 따른 기판처리방법을 상세히 설명하기로 한다.
먼저, 웨이퍼(W)가 본체(100) 내의 지지부재(200) 상에 놓여진다. 구동기(132)에 의하여 도어(130)가 개방되면, 웨이퍼(W)는 통로(122)를 통하여 본체(100)의 내부로 유입되며, 지지부재(200) 상의 지지돌기(229) 상에 놓여진다. 앞서 설명한 바와 같이, 웨이퍼(W)는 정전기력에 의하여 지지플레이트(220) 상에 고정될 수 있다.
다음, 제1 가스공급부재(300) 및 제2 가스공급부재(600)를 이용하여 웨이퍼(W)의 상부에 소스가스를 공급한다(S10). 제1 가스공급부재(300)의 제1 및 제3 인젝터(342, 346)는 실란을 포함하는 실리콘-함유 가스를 공급하며, 제2 인젝터(344)는 산소를 포함하는 산소-함유 가스를 공급한다. 또한, 제2 가스공급부재(600)의 노즐(660)은 실란을 포함하는 실리콘-함유 가스를 공급한다.
다음, 웨이퍼(W)의 상부에 전자기장을 형성한다(S20). 코일(540)에 연결된 고주파전원을 작동시키면, 코일(540)에서 고주파 에너지가 발생되며, 발생된 에너지는 커버(400)를 통하여 웨이퍼(W)의 상부로 전달되어 웨이퍼(W)의 상부에 전자기장을 형성한다. 이때, 형성된 전자기장은 웨이퍼(W)의 상부에 공급된 실리콘-함유 가스 및 산소-함유 가스로부터 플라스마를 생성한다(S30).
생성된 플라스마는 회전하는 웨이퍼(W) 상에 공급되며, 웨이퍼(W)의 갭 내에는 막이 증착된다(S40).
이후, 앞서 설명한 바와 같이, 갭 상부에서 성장한 오버행을 제거하기 위한 에칭이 이루어지며, 에칭이 완료되면 동일한 방법으로 증착과정이 반복된다. 이와 같은 방법을 통하여 웨이퍼(W)의 갭은 채워진다.
상술한 방법에 의하면, 제1 가스공급부재(122)의 조립 및 유지보수를 용이하게 할 수 있으며, 전자빔 용접에 의하여 제1 및 제2 유로(322, 324)를 외부로부터 완전하게 밀봉할 수 있다.
본 발명을 바람직한 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.
본 발명에 의하면 가스공급부재를 용이하게 조립할 수 있으며, 용이하게 유지보수할 수 있다. 또한, 가스분배링의 내부에 형성된 유로를 외부로부터 완전하게 밀봉할 수 있다.

Claims (8)

  1. 공정이 이루어지는 공간을 제공하며, 상부가 개방된 하부챔버;
    상기 하부챔버 내에 설치되며, 기판이 놓여지는 지지플레이트를 포함하는 지지부재;
    상기 하부챔버의 상부에 결합하여 상기 지지플레이트의 상부에 소스가스를 공급하는 가스공급부재를 포함하되,
    상기 가스공급부재는,
    상기 하부챔버의 상부에 결합하며, 상기 하부챔버와 접하는 바닥면으로부터 상부를 향하여 함몰되어 상기 소스가스가 흐르는 유로가 형성된 가스분배링; 및
    상기 바닥면으로부터 함몰된 부분의 입구에 결합되어 외부로부터 밀봉된 상기 유로를 형성하는 씰링(sealing) 부재를 가지는 것을 특징으로 하는 기판처리장치.
  2. 제1항에 있어서,
    상기 가스분배링은 링 형상이며, 상기 씰링부재는 링 형상인 것을 특징으로 하는 기판처리장치.
  3. 제2항에 있어서,
    상기 가스공급부재는 상기 가스분배링의 내주면에 결합되고 상기 유로에 연 통되어 상기 소스가스를 분사하는 복수의 인젝터들을 포함하는 것을 특징으로 하는 기판처리장치.
  4. 제1항에 있어서,
    상기 장치는 상기 하부챔버의 개방된 상부를 폐쇄하는 커버를 더 포함하는 것을 특징으로 하는 기판처리장치.
  5. 제1항에 있어서,
    상기 장치는 상기 지지플레이트의 상부에 공급된 소스가스에 에너지를 인가하여 플라스마를 생성하는 플라스마 생성부재를 더 포함하는 것을 특징으로 하는 기판처리장치.
  6. 상부가 개방된 하부챔버와 상기 하부챔버의 상부에 결합되는 가스공급부재를 포함하는 기판처리장치를 제조하는 방법에 있어서,
    상기 가스공급부재는,
    상기 하부챔버의 상부에 결합하며, 상기 하부챔버와 접하는 바닥면으로부터 상부를 향하여 함몰되어 상기 소스가스가 흐르는 유로가 형성된 가스분배링; 및
    상기 바닥면으로부터 함몰된 부분의 입구에 결합되어 외부로부터 밀봉된 상기 유로를 형성하는 씰링(sealing) 부재를 포함하며,
    상기 씰링부재는 상기 입구에 결합되는 것을 특징으로 하는 기판처리장치를 제조하는 방법.
  7. 제6항에 있어서,
    상기 씰링부재를 용접에 의하여 상기 입구에 결합하는 것을 특징으로 하는 기판처리장치를 제조하는 방법.
  8. 제7항에 있어서,
    상기 용접은 전자빔(e-beam) 용접인 것을 특징으로 하는 기판처리장치를 제조하는 방법.
KR1020060138000A 2006-12-29 2006-12-29 기판처리장치 및 이를 제조하는 방법 KR20080062339A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060138000A KR20080062339A (ko) 2006-12-29 2006-12-29 기판처리장치 및 이를 제조하는 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060138000A KR20080062339A (ko) 2006-12-29 2006-12-29 기판처리장치 및 이를 제조하는 방법

Publications (1)

Publication Number Publication Date
KR20080062339A true KR20080062339A (ko) 2008-07-03

Family

ID=39814482

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060138000A KR20080062339A (ko) 2006-12-29 2006-12-29 기판처리장치 및 이를 제조하는 방법

Country Status (1)

Country Link
KR (1) KR20080062339A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180009384A (ko) * 2015-06-17 2018-01-26 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
WO2023069922A1 (en) * 2021-10-18 2023-04-27 Lam Research Corporation Apparatuses for cleaning a multi-station semiconductor processing chamber

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180009384A (ko) * 2015-06-17 2018-01-26 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
CN107835868A (zh) * 2015-06-17 2018-03-23 应用材料公司 在处理腔室中的气体控制
JP2018520516A (ja) * 2015-06-17 2018-07-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
US10590530B2 (en) 2015-06-17 2020-03-17 Applied Materials, Inc. Gas control in process chamber
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
WO2023069922A1 (en) * 2021-10-18 2023-04-27 Lam Research Corporation Apparatuses for cleaning a multi-station semiconductor processing chamber

Similar Documents

Publication Publication Date Title
KR100839190B1 (ko) 기판을 처리하는 장치 및 방법
KR100385532B1 (ko) 플라즈마 처리방법 및 그 장치
US20070266946A1 (en) Semiconductor device manufacturing apparatus and method of using the same
US8821641B2 (en) Nozzle unit, and apparatus and method for treating substrate with the same
KR100829925B1 (ko) 기판을 처리하는 장치 및 방법
KR100605884B1 (ko) 표면 처리 방법 및 장치
KR20150032656A (ko) 급속 열 처리를 이용한 원자 층 증착
KR100905899B1 (ko) 기판 리프팅 유닛과, 이를 이용한 기판 처리 장치 및 방법
US9287110B2 (en) Method and apparatus for wafer electroless plating
KR100855879B1 (ko) 실링부재용 지그 및 실링부재를 삽입하는 방법
KR20080062339A (ko) 기판처리장치 및 이를 제조하는 방법
KR100857232B1 (ko) 기판처리장치 및 기판처리장치의 공정챔버에 형성된 통로를개폐하는 방법, 그리고 기판을 처리하는 방법
KR100888651B1 (ko) 기판을 처리하는 방법 및 장치
KR100819159B1 (ko) 기판처리장치 및 기판처리장치의 공정챔버에 공정가스를공급하는 방법
KR20080062211A (ko) 기판을 처리하는 장치 및 방법
KR100860588B1 (ko) 노즐 어셈블리 및 이를 구비하는 기판처리장치, 그리고기판을 처리하는 방법
KR20080062338A (ko) 복수의 공정챔버들을 포함하는 반도체 제조장치 및 상기공정챔버들을 세정하는 방법
KR100857231B1 (ko) 기판을 처리하는 장치 및 방법
KR100873150B1 (ko) 기판을 처리하는 장치 및 방법
KR100855878B1 (ko) 반도체 제조설비
KR20080062340A (ko) 기판처리장치
KR20080062210A (ko) 어댑터 및 이를 구비하는 기판처리장치, 그리고 어댑터를이용한 연결방법
KR100839189B1 (ko) 반도체 제조장치 및 반도체 제조장치의 공정챔버에 기판을이송하는 방법
KR100839188B1 (ko) 기판을 처리하는 방법 및 장치
KR100978131B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination