KR20070038256A - Transfer robot for cooling wafer - Google Patents

Transfer robot for cooling wafer Download PDF

Info

Publication number
KR20070038256A
KR20070038256A KR1020050093358A KR20050093358A KR20070038256A KR 20070038256 A KR20070038256 A KR 20070038256A KR 1020050093358 A KR1020050093358 A KR 1020050093358A KR 20050093358 A KR20050093358 A KR 20050093358A KR 20070038256 A KR20070038256 A KR 20070038256A
Authority
KR
South Korea
Prior art keywords
wafer
robot
cooling
protrusion
paddle
Prior art date
Application number
KR1020050093358A
Other languages
Korean (ko)
Inventor
박민오
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050093358A priority Critical patent/KR20070038256A/en
Publication of KR20070038256A publication Critical patent/KR20070038256A/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/0084Programme-controlled manipulators comprising a plurality of manipulators
    • B25J9/0087Dual arms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Abstract

본 발명은 반도체 제조설비에서 공정이 완료된 웨이퍼를 이송하면서 냉각시키는 이송로봇에 관한 것이다.The present invention relates to a transfer robot for cooling while transferring a wafer in which a process is completed in a semiconductor manufacturing facility.

반도체 제조설비에서 공정이 완료된 웨이퍼를 이송하면서 냉각시킨 후 냉각챔버로 이송하여 급격한 온도하강으로 인한 웨이퍼의 브로큰이나 크랙을 방지하기 위한 웨이퍼 쿨링기능을 갖는 이송로봇은, 로봇몸체와, 상기 로봇몸체에 에 연결되어 웨이퍼를 안착시키는 적어도 하나이상을 갖는 로봇패들을 구비하고,The transfer robot, which has a wafer cooling function to prevent wafer cracking or cracking due to rapid temperature drop by cooling the wafer having been processed in a semiconductor manufacturing facility while transferring the wafer, is transferred to the cooling chamber. A robot paddle having at least one connected to and seating the wafer,

상기 로봇패들은 웨이퍼와 접촉되는 돌출부와, 상기 돌출부에 웨이퍼(W)가 얹혀질 때 웨이퍼(W)를 흡착되도록 진공상태를 유지하기 위한 진공홀과, 상기 돌출부의 둘레에 일정간격으로 설치되어 상기 돌출부에 안착된 웨이퍼를 냉각시킬 수 있도록 에어를 공급하는 다수의 에어공급홀을 포함한다.The robot paddle is provided with a protrusion contacting the wafer, a vacuum hole for maintaining a vacuum state so as to adsorb the wafer W when the wafer W is placed on the protrusion, and installed at regular intervals around the protrusion. It includes a plurality of air supply holes for supplying air to cool the wafer seated on the protrusion.

로봇패들에 웨이퍼를 냉각시키기위한 다수의 에어공급홀을 형성하여 프로세스 모듈에서 공정이 완료된 고온의 웨이퍼를 이송하면서 에어공급홀을 통해 공급되는 에어에 의해 웨이퍼를 냉각을 시키게 되어 웨이퍼의 브로큰이나 크랙을 방지한다.A plurality of air supply holes are formed in the robot paddle to cool the wafer, and the wafer is cooled by air supplied through the air supply holes while transferring the high temperature wafer in which the process module is completed. To prevent.

냉각장치, 웨이퍼 쿨링, 핸들러, 로봇패들 Chiller, Wafer Cooling, Handler, Robot Paddle

Description

웨이퍼 냉각기능을 갖는 이송로봇{TRANSFER ROBOT FOR COOLING WAFER}TRANSPORT ROBOT FOR COOLING WAFER}

도 1은 종래의 반도체 제조장치의 구조도1 is a structural diagram of a conventional semiconductor manufacturing apparatus

도 2는 본 발명의 실시 예에 따른 이송로봇의 평면도이고,2 is a plan view of a transport robot according to an embodiment of the present invention,

도 3은 본 발명의 실시 예에 따른 이송로봇의 단면도3 is a cross-sectional view of the transfer robot according to an embodiment of the present invention;

* 도면의 주요부분에 대한 부호의 설명 *              Explanation of symbols on the main parts of the drawings

100: 로봇본체 102: 로봇패들100: robot body 102: robot paddle

104: 진공홀 106: 돌출부 104: vacuum hole 106: protrusion

108: 다수의 에어공급홀 108: multiple air supply holes

본 발명은 웨이퍼 냉각기능을 갖는 이송로봇에 관한 것으로, 특히 공정이 완료된 웨이퍼를 이송하면서 냉각시키는 이송로봇에 관한 것이다.The present invention relates to a transfer robot having a wafer cooling function, and more particularly, to a transfer robot for cooling while transferring a wafer in which a process is completed.

일반적으로 반도체장치는 웨이퍼 상에 사진, 식각, 확산, 화학기상증착, 이온주입, 금속증착 등의 공정을 선택적이고도 반복적으로 수행하게 됨으로써 이루어 지고, 이들 반도체장치 제조공정 중 식각, 확산, 화학기상증착 등의 공정은 밀폐된 공정챔버 내에 소정의 분위기하에서 공정가스를 투입함으로써 공정챔버 내의 웨이퍼 상에서 반응토록 하는 공정을 수행하게 된다. 이러한 반도체 제조공정은 공정챔버 내의 웨이퍼 척을 일정한 온도를 유지하기 위해 냉각장치(Chiller)를 이용하여 냉각을 시키게 된다. 반도체 제조용 냉각장치는 반도체 웨이퍼 제조 공정 시 플라즈마를 이용한 식각 및 증착장비에 장착되어 챔버를 초고속으로 정밀하게 냉각 시키므로 웨이퍼의 파손을 막고 웨이퍼의 품질을 일정하게 하기 위한 것으로 대부분 기계적 압축방식을 사용하고 있다.In general, a semiconductor device is formed by selectively and repeatedly performing a process such as photographing, etching, diffusion, chemical vapor deposition, ion implantation, and metal deposition on a wafer, and etching, diffusion, and chemical vapor deposition during manufacturing processes of these semiconductor devices. For example, the process may be performed on a wafer in the process chamber by injecting a process gas into a closed process chamber in a predetermined atmosphere. In this semiconductor manufacturing process, the wafer chuck in the process chamber is cooled by using a chiller to maintain a constant temperature. Cooling device for semiconductor manufacturing is installed in etching and deposition equipment using plasma during semiconductor wafer manufacturing process to cool the chamber precisely at high speed, so it is used to prevent wafer breakage and to make the quality of wafer constant. Most of them use mechanical compression method. .

이러한 웨이퍼 가공공정은 세정공정을 제외하고 웨이퍼를 수백도 정도의 온도로 가열시킨 상태에서 진행된다. 따라서, 웨이퍼 가공 공정이 완료된 웨이퍼는 웨이퍼 자체에 높은 열을 갖게 되어 냉각챔버로 이송하여 냉각시켜야 한다. This wafer processing process is carried out with the wafer heated to a temperature of several hundred degrees except for the cleaning process. Therefore, the wafer after the wafer processing process has high heat in the wafer itself, and must be transferred to a cooling chamber to be cooled.

도 1은 종래의 반도체 제조장치의 구조도이다.1 is a structural diagram of a conventional semiconductor manufacturing apparatus.

웨이퍼를 적재하고 있는 제1 및 제2 로드포트(LOAD PORT)(10, 12)와, 대기상태에서 오염이 되지 않은 공간내에 상기 제1 및 제2 로드포트(10, 12)에 적재된 웨이퍼를 이송하기 위한 ATM로봇(22)와, 상기 ATM로봇(22)에 의해 이송된 웨이퍼의 포지션을 정렬하기 위한 ATM 얼라이너(ALIGNER)(24)를 구비하는 프론트엔드 시스템(FRONT END SYSTEM)(20)과, 시스템 메인 프레임의 중앙에 위치하여 카세트, 스토리지 엘리베이터를 구비하고 있으며, 상기 ATM로봇(22)가 상기 제1 및 제2 로드포트(10, 12)에 있는 웨이퍼를 모두 이송할때까지 상기 카세트에 적재하는 공간을 갖는 제1 및 제2 노드락쳄버(LOAD LOCK CMAMBER)(30)와, 웨이퍼를 프로세스 쳄버로 이송 하기 위한 이송로봇(42)가 설치된 버큠이송쳄버(40)와, 이송된 웨이퍼에 대한 프로세스(PROCESS)를 진행하기 위해 포지션별로 4개로 구분되어 있는 제1 내지 제4 프로세스 모듈(52, 54, 56, 58)과, 상기 제1 내지 제4 프로세스 모듈(52, 54, 56, 58)로부터 프로세스가 완료된 웨이퍼를 쿨링하기 위한 쿨링쳄버(60)로 이루어져 있다. The first and second load ports 10 and 12 for loading the wafers, and the wafers loaded in the first and second load ports 10 and 12 in a space not contaminated in the atmospheric state Front end system 20 having an ATM robot 22 for transferring and an ATM aligner 24 for aligning positions of wafers transferred by the ATM robot 22. And a cassette and a storage elevator located at the center of the system main frame, and the cassette is moved until the ATM robot 22 transfers all the wafers in the first and second load ports 10 and 12. First and second node lock chambers 30 having a space to be loaded in the chamber; a vacuum transfer chamber 40 provided with a transfer robot 42 for transferring the wafers to the process chamber; and the transferred wafers. In order to proceed with the process (PROCESS) for each position is divided into four Is a cooling chamber 60 for cooling the first to fourth process modules 52, 54, 56, and 58 and the wafer on which the process is completed from the first to fourth process modules 52, 54, 56, and 58. Consists of

제1 로드포트(10)나 제2 로드포트(12)에 적재되어 있는 웨이퍼를 ATM 로봇(22)가 이송하여 ATM 얼라이너(24)에 얹저 놓으면 ATM 얼라이너(24)는 이송한 웨이퍼를 제1 내지 제4 프로세서모듈(52, 54, 56, 58)의 중심에 위치시키기 위해 정렬한다. 이때 ATM 로봇(22)는 엘리베이터상에 장착된 카세트로 웨이퍼를 하나 또는 두 개씩 이송하여 적재한다. 이렇게 제1 로드포트(10)나 제2 로드포트(12)에 적재되어 있는 웨이퍼가 모두 제1 로드락 쳄버(30)나 제2 로드락쳄버(32)로 이송이 완료되면 제1 및 제2 로드락쳄버(30, 32)는 도어를 닫고 불순물이 들어가지 않도록 압력을 뽑아내어 진공상태로 만든다. 그런 후 이송로봇(42)는 제1 로드락쳄버(30)나 제2 로드락쳄버(30, 32)에 적재된 웨이퍼를 제1 내지 제4 프로세서모듈(52, 54, 56, 58)로 공급하여 해당 프로세스를 진행한다. 이렇게 웨이퍼의 프로세스 진행이 완료되면 웨이퍼가 쿨링쳄버(60)로 이송되어 하나 또는 두 개씩 쿨링을 하게 된다. 이때 쿨링이 완료된 웨이퍼는 이송로봇(42)에 의해 다시 제1 로드락쳄버(30)나 제2 로드락쳄버(32)내의 카세트로 이송된다. 이렇게 쿨링이 완료되어 예를들어 25개의 웨이퍼가 모두 제1 로드락쳄버(30)나 제2 로드락쳄버(32)의 카세트로 이송되면 제1 로드락쳄버(30)나 제2 로드락쳄버(32)의 도어가 열리게 된다. 그러면 ATM로봇(22) 는 제1 로드락쳄버(30)나 제2 로드락쳄버(32)의 카세트에 적재된 웨이퍼를 제1 로드포트(10)나 제2 로드포트(12)로 이송시킨다. 이렇게 하여 예컨데 25개의 웨이퍼를 제1 로드포트(10)나 제2 로드포트(12)로 모두 이송시키게 된다. When the ATM robot 22 transfers the wafers loaded in the first load port 10 or the second load port 12 and places them on the ATM aligner 24, the ATM aligner 24 removes the transferred wafers. Align to place in the center of the first to fourth processor modules 52, 54, 56, 58. At this time, the ATM robot 22 transfers one or two wafers to a cassette mounted on an elevator and loads them. When the wafers loaded in the first load port 10 or the second load port 12 are transferred to the first load lock chamber 30 or the second load lock chamber 32, the first and second load ports 10 and 12 are completed. The load lock chambers 30 and 32 close the door and extract the pressure so that impurities do not enter into the vacuum state. Then, the transfer robot 42 supplies the wafers loaded in the first load lock chamber 30 or the second load lock chambers 30 and 32 to the first to fourth processor modules 52, 54, 56, and 58. To proceed with the process. When the process of the wafer is completed, the wafer is transferred to the cooling chamber 60 to cool one or two. At this time, the cooled wafer is transferred to the cassette in the first load lock chamber 30 or the second load lock chamber 32 by the transfer robot 42 again. After cooling is completed, for example, when all 25 wafers are transferred to the cassette of the first load lock chamber 30 or the second load lock chamber 32, the first load lock chamber 30 or the second load lock chamber ( The door of 32 is opened. Then, the ATM robot 22 transfers the wafer loaded in the cassette of the first load lock chamber 30 or the second load lock chamber 32 to the first load port 10 or the second load port 12. In this way, for example, all 25 wafers are transferred to the first load port 10 or the second load port 12.

상기와 같은 종래의 반도체 제조설비에서 프로세스모듈에서 공정이 완료된 고온의 웨이퍼는 이송로봇(42)에 의해 냉각챔버(60)로 이송되고, 냉각챔버(60)의 쿨링풀레이트로 이송된 웨이퍼는 급격한 온도하강으로 인해 웨이퍼가 브로큰되거나 크랙이 발생하는 문제가 있었다. In the conventional semiconductor manufacturing equipment as described above, the wafer having a high temperature after the process is completed in the process module is transferred to the cooling chamber 60 by the transfer robot 42, and the wafer transferred to the cooling pool rate of the cooling chamber 60 is abruptly abruptly. Due to the temperature drop, the wafer was broken or cracked.

따라서 본 발명의 목적은 상기와 같은 문제를 해결하기 위해 반도체 제조설비에서 공정이 완료된 웨이퍼를 이송하면서 냉각시킨 후 냉각챔버로 이송하여 급격한 온도하강으로 인한 웨이퍼의 브로큰이나 크랙을 방지할 수 있는 웨이퍼 냉각기능을 갖는 이송로봇을 제공함에 있다.Therefore, an object of the present invention is to cool the wafer to transfer the wafer is completed in the semiconductor manufacturing equipment to solve the above problems and then transfer to the cooling chamber to prevent cracking or cracking of the wafer due to rapid temperature drop It is to provide a transfer robot with a function.

상기 목적을 달성하기 위한 웨이퍼 냉각기능을 갖는 이송로봇은, 로봇몸체와, 상기 로봇몸체에 에 연결되어 웨이퍼를 안착시키는 적어도 하나이상을 갖는 로봇패들을 구비하고,A transfer robot having a wafer cooling function for achieving the above object includes a robot body and a robot paddle having at least one robot connected to the robot body and seating a wafer.

상기 로봇패들은 웨이퍼와 접촉되는 돌출부와, 상기 돌출부에 웨이퍼(W)가 얹혀질 때 웨이퍼(W)를 흡착되도록 진공상태를 유지하기 위한 진공홀과, 상기 돌출 부의 둘레에 일정간격으로 설치되어 상기 돌출부에 안착된 웨이퍼를 냉각시킬 수 있도록 에어를 공급하는 다수의 에어공급홀을 포함함을 특징으로 한다.The robot paddle is provided with a protrusion contacting the wafer, a vacuum hole for maintaining a vacuum state so as to adsorb the wafer W when the wafer W is placed on the protrusion, and installed at predetermined intervals around the protrusion. It characterized in that it comprises a plurality of air supply holes for supplying air to cool the wafer seated on the protrusion.

상기 로봇패들은, 상기 로봇몸체에 대칭으로 설치됨을 특징으로 한다.The robot paddle is characterized in that it is installed symmetrically to the robot body.

이하 본 발명에 따른 바람직한 실시 예를 첨부한 도면을 참조하여 상세히 설명한다. 그리고 본 발명을 설명함에 있어서, 관련된 공지 기능 혹은 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the following description of the present invention, if it is determined that a detailed description of a related known function or configuration may unnecessarily obscure the subject matter of the present invention, the detailed description thereof will be omitted.

도 2는 본 발명의 실시 예에 따른 이송로봇의 평면도이고,2 is a plan view of a transport robot according to an embodiment of the present invention,

도 3은 본 발명의 실시 예에 따른 이송로봇의 단면도이다. 3 is a cross-sectional view of the transfer robot according to an embodiment of the present invention.

로봇몸체(100)와, 상기 로봇몸체(100)에 대칭으로 설치되어 웨이퍼를 각각 안착시키는 로봇패들(102)로 구성되어 있다.The robot body 100, and the robot paddle 102 is installed symmetrically on the robot body 100 to seat the wafer, respectively.

상기 로봇패들(102)은 웨이퍼와 접촉되는 돌출부(106)와, 상기 돌출부(106)에 웨이퍼(W)가 얹혀질 때 웨이퍼(W)를 흡착되도록 진공상태를 유지하기 위한 진공홀(104)과, 상기 돌출부(106)의 둘레에 일정간격으로 설치되어 상기 돌출부(106)에 안착된 웨이퍼를 냉각시킬 수 있도록 에어를 공급하는 다수의 에어공급홀(108)을 포함한다.The robot paddle 102 has a protrusion 106 in contact with a wafer and a vacuum hole 104 for maintaining a vacuum state so as to adsorb the wafer W when the wafer W is placed on the protrusion 106. And a plurality of air supply holes 108 installed at predetermined intervals around the protrusions 106 to supply air to cool the wafer seated on the protrusions 106.

상술한 도 2 및 도 3을 참조하여 본 발명의 바람직한 실시예의 동작을 상세히 설명한다.2 and 3 will be described in detail the operation of the preferred embodiment of the present invention.

로봇본체(100)는 로봇패들(102)을 승,하강하거나 회전시켜 웨이퍼를 이송시키도록 한다. 로봇본체(100)에는 2개의 로봇패들(102)이 대칭으로 설치되어 있다. 2개의 로봇패들(102)의 일측에는 웨이퍼(W)를 흡착하기 위한 진공홀(104)이 설치되어 있다. 따라서 프로세스 모듈에서 공정이 완료된 웨이퍼(W)는 도 3과 같이 로봇패들(102)에 형성된 돌출부(106)에 얹혀진다. 그리고 웨이퍼(W)는 진공홀(104)을 통해 진공상태가 유지됨으로 인해 흡입력이 발생되어 로봇패들(102)에 흡착된다. 이때 로봇패들(102)에는 다수의 에어공급홀(108)이 형성되어 있어 다수의 에어공급홀(108)을 통해 에어가 공급되어 상기 로봇패들(102)에 흡착되어 있는 웨이퍼(W)가 로봇에 의해 이송되면서 냉각이 이루어진다. 상기 로봇패들(102)에 안착되어 있는 웨이퍼(W)는 냉각되면서 이송되어 도시하지 않은 냉각챔버의 냉각플레이트에 놓여 냉각공정이 진행된다. 상기 로봇패들(102)에는 웨이퍼(W)를 안착시키기 위한 돌출부(106)가 설치되어 있다. 돌출부(106)는 로봇패들(102)의 평면으로부터 일정두께의 단차를 갖도록 하여 웨이퍼(W)가 안착될 때 로봇패들(102)의 평면에 닿지 않도록 한다.The robot body 100 moves the robot paddle 102 up, down or rotates to transfer the wafer. Two robot paddles 102 are symmetrically installed in the robot body 100. One side of the two robot paddles 102 is provided with a vacuum hole 104 for sucking the wafer (W). Therefore, the wafer W having completed the process in the process module is mounted on the protrusion 106 formed in the robot paddle 102 as shown in FIG. 3. In addition, the wafer W is sucked into the robot paddle 102 by generating suction force due to the vacuum state being maintained through the vacuum hole 104. In this case, a plurality of air supply holes 108 are formed in the robot paddle 102, so that air is supplied through the plurality of air supply holes 108 and the wafer W adsorbed to the robot paddle 102 is formed. Cooling is carried out by the robot. The wafer W seated on the robot paddle 102 is cooled and transferred to a cooling plate of a cooling chamber (not shown) to proceed with the cooling process. The robot paddle 102 is provided with a protrusion 106 for seating the wafer (W). The protrusion 106 may have a predetermined thickness step from the plane of the robot paddle 102 so as not to contact the plane of the robot paddle 102 when the wafer W is seated.

상술한 바와 같이 본 발명은 로봇패들에 웨이퍼를 냉각시키기위한 다수의 에어공급홀을 형성하여 프로세스 모듈에서 공정이 완료된 고온의 웨이퍼를 이송하면서 에어공급홀을 통해 공급되는 에어에 의해 웨이퍼를 냉각을 시키게 되어 웨이퍼의 브로큰이나 크랙을 방지할 수 있는 이점이 있다. As described above, the present invention forms a plurality of air supply holes for cooling the wafer in the robot paddle, thereby cooling the wafer by the air supplied through the air supply hole while transferring the high temperature wafer in which the process is completed in the process module. It is possible to prevent cracking or cracking of the wafer.

Claims (2)

웨이퍼 냉각기능을 갖는 이송로봇에 있어서,In the transfer robot having a wafer cooling function, 로봇몸체와, Robot body, 상기 로봇몸체에 에 연결되어 웨이퍼를 안착시키는 적어도 하나이상을 갖는 로봇패들을 구비하고,A robot paddle having at least one connected to the robot body to seat a wafer; 상기 로봇패들은 웨이퍼와 접촉되는 돌출부와, 상기 돌출부에 웨이퍼(W)가 얹혀질 때 웨이퍼(W)를 흡착되도록 진공상태를 유지하기 위한 진공홀과, 상기 돌출부의 둘레에 일정간격으로 설치되어 상기 돌출부에 안착된 웨이퍼를 냉각시킬 수 있도록 에어를 공급하는 다수의 에어공급홀을 포함함을 특징으로 하는 웨이퍼 냉각기능을 갖는 이송로봇.The robot paddle is provided with a protrusion contacting the wafer, a vacuum hole for maintaining a vacuum state so as to adsorb the wafer W when the wafer W is placed on the protrusion, and installed at regular intervals around the protrusion. A transfer robot having a wafer cooling function, characterized in that it comprises a plurality of air supply holes for supplying air to cool the wafer seated on the projection. 제1항에 있어서, The method of claim 1, 상기 로봇패들은, 상기 로봇몸체에 대칭으로 설치됨을 특징으로 하는 웨이퍼 냉각기능을 갖는 이송로봇.The robot paddle, a transfer robot having a wafer cooling function, characterized in that installed on the robot body symmetrically.
KR1020050093358A 2005-10-05 2005-10-05 Transfer robot for cooling wafer KR20070038256A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050093358A KR20070038256A (en) 2005-10-05 2005-10-05 Transfer robot for cooling wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050093358A KR20070038256A (en) 2005-10-05 2005-10-05 Transfer robot for cooling wafer

Publications (1)

Publication Number Publication Date
KR20070038256A true KR20070038256A (en) 2007-04-10

Family

ID=38159649

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050093358A KR20070038256A (en) 2005-10-05 2005-10-05 Transfer robot for cooling wafer

Country Status (1)

Country Link
KR (1) KR20070038256A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106426209A (en) * 2016-11-09 2017-02-22 上海华力微电子有限公司 Mechanical arm device capable of detecting wafer sliding

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106426209A (en) * 2016-11-09 2017-02-22 上海华力微电子有限公司 Mechanical arm device capable of detecting wafer sliding

Similar Documents

Publication Publication Date Title
JP6582676B2 (en) Load lock device and substrate processing system
JP2013033965A (en) Substrate processing apparatus, substrate processing facility, and substrate processing method
KR101400157B1 (en) Apparatus, system and method for treating substrate
US20160035601A1 (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
EP1422742A2 (en) System for processing semiconductor wafers
US11430679B2 (en) Semiconductor manufacturing apparatus
KR102396808B1 (en) Multi-substrate thermal management apparatus
JP2004506310A (en) Semiconductor wafer processing apparatus and semiconductor wafer processing method
JP2005259858A (en) Substrate processing apparatus
KR20070038256A (en) Transfer robot for cooling wafer
US20150303094A1 (en) Apparatus and method for treating substrate
KR20070121394A (en) Chamber and method for cooling substrate, and plasma treating apparatus and method with the member
KR20020081730A (en) Semiconductor production device for removing hume
KR100803562B1 (en) Apparatus for processing a substrate
KR101433810B1 (en) System and method for treating substrate
KR100566697B1 (en) Multi-chamber system for fabricating semiconductor devices and method of fabricating semiconductor devices using thereof
JP4359109B2 (en) Substrate processing apparatus and substrate processing method
KR102241600B1 (en) Loadlock chamber and system for treating substrate with the loadlock chamber
JP2004119627A (en) Semiconductor device manufacturing apparatus
US20230386870A1 (en) Wet processing system and system and method for manufacturing semiconductor structure
KR20070038854A (en) Wafer cooling equipment for preventing damage of wafer
KR20080060781A (en) Apparatus and method for dry etching of substrates
KR100342298B1 (en) Cluster tool for manufacturing a wafer
KR20090072189A (en) Apparatus for transferring wafer
KR102344253B1 (en) Side storage unit and system for treating substrate with the side storage unit

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination