KR100342298B1 - Cluster tool for manufacturing a wafer - Google Patents

Cluster tool for manufacturing a wafer Download PDF

Info

Publication number
KR100342298B1
KR100342298B1 KR1020000050843A KR20000050843A KR100342298B1 KR 100342298 B1 KR100342298 B1 KR 100342298B1 KR 1020000050843 A KR1020000050843 A KR 1020000050843A KR 20000050843 A KR20000050843 A KR 20000050843A KR 100342298 B1 KR100342298 B1 KR 100342298B1
Authority
KR
South Korea
Prior art keywords
wafers
wafer
load lock
lock chamber
load
Prior art date
Application number
KR1020000050843A
Other languages
Korean (ko)
Other versions
KR20010015993A (en
Inventor
배준호
Original Assignee
황 철 주
주성엔지니어링(주)
배준호
코스텍시스템(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 황 철 주, 주성엔지니어링(주), 배준호, 코스텍시스템(주) filed Critical 황 철 주
Priority to KR1020000050843A priority Critical patent/KR100342298B1/en
Publication of KR20010015993A publication Critical patent/KR20010015993A/en
Application granted granted Critical
Publication of KR100342298B1 publication Critical patent/KR100342298B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 반도체 제조 시 프로세스를 진행하기 위해 웨이퍼를 베큠이송모듈을 거치지 않고 로드락 쳄버내에서 바로 프로세스모듈로 이송하는 클러스터 툴에 관한 것이다.The present invention relates to a cluster tool for transferring a wafer directly to a process module in a load lock chamber without going through a vacuum transfer module in order to proceed with a process during semiconductor manufacturing.

본 발명의 반도체 제조장치에 있어서, 로드락쳄버내에 베큠이송로봇트를 설치하여 웨이퍼를 가공할 시 로드락 쳄버에 로드된 웨이퍼를 직접 로드락쳄버내의 베큠이송암을 이용하여 프로세스모듈로 이송하여 프로세스 진행을 하고, 프로세스 진행이 완료된 웨이퍼를 다시 로드락쳄버 내의 베큠이송암을 이용하여 로드락쳄버로 이송하도록 한다.In the semiconductor manufacturing apparatus of the present invention, when a wafer transfer robot is installed in a load lock chamber to process a wafer, the wafer loaded in the load lock chamber is transferred directly to a process module using a vacuum transfer arm in the load lock chamber to perform a process. After the process is completed, the wafer is transferred back to the load lock chamber using a vacuum transfer arm in the load lock chamber.

Description

웨이퍼 가공을 위한 클러스터 툴{CLUSTER TOOL FOR MANUFACTURING A WAFER}Cluster tool for wafer processing {CLUSTER TOOL FOR MANUFACTURING A WAFER}

본 발명은 반도체 제조장치에서 클러스터 툴에 관한 것으로, 특히 반도체 제조 시 프로세스를 거쳐 웨이퍼를 가공하기 위한 클러스터 툴에 관한 것이다.TECHNICAL FIELD The present invention relates to a cluster tool in a semiconductor manufacturing apparatus, and more particularly, to a cluster tool for processing a wafer through a process during semiconductor manufacturing.

일반적으로 반도체를 제조하는 과정에서 반도체기판인 웨이퍼는 물질층의 증착공정과 증착된 물질층을 에칭하는 공정, 세정공정, 건조공정 등 여러단계의 공정을 거치게 된다. 이러한 공정에서 웨이퍼는 해당공정을 실시하기에 가장 적합한 조건에 놓이게된다. 이러한 공정을 하기 위해서는 웨이퍼를 해당 공정을 실시하는 프로세스 모듈내로 이송시켜 주어야 하는데 여기서 사용되는 것이 진공 클러스터 툴이다.In general, in the process of manufacturing a semiconductor, a wafer, which is a semiconductor substrate, is subjected to various steps such as a deposition process of a material layer, an etching process of a deposited material layer, a cleaning process, and a drying process. In such a process, the wafer is placed under the most suitable conditions for carrying out the process. To do this, the wafer must be transferred into the process module that performs the process. The vacuum cluster tool is used here.

도 1은 종래의 반도체 제조장치의 클러스터 툴의 구조도이다.1 is a structural diagram of a cluster tool of a conventional semiconductor manufacturing apparatus.

웨이퍼를 적재하고 있는 제1 및 제2 로드포트(LOAD PORT)(10, 12)와, 대기상태에서 오염이 되지 않은 공간내에 상기 제1 및 제2 로드포트(10, 12)에 적재된 웨이퍼를 이송하기 위한 ATM로봇트(22)와, 상기 ATM로봇트(22)에 의해 이송된 웨이퍼의 포지션을 정렬하기 위한 ATM 얼라이너(ALIGNER)(24)를 구비하는 프론트엔드 시스템(FRONT END SYSTEM)(20)과, 시스템 메인 프레임의 중앙에 위치하여 쉘프(shelf)를 구비하고 있으며, 상기 ATM로봇트(22)가 상기 제1 및 제2 로드포트(10, 12)에 있는 웨이퍼를 모두 이송할 때까지 상기 쉘프에 적재하고 있는 제1 및 제2 노드락쳄버(LOAD LOCK CMAMBER)(30, 32)와, 웨이퍼를 프로세스 쳄버로 이송하고, 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 노드락쳄버(30, 32)로 이송하기 위한 베큠로봇트(42)가 설치된 베큠이송모듈(40)과, 이송된 웨이퍼에 대한 프로세스(PROCESS)를 진행하기 위해 포지션별로 2개로 구분되어 있는 제1 내지 제2 프로세스 모듈(50, 52)로 구성되어 있다.The first and second load ports 10 and 12 for loading the wafers, and the wafers loaded in the first and second load ports 10 and 12 in a space not contaminated in the atmospheric state Front end system 20 having an ATM robot 22 for transfer and an ATM aligner 24 for aligning the position of the wafer transferred by the ATM robot 22. And a shelf located at the center of the system main frame, and the shelf until the ATM robot 22 transports all the wafers in the first and second load ports 10 and 12. The first and second node lock chambers 30 and 32 loaded in the wafer and the wafer are transferred to the process chamber, and the wafers on which the process is completed are transferred to the first and second node lock chambers 30 and 32. 32) a vacuum transfer module 40 having a vacuum robot 42 for transferring to the wafer, and a wafer In order to proceed with the process (PROCESS) is composed of first to second process modules (50, 52) divided into two by position.

제1 로드포트(10)나 제2 로드포트(12)에 적재되어 있는 웨이퍼를 ATM 로봇트(22)가 이송하여 ATM 얼라이너(24)에 얹저 놓으면 ATM 얼라이너(24)는 이송한 웨이퍼를 제1 내지 제4 프로세스 모듈(52, 54)에 정확하게 놓이도록 위치를 정렬한다. 이때 ATM 로봇트(22)는 로드락쳄버(30, 32)의 쉘프로 웨이퍼를 하나씩 이송하여 적재한다. 이렇게 제1 로드포트(10)나 제2 로드포트(12)에 적재되어 있는 웨이퍼가 모두 제1 로드락 쳄버(30)나 제2 로드락쳄버(32)로 이송이 완료되면 제1 및 제2 로드락쳄버(30, 32)는 도어를 닫고 불순물이 들어가지 않도록 압력을 뽑아내어 진공상태로 만든다. 그런 후 베큠이송모듈(40)의 베큠로봇트(42)는 제1 로드락쳄버(30)나 제2 로드락쳄버(30, 32)의 쉘프에 적재된 웨이퍼를 제1 내지 제2 프로세서모듈(50, 52)로 공급하여 해당 프로세스를 진행한다. 이렇게 웨이퍼의 프로세스 진행이 완료되면 프로세스 진행이 완료된 웨이퍼는 베큠로봇트(42)에 의해 다시 제1 로드락쳄버(30)나 제2 로드락쳄버(32)의 쉘프로 이송된다. 제1 로드락쳄버(30)나 제2 로드락쳄버(32)의 쉘프로 이송되면 제1 로드락쳄버(30)나 제2 로드락쳄버(32)가 상압으로 벤트(Vent)한다.When the ATM robot 22 transfers the wafer loaded in the first load port 10 or the second load port 12 and places it on the ATM aligner 24, the ATM aligner 24 removes the transferred wafer. Position them so that they lie exactly in the first to fourth process modules 52, 54. At this time, the ATM robot 22 transfers and loads wafers one by one into the shelf of the load lock chambers 30 and 32. When the wafers loaded in the first load port 10 or the second load port 12 are transferred to the first load lock chamber 30 or the second load lock chamber 32, the first and second load ports 10 and 12 are completed. The load lock chambers 30 and 32 close the door and extract the pressure so that impurities do not enter into the vacuum state. The vacuum robot 42 of the vacuum transfer module 40 then loads the wafers loaded on the shelves of the first load lock chamber 30 or the second load lock chambers 30 and 32 from the first to second processor modules 50. , 52) to proceed with the process. When the process progress of the wafer is completed in this way, the wafer whose process progress is completed is transferred to the shelf of the first load lock chamber 30 or the second load lock chamber 32 by the vacuum robot 42 again. When the first load lock chamber 30 or the second load lock chamber 32 is conveyed to the shelf, the first load lock chamber 30 or the second load lock chamber 32 is vented to normal pressure.

이렇게 한 후 제1 및 제2 로드락쳄버(30, 32)는 제1 로드포트(10)나 제2 로드포트(12)로 웨이퍼를 이송할 준비를 하게 되어 제1 및 제2 로드락쳄버(30, 32)의 도어를 열리도록 한다. 그러면 ATM로봇트(22)는 제1 로드락쳄버(30)나 제2 로드락쳄버(32)의 쉘프에 적재된 웨이퍼를 제1 로드포트(10)나 제2 로드포트(12)로 이송시킨다. 이러한 동작을 반복 수행하여 다수의 웨이퍼를 가공하도록 한다.After this, the first and second load lock chambers 30 and 32 are prepared to transfer the wafer to the first load port 10 or the second load port 12, thereby providing a first and second load lock chamber ( Open the doors of 30 and 32). Then, the ATM robot 22 transfers the wafer loaded on the shelf of the first load lock chamber 30 or the second load lock chamber 32 to the first load port 10 or the second load port 12. This operation is repeated to process multiple wafers.

그런데 이와 같은 종래의 클러스터 툴의 구조는 로드락쳄버에 로드된 웨이퍼를 베큠이송모듈의 베큠로봇트에 의해 프로세스 모듈로 이송되므로 구조가 복잡하고, 풋프린트(FOOTPRINT)가 높고 제작비용이 높아지는 문제가 있었다.However, such a conventional cluster tool has a problem in that the wafer loaded in the load lock chamber is transferred to the process module by the vacuum robot of the vacuum transfer module, which results in complicated structure, high footprint, and high manufacturing cost. .

따라서 본 발명의 목적은 프로세스 진행을 위해 로드락쳄버에서 웨이퍼를 프로세스모듈로 이송하거나 프로세스 진행이 완료된 웨이퍼를 프로세스모듈에서 로드락쳄버로 직접 이송하여 제작비용과 풋프린트(footprint:바닥면적)를 감소시키는 클러스터 툴을 제공함에 있다.Accordingly, an object of the present invention is to reduce the manufacturing cost and footprint (footprint) by transferring the wafer from the load lock chamber to the process module for processing, or directly transfer the wafer from the process module to the load lock chamber. To provide a cluster tool to make.

본 발명의 다른 목적은 로드락쳄버내에 베큠이송 암을 설치하여 로드락쳄버에서 프로세스모듈로 직접 웨이퍼를 이송하도록 하여 시스템의 구조를 간소화하는클러스터 툴을 제공함에 있다.It is another object of the present invention to provide a cluster tool that installs a vacuum transfer arm in a load lock chamber to transfer wafers directly from the load lock chamber to a process module, thereby simplifying the structure of the system.

도 1은 종래의 반도체 제조장치에서 클러스터 툴의 구조도1 is a structural diagram of a cluster tool in a conventional semiconductor manufacturing apparatus

도 2는 본 발명의 일 실시 예에 따른 반도체 제조장치에서 클러스터 툴의 개략적인 구조도이고,2 is a schematic structural diagram of a cluster tool in a semiconductor manufacturing apparatus according to an embodiment of the present invention;

도 3은 본 발명의 일 실시 예에 따른 반도체 제조장치에서 클러스터 툴의 상세구조도이다.3 is a detailed structural diagram of a cluster tool in a semiconductor manufacturing apparatus according to an embodiment of the present invention.

도 4a는 본 발명의 일 실시예에 따른 도 3에 장착된 베큠이송 암의 왼쪽 암이 신장된 상태를 나타낸 평면도4A is a plan view illustrating an extended state of the left arm of the vacuum transfer arm mounted in FIG. 3 according to one embodiment of the present invention;

도 4b는 본 발명의 일 실시 예에 따른 도 3에 장착된 베큠이송 암이 웨이퍼를 로드락쳄버내에 적재하고 있는 상태의 평면도4B is a plan view of the vacuum transfer arm mounted in FIG. 3 according to an embodiment of the present invention, in which a wafer is loaded into a load lock chamber.

도 4c는 본 발명의 일 실시 예에 따른 도 3에 장착된 베큠이송 암이 웨이퍼를 로드락쳄버내에 적재하고 있는 상태의 정면도4C is a front view of the vacuum transfer arm mounted in FIG. 3 according to an embodiment of the present invention, in which a wafer is loaded into a load lock chamber.

도 5는 본 발명의 일 실시 예에 따른 도 3에 장착된 베큠이송 암의 사시도5 is a perspective view of the vacuum transfer arm mounted in FIG. 3 according to an embodiment of the present invention;

도 6은 본 발명의 다른 실시예에 따른 하나의 베큠이송 암을 갖는 로드락쳄버를 구비한 클러스터 툴의 구조도6 is a structural diagram of a cluster tool having a load lock chamber having one vacuum transfer arm according to another embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

100,102: 제1 및 제2로드포트 200: 프론트 엔드시스템100, 102: first and second load port 200: front end system

202: ATM로봇트 204: ATM 얼라이너202: ATM robot 204: ATM aligner

300, 302: 제1 및 제2 로드락쳄버300, 302: first and second load lock chambers

400, 402: 제1 및 제2 슬롯밸브400, 402: first and second slot valves

500, 502 : 제1 내지 제2 프로세스모듈500, 502: first to second process modules

상기 목적을 달성하기 위한 본 발명의 반도체 제조장치의 클러스터 툴에 있어서, 웨이퍼를 적재하고 있는 제1 및 제2 로드포트와, 대기상태에서 오염이 되지 않은 공간내에 상기 제1 및 제2 로드포트에 적재된 웨이퍼를 이송하기 위한 ATM로봇트와, 상기 ATM로봇트에 의해 이송된 웨이퍼의 포지션을 정렬하기 위한 ATM 얼라이너를 구비하는 프론트엔드 시스템과, 메인 프레임의 중앙에 설치되어 있으며 상기 ATM로봇트에 의해 이송된 웨이퍼를 제1 및 제2 엔드이팩트에 적재하고 상기 적재한 웨이퍼를 프로세스하기 위해 이송하고, 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 엔드이팩트로 이송하기 위한 제1 및 제2 베큠이송암을 각각 구비하고 있는 제1 및 제2 로드락쳄버와, 상기 제1 및 제2 로드락쳄버와 제1 및 제2 프로세스 모듈을 분리하기 위한 제1 및 제2 슬롯밸브와, 상기 제1 및 제2 로드락 쳄버로부터 이송된 웨이퍼를 프로세스를 진행하기 위해 포지션별로 2개로 구분되어 있는 제1 및 제2 프로세스쳄버를 구비하고 있는 제1 내지 제2 프로세스 모듈로 구성함을 특징으로 한다.In the cluster tool of the semiconductor manufacturing apparatus of the present invention for achieving the above object, the first and second load ports carrying the wafer, and the first and second load ports in the space that is not contaminated in the air state A front end system having an ATM robot for transporting the loaded wafers, an ATM aligner for aligning the positions of the wafers carried by the ATM robot, and a central end of the main frame, which is transported by the ATM robot. First and second vacuum transfer arms for loading wafers into the first and second end effects and transferring the loaded wafers for processing, and transferring the finished wafers to the first and second end effects. First and second load lock chambers respectively provided, and a first for separating the first and second load lock chambers from the first and second process modules, respectively. First to second process modules including a second slot valve and first and second process chambers divided into two positions for each process to process wafers transferred from the first and second load lock chambers. It is characterized by the configuration.

이하 본 발명에 따른 바람직한 실시 예를 첨부한 도면을 참조하여 상세히 설명한다. 그리고 본 발명을 설명함에 있어서, 관련된 공지 기능 혹은 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the following description of the present invention, if it is determined that a detailed description of a related known function or configuration may unnecessarily obscure the subject matter of the present invention, the detailed description thereof will be omitted.

도 2는 본 발명의 일 실시 예에 따른 반도체 제조장치에서 클러스터 툴의 구조도이다.2 is a structural diagram of a cluster tool in a semiconductor manufacturing apparatus according to an embodiment of the present invention.

웨이퍼를 적재하고 있는 제1 및 제2 로드포트(LOAD PORT)(100, 102)와, 대기상태에서 오염이 되지 않은 공간내에 상기 제1 및 제2 로드포트(100, 102)에 적재된 웨이퍼를 이송하기 위한 ATM로봇트(202)와, 상기 ATM로봇트(202)에 의해 이송된 웨이퍼의 포지션을 정렬하기 위한 ATM 얼라이너(ALIGNER)(204)를 구비하는 프론트엔드 시스템(FRONT END SYSTEM)(200)과, 메인 프레임의 중앙에 설치되어 있으며 상기 ATM로봇트에 의해 이송된 웨이퍼를 제1 및 제2 엔드이팩트(END EFFECTOR)(311, 312)에 각각 적재하고, 상기 적재한 웨이퍼를 교대로 제1 및 제2 프로세스 쳄버(504, 506)로 이송하고, 제1 및 제2 프로세스 쳄버(504, 506)로부터 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 엔드이팩트(311, 312)에 얹져 이송하기 위한 제1 및 제2 베큠이송암(304, 306)을 각각 구비하고 있는 제1 및 제2 로드락쳄버(LOAD LOCK CMAMBER)(300, 302)와, 상기 제1 및 제2 로드락쳄버(300, 302)와 제1 및 제2 프로세스 모듈(500, 502)을 분리하기 위한 제1 및 제2 슬롯밸브(400, 402)와, 상기 제1 및 제2 로드락 쳄버(300, 302)로부터 이송된 웨이퍼를 프로세스(PROCESS)를 진행하기 위해 포지션별로 2개로 구분되어 있는 제1 및 제2 프로세스쳄버(504, 506)를 구비하고 있는 제1 내지 제2 프로세스 모듈(500, 502)로 구성되어 있다. 상기 제1 및 제2 베큠이송암(304, 306)은 각각 2개의 웨이퍼를 이송하기 위한 2개의 암으로 구성되어 있다.The first and second load ports 100 and 102 for loading the wafers, and the wafers loaded in the first and second load ports 100 and 102 in a space which is not contaminated in the atmospheric state FRONT END SYSTEM 200 having an ATM robot 202 for transferring and an ATM aligner 204 for aligning the position of the wafer transferred by the ATM robot 202. And a wafer which is installed at the center of the main frame and which is transported by the ATM robot in the first and second end effects 311 and 312, respectively, and alternately loads the loaded wafer. The wafers are transferred to the second process chambers 504 and 506, and the wafers having the process progressed from the first and second process chambers 504 and 506 are mounted on the first and second end effects 311 and 312 and transferred. First and second load locks having first and second vacuum transfer arms 304 and 306, respectively LOAD LOCK CMAMBER (300, 302), and first and second slots for separating the first and second load lock chamber (300, 302) and the first and second process modules (500, 502) The valves 400 and 402 and the wafers transferred from the first and second load lock chambers 300 and 302 are divided into two positions by the first and second process chambers in order to proceed with the process. It consists of the 1st-2nd process modules 500 and 502 provided with the 504 and 506. As shown in FIG. The first and second vacuum transfer arms 304 and 306 each consist of two arms for transferring two wafers.

도 3은 본 발명의 일 실시 예에 따른 반도체 제조장치에서 클러스터 툴의 상세구조도이다.3 is a detailed structural diagram of a cluster tool in a semiconductor manufacturing apparatus according to an embodiment of the present invention.

제1 로드락쳄버(300)의 베큠이송암(304)은 2개의 암중 왼쪽의 암을 신장하여 제1프로세스모듈(500)에 웨이퍼를 이송한 상태이거나 프로세스가 완료된 웨이퍼를 이송하기 위한 상태를 나타내고 있다. 그리고 제2로드락쳄버(302)의 베큠이송암(304)은 2개의 암중 오른쪽의 암을 신장하여 제2프로세스모듈(502)에 웨이퍼를 이송한 상태이거나 프로세스가 완료된 웨이퍼를 이송하기 위한 상태를 나타내고 있다.The vacuum transfer arm 304 of the first load lock chamber 300 extends the arm on the left side of the two arms to transfer the wafer to the first process module 500 or indicates a state for transferring the wafer on which the process is completed. have. The vacuum transfer arm 304 of the second load lock chamber 302 extends the arm on the right side of the two arms to transfer the wafer to the second process module 502 or to transfer the wafer on which the process is completed. It is shown.

도 4a는 본 발명의 일 실시예에 따른 도 3에 장착된 베큠이송 암의 왼쪽 암이 신장된 상태를 나타낸 평면도이며,4A is a plan view illustrating an extended state of the left arm of the vacuum transfer arm mounted in FIG. 3 according to one embodiment of the present invention;

도 4b는 본 발명의 일 실시 예에 따른 도 3에 장착된 베큠이송 암이 웨이퍼를 로드락쳄버내에 적재하고 있는 상태의 평면도이고,4B is a plan view of the vacuum transfer arm mounted in FIG. 3 according to an embodiment of the present invention, in which a wafer is loaded into a load lock chamber;

도 4c는 본 발명의 일 실시 예에 따른 도 3에 장착된 베큠이송 암이 웨이퍼를 로드락쳄버내에 적재하고 있는 상태의 정면도이다.4C is a front view of the vacuum transfer arm mounted in FIG. 3 according to an embodiment of the present invention, in which a wafer is loaded into a load lock chamber.

왼쪽과 오른쪽에 2개의 암이 설치되어 있으며, 왼쪽암은 제1회전축(322)에 제1링크가(313)가 설치되고, 제1링크(313)의 끝단부에 제1연결로드(319)에 의해 제2링크(314)가 설치되며, 상기 제2링크(314)의 끝단부에 제2연결로드(318)에 의해 수직방향으로 엔드이팩트(311)가 설치되어 있다. 그리고 오른쪽 암은 제2회전축(323)에 제3링크가(315)가 설치되고, 제3링크(315)의 끝단부에 제3연결로드(320)에 의해 제4링크(316)가 설치되며, 상기 제4링크(316)의 끝단부에 제4연결로드(321)에 의해 수직방향으로 엔드이팩트(311)가 설치되어 있다.Two arms are installed at the left and right sides, and the left arm has a first linkage 313 installed at the first rotation shaft 322, and a first connection rod 319 at the end of the first linkage 313. The second link 314 is installed, the end effect 311 is installed in the vertical direction by the second connecting rod 318 at the end of the second link 314. The right arm has a third linkage 315 installed on the second rotation shaft 323, and a fourth linkage 316 installed on the end of the third linkage 315 by the third connection rod 320. In addition, an end effect 311 is installed in the vertical direction by a fourth connecting rod 321 at the end of the fourth link 316.

도 5는 본 발명의 일 실시 예에 따른 도 3에 장착된 베큠이송암이 웨이퍼를 로드락쳄버내에 적재하지 않은 상태의 사시도이다.5 is a perspective view of a vacuum transfer arm mounted in FIG. 3 according to an embodiment of the present invention, in which a wafer is not loaded into a load lock chamber.

상술한 도 2 내지 도 5를 참조하여 본 발명의 바람직한 일 실시예의 동작을 상세히 설명한다.2 to 5, the operation of the preferred embodiment of the present invention will be described in detail.

제1 로드포트(100)나 제2 로드포트(102)에 적재되어 있는 웨이퍼를 ATM 로봇트(202)가 이송하여 ATM 얼라이너(204)에 얹저 놓으면 ATM 얼라이너(204)는 이송한 웨이퍼를 제1 내지 제2 프로세스 모듈(500, 502)에 정확하게 놓이도록 위치를 정렬한다. 이때 ATM 로봇트(202)는 정렬된 웨이퍼를 제1로드락쳄버(300)에 설치된 제1 베큠이송암(304)의 제1엔드이팩트(311)로 이송한다. 그리고 제1엔드이팩트(311)로 웨이퍼의 이송이 완료되면 제1 로드포트(100)나 제2 로드포트(102)에 적재되어 있는 웨이퍼를 ATM 로봇트(202)가 ATM 얼라이너(204)에 얹저 놓으면 ATM 얼라이너(204)는 이송한 웨이퍼를 제1 내지 제2 프로세스 모듈(500, 502)에 정확하게 놓이도록 위치를 정렬한다. 그런 후 ATM 로봇트(202)는 정렬된 웨이퍼를 제1로드락쳄버(300)에 설치된 제1 베큠이송암(304)의 제2엔드이팩트(312)로 이송한다. 이렇게 웨이퍼가 제1로드락쳄버(300)의 제1 및 제2 엔드이팩트(311, 312)로 이송이 완료되면 제1로드락쳄버(300)의 도어를 닫고 불순물이 들어가지 않도록 압력을 뽑아내어 진공상태로 만든다. 그런 후 제1베큠이송 암(304)은 제1엔드이팩트(311)에 올려진 웨이퍼(310)를 도 3과 같이 왼쪽 암이 동작하여 제1프로세스모듈(500)로 이송한다. 그리고 제1 베큠이송암(304)은 제1엔드이팩트(311)가 도 5와 같이 제1로드락쳄버(300)내로 복귀하여 제1프로세스모듈(500)에서 웨이퍼 가공 프로세스가 완료될 때까지 대기한다. 제1 프로세스모듈(500)에서 웨이퍼 가공 프로세스가 완료되면 제1 프로세스모듈(500)의 도어가 열리고 이때 제1베큠이송암(304)은 제1 프로세스모듈(500)로부터 프로세스가 완료된 웨이퍼를 제1엔드이팩트(311)로 얹저놓은 상태에서 제1로드락쳄버(300)로 이송한다. 그런 후 제1베큠이송암(304)은 오른쪽 암이 동작하여 제2엔드이팩트(312)에 얹혀진 웨이퍼를 제1 프로세스모듈(500)로 이송하여 웨이퍼 가공 프로세스를 진행할 수 있도록 한다. 그리고 제1 베큠이송암(304)은 제2엔드이팩트(312)가 도 5와 같이 제1로드락쳄버(300)내로 복귀한다.When the ATM robot 202 transfers the wafer loaded in the first load port 100 or the second load port 102 and places it on the ATM aligner 204, the ATM aligner 204 removes the transferred wafer. Align the positions so that they lie exactly in the first to second process modules 500, 502. At this time, the ATM robot 202 transfers the aligned wafer to the first end effect 311 of the first vacuum transfer arm 304 installed in the first load lock chamber 300. When the transfer of the wafer to the first end effect 311 is completed, the ATM robot 202 places the wafer loaded on the first load port 100 or the second load port 102 on the ATM aligner 204. When placed, the ATM aligner 204 aligns the positions so that the transferred wafers are accurately placed in the first to second process modules 500, 502. The ATM robot 202 then transfers the aligned wafer to the second end effect 312 of the first vacuum transfer arm 304 installed in the first load lock chamber 300. When the wafers are transferred to the first and second ends of the first load lock chamber 300 to the facts 311 and 312, the door of the first load lock chamber 300 is closed and the pressure is extracted to prevent impurities from entering. Make a vacuum. Thereafter, the first vacuum transfer arm 304 transfers the wafer 310 mounted on the first end effect 311 to the first process module 500 by operating the left arm as shown in FIG. 3. The first vacuum transfer arm 304 waits until the first end effect 311 returns to the first load lock chamber 300 as shown in FIG. 5 to complete the wafer processing process in the first process module 500. do. When the wafer processing process is completed in the first process module 500, the door of the first process module 500 is opened. At this time, the first vacuum transfer arm 304 receives the wafer from which the process is completed from the first process module 500. The end is transferred to the first load lock chamber 300 in a state where it is put on the fact (311). Thereafter, the first vacuum transfer arm 304 operates the right arm to transfer the wafer mounted on the second end effect 312 to the first process module 500 so that the wafer processing process can be performed. In the first vacuum transfer arm 304, the second end effect 312 is returned into the first load lock chamber 300 as shown in FIG. 5.

그러면 제1로드락쳄버(300)는 벤트하여 상압으로 만들고 도어를 열게된다. 그러면 ATM로봇트(202)는 제1로드락쳄버(300)의 제1엔드이팩트(311)에 얹혀진 프로세스가 완료된 웨이퍼를 제1로드포트(100)나 제2로드포트(102)로 이송시킨다. 그런 후 ATM로봇트(202)는 제1 로드포트(100)나 제2 로드포트(102)에 있는 프로세스되지 않은 웨이퍼를 ATM얼라이너(204)로 이송시켜 정렬한 후 그 정렬한 웨이퍼를 제1로드락쳄버(300)의 제1엔드이팩트(311)로 이송시킨다. 그러면 제1로드락쳄버(300)는 도어를 닫고 불순물이 들어가지 않도록 압력을 뽑아내어 진공상태로 만든 후 대기한다. 그런 후 제1프로세스모듈(500)에 공급된 웨이퍼의 프로세스가 완료되면 제1슬롯밸브(400)가 열리고 제1베큠이송암(304)의 오른쪽 암이 동작하여 도 5와 같이 제2엔드이팩트(312)에 얹혀진 프로세스가 완료된 웨이퍼를 제1 로드락쳄버(300)내로 이송시킨다. 그런 후 제1로드락쳄버(300)는 벤트하여 상압으로 만들고 도어를 열게된다. 그리고 ATM로봇트(202)는 제1로드락쳄버(300)의 제2엔드이팩트(312)에 얹혀진 프로세스가 완료된 웨이퍼를 제1로드포트(100)나 제2로드포트(102)로 이송시킨다. 이러한 동작을 반복 수행하여 다수의 웨이퍼를 가공하게 된다.Then the first load lock chamber 300 is vented to atmospheric pressure to open the door. Then, the ATM robot 202 transfers the wafer on which the process placed on the first end effect 311 of the first load lock chamber 300 is completed to the first load port 100 or the second load port 102. The ATM robot 202 then transfers the unprocessed wafers in the first load port 100 or the second load port 102 to the ATM aligner 204 to align them, and then loads the aligned wafers in the first load. The first end effect 311 of the lock chamber 300 is transferred. Then, the first load lock chamber 300 closes the door and extracts the pressure so that impurities do not enter into the vacuum state and waits. Then, when the process of the wafer supplied to the first process module 500 is completed, the first slot valve 400 is opened, and the right arm of the first vacuum transfer arm 304 operates to operate the second end effect as shown in FIG. 5. The wafer on which the process placed on 312 is completed is transferred into the first load lock chamber 300. Then, the first load lock chamber 300 is vented to atmospheric pressure and the door is opened. The ATM robot 202 transfers the wafer on which the process placed on the second end effect 312 of the first load lock chamber 300 is completed to the first load port 100 or the second load port 102. This operation is repeated to process multiple wafers.

또한 설명하지 않은 제2로드락쳄버(302)와 제2 프로세스모듈(502)은 제1 로드락쳄버(300)와 제1프로세스모듈(502)의 동작과 동일한 방법으로 웨이퍼를 가공하도록 한다.In addition, the second load lock chamber 302 and the second process module 502, which are not described, allow the wafer to be processed in the same manner as the operations of the first load lock chamber 300 and the first process module 502.

도 6은 본 발명의 다른 실시예에 따른 하나의 베큠이송암을 갖는 로드락쳄버를 구비한 클러스터 툴의 구조도이다.6 is a structural diagram of a cluster tool having a load lock chamber having one vacuum transfer arm according to another embodiment of the present invention.

웨이퍼를 적재하고 있는 제1 및 제2 로드포트(400, 402)와, 대기상태에서 오염이 되지 않은 공간내에 상기 제1 및 제2 로드포트(400, 402)에 적재된 웨이퍼를 이송하기 위한 ATM로봇트(408)와, 상기 ATM로봇트(408)에 의해 이송된 웨이퍼의 포지션을 정렬하기 위한 ATM 얼라이너(ALIGNER)(406)를 구비하는 프론트엔드 시스템(FRONT END SYSTEM)(404)과, 웨이퍼를 적재하기 위한 제1 및 제2 메탈쉘프(418, 420) 및 상기 제1 및 제2 메탈쉘프(418, 420)에 적재된 웨이퍼를 냉각시키기 위한 제1 및 제2 냉각장치(422, 424)를 구비하고 있으며, 상기 ATM로봇트(408)가 상기 제1 및 제2 로드포트(400, 402)에 있는 웨이퍼를 모두 이송할 때까지 상기 제1 및 제2 메탈쉘프(418, 420)에 적재하고 있으며, 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 메탈쉘프(418, 420)에 적재한 후 쿨링을 수행하는 제1 및 제2냉각장치(422, 424)와, 웨이퍼를 제1 및 제2 프로세스모듈(430, 432)로 이송하고, 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 메탈쉘프(418, 420)로 이송하기 위한 제1 및 제2 베큠이송암(414, 416)가 설치된 제1 및 제2 로드락쳄버(410, 412)와, 이송된 웨이퍼에 대한 해당프로세스(PROCESS)를 진행하기 위해 포지션별로 2개로 구분되어 있는 제1 내지 제2 프로세스 모듈(430, 432)로 구성되어 있다. 상기 제1 및 제2베큠이송 암(414, 416)은 각각 하나의 암을 갖는다.ATM for transporting the wafers loaded on the first and second load ports 400 and 402 in the wafer and the first and second load ports 400 and 402 in a space not contaminated in the atmospheric state. FRONT END SYSTEM 404 having a robot 408, an ATM aligner ALI for aligning the position of the wafer conveyed by the ATM robot 408, and a wafer. The first and second metal shelves 418 and 420 for loading and the first and second cooling devices 422 and 424 for cooling the wafers loaded on the first and second metal shelves 418 and 420 are provided. It is loaded on the first and second metal shelves (418, 420) until the ATM robot 408 transports all the wafers in the first and second load ports (400, 402). First and second cooling the wafers on which the process is completed are loaded on the first and second metal shelves 418 and 420 and then performing cooling. Cooling apparatuses 422 and 424 and wafers are transferred to the first and second process modules 430 and 432 and wafers having the process progressed are transferred to the first and second metal shelves 418 and 420. The first and second load lock chambers 410 and 412 on which the first and second vacuum transfer arms 414 and 416 are installed, and are divided into two for each position to proceed the corresponding process for the transferred wafers. First to second process modules 430 and 432. The first and second vacuum transfer arms 414 and 416 each have one arm.

상술한 도 6을 참조하여 본 발명의 다른 실시예의 웨이퍼를 로드락쳄버에서 직접 프로세스모듈로 이송하여 프로세스완료 시 로드락 쳄버내에서 핫웨이퍼를 냉각시키는 동작을 설명하면,Referring to FIG. 6 described above, the operation of transferring the wafer of the embodiment of the present invention directly from the load lock chamber to the process module to cool the hot wafer in the load lock chamber upon completion of the process will be described.

제1 로드포트(400)나 제2 로드포트(402)에 적재되어 있는 웨이퍼를 ATM 로봇트(408)가 이송하여 ATM 얼라이너(406)에 얹저 놓으면 ATM 얼라이너(406)는 이송한 웨이퍼를 제1 내지 제2 프로세스 모듈(430, 432)에 정확하게 놓이도록 위치를 정렬한다. 이때 ATM 로봇트(408)는 정렬된 웨이퍼를 제1 및 제2 로드락쳄버(410, 412)에 설치된 제1 및 제2메탈쉘프(418, 420)로 이송한다. 이렇게 제1 로드포트(400)나 제2 로드포트(402)에 적재되어 있는 예를들어 2개또는 3개의 웨이퍼를 모두 제1 로드락 쳄버(410)나 제2 로드락쳄버(412)로 이송이 완료되면 제1 및 제2 로드락쳄버(400, 402)는 도어를 닫고 불순물이 들어가지 않도록 압력을 뽑아내어 진공상태로 만든다. 그런 후 제1 및 제2 베큠이송암(414, 416)는 제1 및 제2 메탈쉘프(418, 420)에 적재된 웨이퍼를 제1 내지 제2 프로세서모듈(430, 432)로 공급하여 해당 프로세스를 진행한다. 이렇게 웨이퍼의 프로세스 진행이 완료되면 웨이퍼의 온도는 550°내지 780°가 되며, 프로세스 진행이 완료된 웨이퍼는 제1 및 제2 베큠이송 암(410, 412)에 의해 다시 제1 및 제2 메탈쉘프(418, 420)로 이송된다. 그런 후 제1 및 제2베큠이송 암(410, 412)은 제1 및 제2 메탈쉘프(418, 420)에 적재된 웨이퍼를 제1 및 제2 프로세스모듈(430, 432)로 공급하여 프로세스를 진행한다. 그리고 제1 및 제2 프로세스모듈(430, 432)로부터 웨이퍼의 프로세스 진행이 완료되면 프로세스 진행이 완료된 웨이퍼는 제1 및 제2 베큠이송 암(410, 412)에 의해 다시 제1 및 제2 메탈쉘프(418, 420)로 이송된다. 이러한 동작을 반복수행하여 예를들어 2개 또는 3개의 웨이퍼가 모두 제1 및 제2 메탈쉘프(418, 420)로 이송되면 제1 로드락쳄버(410)나 제2 로드락쳄버(412)에서는 제1 및 제2 메탈쉘프(418, 420)에 적재된 예컨데 2개 또는 3개의 웨이퍼를 제1 및 제2 냉각장치(420, 422)에 의해 냉각시키게 된다. 이때 제1 로드락쳄버(410)나 제2 로드락쳄버(412)로 개스를 공급하여 제1 로드락쳄버(410)나 제2 로드락쳄버(412)가 상압으로 되게한다.When the ATM robot 408 transfers the wafer loaded in the first load port 400 or the second load port 402 and places the wafer on the ATM aligner 406, the ATM aligner 406 removes the transferred wafer. Align the positions so that they lie exactly in the first to second process modules 430, 432. At this time, the ATM robot 408 transfers the aligned wafers to the first and second metal shelves 418 and 420 installed in the first and second load lock chambers 410 and 412. Thus, for example, two or three wafers loaded in the first load port 400 or the second load port 402 are transferred to the first load lock chamber 410 or the second load lock chamber 412. When this is completed, the first and second load lock chambers 400 and 402 close the doors and extract the pressure to prevent impurities from being introduced into a vacuum state. Thereafter, the first and second vacuum transfer arms 414 and 416 supply wafers loaded on the first and second metal shelves 418 and 420 to the first to second processor modules 430 and 432 to process the corresponding wafers. Proceed. When the process of the wafer is completed, the temperature of the wafer is 550 ° to 780 °, and the wafer is processed again by the first and second vacuum transfer arms 410 and 412. 418, 420. Thereafter, the first and second vacuum transfer arms 410 and 412 supply wafers loaded on the first and second metal shelves 418 and 420 to the first and second process modules 430 and 432 to process the process. Proceed. When the process of the wafer is completed from the first and second process modules 430 and 432, the wafer having completed the process is first and second metal shelves by the first and second vacuum transfer arms 410 and 412. 418, 420. For example, if two or three wafers are transferred to the first and second metal shelves 418 and 420, the first load lock chamber 410 or the second load lock chamber 412 may be repeatedly performed. For example, two or three wafers mounted on the first and second metal shelves 418 and 420 are cooled by the first and second cooling devices 420 and 422. At this time, the gas is supplied to the first load lock chamber 410 or the second load lock chamber 412 so that the first load lock chamber 410 or the second load lock chamber 412 is at atmospheric pressure.

그런 후 제1 로드포트(400)나 제2 로드포트(402)로 웨이퍼를 이송할 준비를 하게 되어 제1 및 제2 로드락쳄버(410, 412)의 도어를 열리도록 한다. 그러면 ATM로봇트(408)는 제1 로드락쳄버(410)나 제2 로드락쳄버(412)의 제1 및 제2 메탈쉘프(418, 420)에 적재된 웨이퍼를 제1 로드포트(400)나 제2 로드포트(402)로 이송시킨다. 이렇게 하여 예컨데 2개 또는 3개의 웨이퍼를 제1 로드포트(400)나 제2 로드포트(402)로 모두 이송시키게 된다.Thereafter, the wafer is prepared to be transferred to the first load port 400 or the second load port 402 to open the doors of the first and second load lock chambers 410 and 412. The ATM robot 408 may then load the wafers loaded on the first and second metal shelves 418 and 420 of the first load lock chamber 410 or the second load lock chamber 412. Transfer to the second load port 402. In this way, for example, two or three wafers are transferred to both the first load port 400 and the second load port 402.

상술한 바와 같이 본 발명은 반도체 제조장치에서 로드락쳄버내에 베큠이송로봇트를 설치하여 웨이퍼를 가공할 시 로드락 쳄버에 로드된 웨이퍼를 직접 로드락쳄버내의 베큠이송암을 이용하여 프로세스모듈로 이송하여 프로세스 진행을 하고, 프로세스 진행이 완료된 웨이퍼를 다시 로드락쳄버 내의 베큠이송암을 이용하여 로드락쳄버로 이송하여 클러스터 툴의 제작비용을 절감할 수 있는 동시에 풋프린트(FOOTPRINT)를 감소시킬 수 있으며, 별도의 이송베큠모듈을 설치하지 않으므로 클러스터 툴을 간소화할 수 있는 이점이 있다.As described above, in the semiconductor manufacturing apparatus, when a wafer transfer robot is installed in a load lock chamber in a semiconductor manufacturing apparatus, the wafer loaded in the load lock chamber is transferred directly to a process module using a vacuum transfer arm in the load lock chamber. As the process progresses, the processed wafer is transferred back to the load lock chamber using the vacuum transfer arm in the load lock chamber, thereby reducing the manufacturing cost of the cluster tool and reducing the footprint (FOOTPRINT). Since there is no separate transport vacuum module installed, there is an advantage that the cluster tool can be simplified.

Claims (5)

반도체 제조장치의 클러스터 툴에 있어서,In the cluster tool of the semiconductor manufacturing apparatus, 웨이퍼를 적재하고 있는 제1 및 제2 로드포트와,First and second load ports carrying wafers, 대기상태에서 오염이 되지 않은 공간내에 상기 제1 및 제2 로드포트에 적재된 웨이퍼를 이송하기 위한 ATM로봇트와, 상기 ATM로봇트에 의해 이송된 웨이퍼의 포지션을 정렬하기 위한 ATM 얼라이너를 구비하는 프론트엔드 시스템과,A front end having an ATM robot for transporting wafers loaded in the first and second load ports in an uncontaminated space in an atmospheric state, and an ATM aligner for aligning positions of wafers transported by the ATM robot. System, 메인 프레임의 중앙에 설치되어 있으며 상기 ATM로봇트에 의해 이송된 웨이퍼를 제1 및 제2 엔드이팩트에 적재하고 상기 적재한 웨이퍼를 프로세스하기 위해 이송하고, 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 엔드이팩트로 이송하기 위한 제1 및 제2 베큠이송암을 각각 구비하고 있는 제1 및 제2 로드락쳄버와,The wafer is installed in the center of the main frame and the wafer transferred by the ATM robot is loaded on the first and second end facts and transferred for processing the loaded wafer, and the wafers on which the process is completed are transferred to the first and second. First and second load lock chambers each having a first and a second vacuum transfer arm for transferring to an end effect; 상기 제1 및 제2 로드락쳄버와 제1 및 제2 프로세스 모듈을 분리하기 위한 제1 및 제2 슬롯밸브와,First and second slot valves for separating the first and second load lock chambers and the first and second process modules; 상기 제1 및 제2 로드락 쳄버로부터 이송된 웨이퍼를 프로세스를 진행하기 위해 포지션별로 2개로 구분되어 있는 제1 및 제2 프로세스쳄버를 구비하고 있는 제1 내지 제2 프로세스 모듈로 구성함을 특징으로 하는 웨이퍼 가공을 위한 클러스터 툴.Characterized in that the wafers transferred from the first and second load lock chambers are composed of first to second process modules having first and second process chambers divided into two positions for each process to process the wafer. Cluster tool for wafer processing. 제1항에 있어서,The method of claim 1, 상기 제1 및 제2 베큠이송암은 2개의 암을 구비하여 상기 제1 및 제2 프로세스쳄버로 프로세스 진행을 위한 웨이퍼를 교대로 이송함을 특징으로 웨이퍼 가공을 위한 클러스터 툴.And the first and second vacuum transfer arms have two arms to alternately transfer wafers for process progress to the first and second process chambers. 제2항에 있어서, 상기 제1 및 제2 베큠이송암은,The method of claim 2, wherein the first and second bent transport arm, 왼쪽과 오른쪽에 암이 각각 설치되어 있으며, 상기 왼쪽암은 제1회전축(322)에 제1링크가(313)가 설치되고, 제1링크(313)의 끝단부에 제1연결로드(319)에 의해 제2링크(314)가 설치되며, 상기 제2링크(314)의 끝단부에 제2연결로드(318)에 의해 수직방향으로 엔드이팩트(311)가 설치되어 있고, 상기 오른쪽 암은 제2회전축(323)에 제3링크가(315)가 설치되고, 제3링크(315)의 끝단부에 제3연결로드(320)에 의해 제4링크(316)가 설치되며, 상기 제4링크(316)의 끝단부에 제4연결로드(321)에 의해 수직방향으로 엔드이팩트(311)가 설치됨을 특징으로 하는 웨이퍼 가공을 위한 클러스터 툴.Arms are provided at left and right sides, respectively, and the left arm has a first linkage 313 installed at a first rotation shaft 322, and a first connection rod 319 at an end of the first link 313. The second link 314 is installed, the end effect 311 is installed in the vertical direction by the second connecting rod 318 at the end of the second link 314, the right arm is The third link 315 is installed on the second rotating shaft 323, the fourth link 316 is installed by the third connecting rod 320 at the end of the third link 315, the fourth link Clustering tool for wafer processing characterized in that the end effect (311) is installed in the vertical direction by the fourth connecting rod (321) at the end of the (316). 웨이퍼를 적재하고 있는 제1 및 제2 로드포트와,First and second load ports carrying wafers, 대기상태에서 오염이 되지 않은 공간내에 상기 제1 및 제2 로드포트에 적재된 웨이퍼를 이송하기 위한 ATM로봇트와, 상기 ATM로봇트에 의해 이송된 웨이퍼의 포지션을 정렬하기 위한 ATM 얼라이너를 구비하는 프론트엔드 시스템과,A front end having an ATM robot for transporting wafers loaded in the first and second load ports in an uncontaminated space in an atmospheric state, and an ATM aligner for aligning positions of wafers transported by the ATM robot. System, 웨이퍼를 적재하기 위한 제1 및 제2 메탈쉘프 및 상기 제1 및 제2 메탈쉘프에 적재된 웨이퍼를 냉각시키기 위한 제1 및 제2 냉각장치를 구비하고 있으며, 상기 ATM로봇트가 상기 제1 및 제2 로드포트에 있는 웨이퍼를 모두 이송할 때까지 상기 제1 및 제2 메탈쉘프에 적재하고 있으며, 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 메탈쉘프에 적재한 후 쿨링을 수행하는 제1 및 제2냉각장치와, 웨이퍼를 제1 및 제2 프로세스모듈로 이송하고, 프로세스 진행이 완료된 웨이퍼를 상기 제1 및 제2 메탈쉘프로 이송하기 위한 제1 및 제2 베큠이송암이 설치된 제1 및 제2 로드락쳄버와,First and second metal shelves for loading wafers, and first and second cooling devices for cooling wafers loaded on the first and second metal shelves, wherein the ATM robot includes the first and second metal shelves. The first and second metal shelves are loaded into the first and second metal shelves until all of the wafers in the second load port are transferred, and the first and second wafers are cooled after loading the wafers on which the process is completed. First and second vacuum transfer arms provided with a second cooling device and a wafer to transfer the wafers to the first and second process modules, and to transfer the completed wafers to the first and second metal shelves; The second load lock chamber, 상기 제1 및 제2 로드락쳄버와 제1 및 제2 프로세스 모듈을 분리하기 위한 제1 및 제2 슬롯밸브와,First and second slot valves for separating the first and second load lock chambers and the first and second process modules; 이송된 웨이퍼에 대한 해당 프로세스를 진행하기 위해 포지션별로 2개로 구분되어 있는 제1 내지 제2 프로세스 모듈로 구성함을 특징으로 하는 웨이퍼 가공을 위한 클러스터 툴.Clustering tool for wafer processing, characterized in that consisting of the first to second process modules divided into two for each position in order to proceed with the process for the transferred wafer. 제4항에 있어서,The method of claim 4, wherein 상기 제1 및 제2베큠이송 암은 각각 하나의 암으로 이루어짐을 특징으로 하는 웨이퍼 가공위한 클러스터 툴.And the first and second vacuum transfer arms each comprise one arm.
KR1020000050843A 2000-08-30 2000-08-30 Cluster tool for manufacturing a wafer KR100342298B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020000050843A KR100342298B1 (en) 2000-08-30 2000-08-30 Cluster tool for manufacturing a wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000050843A KR100342298B1 (en) 2000-08-30 2000-08-30 Cluster tool for manufacturing a wafer

Publications (2)

Publication Number Publication Date
KR20010015993A KR20010015993A (en) 2001-03-05
KR100342298B1 true KR100342298B1 (en) 2002-07-15

Family

ID=19686175

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000050843A KR100342298B1 (en) 2000-08-30 2000-08-30 Cluster tool for manufacturing a wafer

Country Status (1)

Country Link
KR (1) KR100342298B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100417245B1 (en) * 2001-05-02 2004-02-05 주성엔지니어링(주) Cluster tool for manufacturing a wafer

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030047282A (en) * 2001-12-10 2003-06-18 홍승각 Semiconductor manufacturing apparatus
KR100945355B1 (en) * 2003-06-30 2010-03-08 엘지디스플레이 주식회사 Apparatus for transferring object of liquid crystal display device and method of transferring the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1030183A (en) * 1996-07-19 1998-02-03 Tokyo Ohka Kogyo Co Ltd Treating device for substrate having load locking chamber
KR19980068054A (en) * 1997-02-14 1998-10-15 김광호 Semiconductor device manufacturing device
JPH1174328A (en) * 1997-08-28 1999-03-16 Nec Kansai Ltd Wafer processor
JPH11195688A (en) * 1997-12-26 1999-07-21 Mc Electronics Kk Substrate treatment device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1030183A (en) * 1996-07-19 1998-02-03 Tokyo Ohka Kogyo Co Ltd Treating device for substrate having load locking chamber
KR19980068054A (en) * 1997-02-14 1998-10-15 김광호 Semiconductor device manufacturing device
JPH1174328A (en) * 1997-08-28 1999-03-16 Nec Kansai Ltd Wafer processor
JPH11195688A (en) * 1997-12-26 1999-07-21 Mc Electronics Kk Substrate treatment device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100417245B1 (en) * 2001-05-02 2004-02-05 주성엔지니어링(주) Cluster tool for manufacturing a wafer

Also Published As

Publication number Publication date
KR20010015993A (en) 2001-03-05

Similar Documents

Publication Publication Date Title
JP6907166B2 (en) Semiconductor processing tool
EP0398365B1 (en) Multiple chamber staged-vacuum semiconductor wafer processing system
US5186718A (en) Staged-vacuum wafer processing system and method
TWI408766B (en) Vacuum processing device
US5672239A (en) Integrated semiconductor wafer processing system
JPH04229633A (en) Apparatus and method for vacuum conveyance and treatment of wafer
TW201123340A (en) Vacuum processing system and vacuum processing method of semiconductor processing substrate
US6852644B2 (en) Atmospheric robot handling equipment
JP4494523B2 (en) Inline type wafer transfer apparatus and substrate transfer method
KR100417245B1 (en) Cluster tool for manufacturing a wafer
KR100342298B1 (en) Cluster tool for manufacturing a wafer
KR101142211B1 (en) Wafer handler method and system
US7585141B2 (en) Load lock system for ion beam processing
KR102227066B1 (en) Transferring apparatus of wafer
JPH03109727A (en) Equipment for manufacture of semiconductor
KR100375135B1 (en) Wafer process method
KR100839187B1 (en) Transfer chamber of apparatus for manufacturing semiconductor device and method for transferring substrates in the transfer chamber
KR20010025633A (en) apparatus for moving plate of manufacturing device of Semi-conductor and LCD and method thereof
KR100957815B1 (en) Semiconductor manufacturing apparatus
WO2002071453A1 (en) Automatic continue wafer processing system and methof for using the same
KR100251274B1 (en) Method for sequence processing of wafer single loading type semiconductor system
KR20010015974A (en) Semiconductor production device having cooling device inner loadlock chamber and method for cooling wafer of semiconductor production device therefor
KR20070038256A (en) Transfer robot for cooling wafer
JP2000082701A (en) Continuous treatment method and device
JPH04210223A (en) Continuous treating method and device

Legal Events

Date Code Title Description
A201 Request for examination
G15R Request for early opening
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120306

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee