KR20070006056A - Method of forming dual damascene pattern in semiconductor device - Google Patents

Method of forming dual damascene pattern in semiconductor device

Info

Publication number
KR20070006056A
KR20070006056A KR1020050061046A KR20050061046A KR20070006056A KR 20070006056 A KR20070006056 A KR 20070006056A KR 1020050061046 A KR1020050061046 A KR 1020050061046A KR 20050061046 A KR20050061046 A KR 20050061046A KR 20070006056 A KR20070006056 A KR 20070006056A
Authority
KR
South Korea
Prior art keywords
forming
semiconductor device
dual damascene
film
trench
Prior art date
Application number
KR1020050061046A
Other languages
Korean (ko)
Other versions
KR101127034B1 (en
Inventor
김세진
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR1020050061046A priority Critical patent/KR101127034B1/en
Publication of KR20070006056A publication Critical patent/KR20070006056A/en
Application granted granted Critical
Publication of KR101127034B1 publication Critical patent/KR101127034B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for forming a dual damascene pattern of a semiconductor device is provided to simplify a fabricating process by eliminating a process for forming a lower ARC(anti-reflective coating) used in a trench etch process. An interlayer dielectric having a via hole(308) is formed on a semiconductor substrate(301). A passivation layer is formed on the resultant structure to fill the via hole, using a photoresist layer with a thickness of 10000 angstroms. An etch-back process is performed until a predetermined thickness of the passivation layer is left on the interlayer dielectric. A baking process is performed to make the etched-back passivation layer have a flat surface. The baked passivation layer and a predetermined thickness of the interlayer dielectric are etched by an etch process using a photoresist layer pattern for forming a trench(312) as a mask so as to form a trench broader than the via hole with respect to the via hole. The passivation layer and the photoresist layer pattern for forming the trench which are left on the interlayer dielectric are eliminated.

Description

반도체 소자의 듀얼 다마신 패턴 형성방법{Method of forming dual damascene pattern in semiconductor device}Method of forming dual damascene pattern in semiconductor device

도 1a 내지 도 1e는 종래의 기술에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위한 공정별 단면도.1A to 1E are cross-sectional views illustrating processes for forming a dual damascene pattern of a semiconductor device according to the related art.

도 2a 내지 도 2f는 종래의 다른 기술에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위한 공정별 단면도.2A to 2F are cross-sectional views illustrating processes for forming a dual damascene pattern of a semiconductor device according to another conventional technology.

도 3a 내지 도 3h는 본 발명의 실시예에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위한 공정별 단면도.3A to 3H are cross-sectional views illustrating processes for forming a dual damascene pattern of a semiconductor device according to an embodiment of the present invention.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

300: 반도체 기판 301: 구리 배선300: semiconductor substrate 301: copper wiring

302: 제 1 식각정지막 303: 제 1 층간절연막302: first etch stop film 303: first interlayer insulating film

304: 제 2 식각정지막 305: 제 2 층간절연막304: second etch stop film 305: second interlayer insulating film

306: 하부 반사방지막 307: 제 1 감광막 패턴306: lower antireflection film 307: first photoresist film pattern

308: 비아홀 309: 보호막308: Via Hole 309: Shield

310: 베이킹 공정 311: 제 2 감광막 패턴310: baking step 311: second photosensitive film pattern

312: 트렌치312: trench

본 발명은 반도체 소자의 듀얼 다마신 패턴 형성방법에 관한 것으로서, 특히 공정을 단순화하고, 제조 비용을 절감할 수 있는 반도체 소자의 듀얼 다마신 패턴 형성방법에 관한 것이다.The present invention relates to a method for forming a dual damascene pattern of a semiconductor device, and more particularly, to a method for forming a dual damascene pattern of a semiconductor device capable of simplifying a process and reducing a manufacturing cost.

반도체 디바이스가 고집적화 되어감에 따라, 배선 설계가 자유롭고 용이하며, 배선 저항 및 전류 용량 등의 설정을 여유있게 할 수 있는 배선 기술에 관한 연구가 활발히 진행되고 있다.BACKGROUND ART As semiconductor devices become more integrated, research on wiring technology that enables free and easy wiring design and allows setting of wiring resistance and current capacity, etc., has been actively conducted.

특히, 최근 반도체 기술이 발전하면서 0.13 ㎛ 이하의 반도체 소자 제조 과정에서 배선 공정의 속도를 높이기 위하여 저항이 낮은 구리(Cu)를 이용하여 금속 배선을 형성하고 저유전물질을 이용하여 절연막을 형성하면서, 상기 절연막에 비아홀(via hole)과 금속 배선이 형성될 트렌치(trench)를 형성하는 듀얼 다마신 공정을 도입하게 되었다.In particular, with the recent development of semiconductor technology, in order to increase the speed of the wiring process in the manufacturing process of a semiconductor device of 0.13 μm or less, metal wiring is formed using low resistance copper (Cu) and an insulating film is formed using a low dielectric material. A dual damascene process for forming a trench in which a via hole and a metal wiring is to be formed is introduced in the insulating layer.

듀얼 다마신 패턴을 형성하는 방법에는 여러 가지가 있지만, 일반적으로 포토 마스크 정렬 측면에서 가장 유리한 비아 퍼스트 스킴(via first scheme)을 사용하고 있다.There are many ways to form a dual damascene pattern, but generally the most advantageous via first scheme is used in terms of photo mask alignment.

도 1a 내지 도 1d는 종래기술에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위한 공정별 단면도이다.1A through 1D are cross-sectional views illustrating processes for forming a dual damascene pattern of a semiconductor device according to the related art.

도 1a에 도시한 바와 같이, 구리 배선(101)을 구비한 반도체 기판(100) 상에 제 1 식각정지막(etch stopper)(102), 제 1 층간절연막(103), 제 2 식각정지막 (104), 제 2 층간절연막(105) 및 제 1 하부 반사방지막(bottom anti-reflection coating: BARC)(106)을 차례로 형성한다. 그런 다음, 상기 제 1 하부 반사방지막(106) 상에 감광막(도시안함)을 도포한 후, 상기 감광막을 패터닝하여 비아홀이 형성될 부분을 노출시키는 제 1 감광막 패턴(107)을 형성한다.As shown in FIG. 1A, a first etch stopper 102, a first interlayer insulating film 103, and a second etch stop film (on a semiconductor substrate 100 having a copper wiring 101) are formed. 104, a second interlayer insulating film 105, and a first bottom anti-reflection coating (BARC) 106 are formed in this order. Then, after applying a photoresist (not shown) on the first lower anti-reflection film 106, the photoresist is patterned to form a first photoresist pattern 107 that exposes a portion where a via hole is to be formed.

다음으로, 도 1b에 도시한 바와 같이, 상기 제 1 감광막 패턴(107)을 마스크로 이용하여 상기 제 1 하부 반사방지막(106), 제 2 층간절연막(105), 제 2 식각정지막(104) 및 제 1 층간절연막(103)을 식각하여 비아홀(108)을 형성한다.Next, as shown in FIG. 1B, the first lower anti-reflection film 106, the second interlayer insulating film 105, and the second etch stop film 104 using the first photoresist pattern 107 as a mask. And the first interlayer insulating layer 103 is etched to form a via hole 108.

그 다음에, 도 1c에 도시한 바와 같이, 상기 제 1 감광막 패턴(107) 및 식각후 잔류된 제 1 하부 반사방지막(106)을 제거하고 나서, 결과물의 표면에 제 2 하부 반사방지막(109)을 형성한다. 이어서, 상기 제 2 하부 반사방지막(109) 상에 감광막(도시안함)을 도포한 다음, 이 감광막을 패터닝하여 트렌치가 형성될 부분을 노출시키는 제 2 감광막 패턴(110)을 형성한다.Next, as shown in FIG. 1C, after removing the first photoresist pattern 107 and the first lower antireflection film 106 remaining after etching, the second lower antireflection film 109 is formed on the surface of the resultant. To form. Subsequently, a photoresist (not shown) is applied on the second lower antireflection film 109, and then the photoresist is patterned to form a second photoresist pattern 110 that exposes a portion where a trench is to be formed.

그런 다음, 도 1d에 도시한 바와 같이, 상기 제 2 감광막 패턴(110)을 마스크로 이용하여 상기 제 2 하부 반사방지막(109), 상기 제 2 층간절연막(105) 및 제 2 식각정지막(104)을 식각하여, 상기 비아홀(108)을 중심으로 상기 비아홀(108) 보다 넓은 트렌치(111)를 형성한다.Next, as shown in FIG. 1D, the second lower anti-reflective film 109, the second interlayer insulating film 105, and the second etch stop film 104 are formed using the second photoresist pattern 110 as a mask. ) To form a trench 111 wider than the via hole 108 with respect to the via hole 108.

그 다음에, 도 1e에 도시한 바와 같이, 상기 제 2 층간절연막(105) 상부에 잔류된 제 2 하부 반사방지막(109) 및 제 2 감광막 패턴(110)을 제거한다. 이에 따라, 상기 비아홀(108) 및 트렌치(111)로 구성된 듀얼 다마신 패턴이 형성된다.Next, as shown in FIG. 1E, the second lower anti-reflection film 109 and the second photoresist pattern 110 remaining on the second interlayer insulating film 105 are removed. As a result, a dual damascene pattern including the via hole 108 and the trench 111 is formed.

그러나, 전술한 종래의 듀얼 다마신 패턴 형성방법은, 하부 반사방지막의 점 성이 비교적 높은 것으로 인해 트렌치(111)의 식각 시에 구리 배선(101) 상부의 제 1 식각정지막(102)이 손상되는 등 공정의 안정성을 확보하기 어려운 문제점이 있었다.However, in the conventional dual damascene pattern formation method described above, the first etch stop layer 102 on the copper wiring 101 is damaged when the trench 111 is etched due to the relatively high viscosity of the lower anti-reflective layer. There was a problem that is difficult to secure the stability of the process.

따라서, 최근에는 이러한 문제점을 해결하기 위해 다음과 같은 방법으로 듀얼 다마신 패턴을 형성하고 있다.Therefore, recently, in order to solve such a problem, dual damascene patterns have been formed.

도 2a 내지 도 2f는 종래의 다른 기술에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위한 공정별 단면도로서, 먼저 도 2a에 도시한 바와 같이, 구리 배선(201)을 구비한 반도체 기판(200) 상에 제 1 식각정지막(202), 제 1 층간절연막(203), 제 2 식각정지막(204), 제 2 층간절연막(205) 및 제 1 하부 반사방지막(206)을 차례로 형성한다. 그런 다음, 상기 제 1 하부 반사방지막(206) 상에 감광막(도시안함)을 도포한 후, 상기 감광막을 패터닝하여 비아홀이 형성될 부분을 노출시키는 제 1 감광막 패턴(207)을 형성한다.2A through 2F are cross-sectional views illustrating processes of forming a dual damascene pattern of a semiconductor device according to another conventional technology. As shown in FIG. 2A, a semiconductor substrate having a copper wiring 201 ( The first etch stop film 202, the first interlayer insulating film 203, the second etch stop film 204, the second interlayer insulating film 205, and the first lower anti-reflection film 206 are sequentially formed on the 200. . Then, after applying a photoresist film (not shown) on the first lower anti-reflection film 206, the photoresist is patterned to form a first photoresist pattern 207 exposing portions where via holes are to be formed.

다음으로, 도 2b에 도시한 바와 같이, 상기 제 1 감광막 패턴(207)을 마스크로 이용하여 상기 제 1 하부 반사방지막(206), 제 2 층간절연막(205), 제 2 식각정지막(204) 및 제 1 층간절연막(203)을 식각하여 비아홀(208)을 형성한다.Next, as shown in FIG. 2B, the first lower anti-reflection film 206, the second interlayer insulating film 205, and the second etch stop film 204 using the first photoresist pattern 207 as a mask. And via holes 208 are formed by etching the first interlayer insulating film 203.

그 다음에, 도 2c에 도시한 바와 같이, 상기 제 1 감광막 패턴(207) 및 식각후 잔류된 제 1 하부 반사방지막(206)을 제거하고 나서, 상기 비아홀(208)이 완전히 채워지도록 기판 전면에 감광막(209)을 도포한다.Next, as shown in FIG. 2C, after removing the first photoresist layer pattern 207 and the first lower anti-reflective layer 206 remaining after etching, the via hole 208 is completely filled on the substrate. The photosensitive film 209 is applied.

그런 다음, 도 2d에 도시한 바와 같이, 상기 감광막(209)이 상기 비아홀(208)의 2/3 정도로 채워지도록 에치백(etch-back) 공정을 진행하고, 결과물의 표 면에 제 2 하부 반사방지막(210)을 형성한다. 상기 에치백 공정은 O2 가스를 이용하여 약 115 내지 125 초 동안 수행된다. 여기서, 상기 비아홀(208) 내부에 남아있는 상기 감광막(209)은 후속적으로 수행되는 트렌치의 식각 시 그 하부의 제 1 식각정지막(202)이 손상되는 것을 방지한다. 이어서, 상기 제 2 하부 반사방지막(210) 상에 감광막(도시안함)을 도포한 다음, 이 감광막을 패터닝하여 트렌치가 형성될 부분을 노출시키는 제 2 감광막 패턴(211)을 형성한다.Then, as illustrated in FIG. 2D, an etch-back process is performed such that the photoresist 209 is filled to about 2/3 of the via hole 208, and the second lower reflection on the surface of the resultant product is performed. The prevention film 210 is formed. The etch back process is performed for about 115 to 125 seconds using O 2 gas. Here, the photoresist 209 remaining inside the via hole 208 prevents the first etch stop layer 202 from being damaged when the trench is subsequently etched. Subsequently, a photoresist (not shown) is applied on the second lower antireflection film 210, and then the photoresist is patterned to form a second photoresist pattern 211 exposing a portion where a trench is to be formed.

그 다음에, 도 2e에 도시한 바와 같이, 상기 제 2 감광막 패턴(211)을 마스크로 이용하여 상기 제 2 하부 반사방지막(210), 상기 제 2 층간절연막(205) 및 제 2 식각정지막(204)을 식각하여, 상기 비아홀(208)을 중심으로 상기 비아홀(208) 보다 넓은 트렌치(212)를 형성한다. 상기 트렌치(212)가 형성되는 과정에서 상기 비아홀(208) 내의 감광막(209)도 함께 제거된다.Next, as shown in FIG. 2E, the second lower anti-reflective film 210, the second interlayer insulating film 205 and the second etch stop film (using the second photoresist pattern 211 as a mask) are used. The 204 is etched to form a trench 212 wider than the via hole 208 about the via hole 208. In the process of forming the trench 212, the photoresist 209 in the via hole 208 is also removed.

그런 다음, 도 2f에 도시한 바와 같이, 상기 제 2 층간절연막(205) 상부에 잔류된 제 2 하부 반사방지막(210) 및 제 2 감광막 패턴(211)을 제거한다.Next, as shown in FIG. 2F, the second lower anti-reflection film 210 and the second photoresist pattern 211 remaining on the second interlayer insulating film 205 are removed.

한편, 하부 반사방지막의 형성 시에는 일반적으로 열경화 공정을 수반하게 되는데, 상기 열경화 공정은 여느 다른 감광막 보다 수 십℃ 이상의 높은 온도에서 이루어져야 하기 때문에 공정 시간이 증가되는 등의 공정 마진 확보에 어려움이 따르게 될 뿐만 아니라, 하부 반사방지막 자체의 단가도 높아서 제조 원가를 낮추는 데에 한계가 있었다.On the other hand, the formation of the lower anti-reflection film generally involves a thermosetting process, which is difficult to secure process margins such as increased process time because the thermosetting process should be performed at a temperature several tens of degrees Celsius or higher than any other photoresist layer. Not only this, but also the lower cost of the lower anti-reflection film itself had a limit in lowering the manufacturing cost.

따라서, 본 발명은 상기 문제점을 해결하기 위하여 이루어진 것으로, 본 발명의 목적은, 하부 반사방지막의 사용으로 인한 제조 원가 상승을 막고, 공정 마진을 확보할 수 있는 반도체 소자의 듀얼 다마신 패턴 형성방법을 제공하는데 있다.Accordingly, the present invention has been made to solve the above problems, and an object of the present invention is to provide a method for forming a dual damascene pattern of a semiconductor device, which can prevent manufacturing cost increase due to the use of a lower anti-reflection film and ensure process margins. To provide.

상기 목적을 달성하기 위한 본 발명에 의한 반도체 소자의 듀얼 다마신 패턴 형성방법은,Dual damascene pattern forming method of a semiconductor device according to the present invention for achieving the above object,

반도체 기판 상에 비아홀을 구비한 층간절연막을 형성하는 단계;Forming an interlayer insulating film having via holes on the semiconductor substrate;

상기 비아홀을 매립하도록 전체 구조 상부에 보호막을 형성하는 단계;Forming a protective film on the entire structure to fill the via hole;

상기 보호막이 상기 층간절연막 상부에 소정 두께만큼 남을 때까지 에치백 공정을 수행하는 단계;Performing an etch back process until the passivation layer remains a predetermined thickness on the interlayer insulating layer;

상기 에치백 공정이 완료된 보호막이 플랫한 표면을 갖도록 베이킹 공정을 수행하는 단계;Performing a baking process such that the passivation layer having the etch back process is completed has a flat surface;

트렌치 형성용 감광막 패턴을 마스크를 이용한 식각 공정으로 상기 베이킹 공정이 완료된 보호막 및 상기 층간절연막의 소정 두께를 식각하여 상기 비아홀을 중심으로 상기 비아홀 보다 넓은 트렌치를 형성하는 단계; 및Etching a predetermined thickness of the passivation layer and the interlayer insulating layer by etching the photoresist pattern for forming a trench using a mask to form a trench wider than the via hole around the via hole; And

상기 층간절연막 상부에 잔류된 상기 보호막 및 상기 트렌치 형성용 감광막 패턴을 제거하는 단계;를 포함한다.And removing the passivation layer and the trench forming photoresist pattern remaining on the interlayer insulating layer.

여기서, 상기 보호막은 10,000 Å 이상의 두께로 형성하는 것을 특징으로 한다.Here, the protective film is characterized in that formed to a thickness of 10,000 Å or more.

그리고, 상기 보호막으로서 감광막을 이용하는 것을 특징으로 한다.A photosensitive film is used as the protective film.

또한, 상기 감광막으로서 I-line 또는 Krf 광원에 감응하는 감광막을 이용하는 것을 특징으로 한다.In addition, the photosensitive film is characterized by using a photosensitive film sensitive to an I-line or Krf light source.

또한, 상기 에치백 공정은 상기 보호막이 상기 층간절연막 상에 1,000 Å 이하의 두께만큼 남을 때까지 수행하는 것을 특징으로 한다.In addition, the etch back process may be performed until the passivation layer remains on the interlayer insulating layer by a thickness of 1,000 Å or less.

또한, 상기 에치백 공정은 습식 식각 또는 건식 식각 공정으로 수행하는 것을 특징으로 한다.In addition, the etch back process may be performed by a wet etching process or a dry etching process.

또한, 상기 습식 식각 공정은 클리닝 장비에서 수행하는 것을 특징으로 한다.In addition, the wet etching process is characterized in that performed in the cleaning equipment.

또한, 상기 건식 식각 공정은 식각 장비 또는 스트립 장비 내에서 수행하는 것을 특징으로 한다.In addition, the dry etching process is characterized in that performed in the etching equipment or strip equipment.

또한, 상기 건식 식각 공정은 O2 및 Ar 가스를 이용하여 75 내지 85 초 동안 수행하는 것을 특징으로 한다.In addition, the dry etching process is characterized in that performed for 75 to 85 seconds using O 2 and Ar gas.

또한, 상기 베이킹 공정은 150 내지 250℃의 온도에서 수행하는 것을 특징으로 한다.In addition, the baking process is characterized in that performed at a temperature of 150 to 250 ℃.

또한, 상기 베이킹 공정은 포토 장비 내에서 인 시튜로 진행하는 것을 특징으로 한다.In addition, the baking process is characterized in that proceeds in situ in the photo equipment.

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대해 상세히 설명하기로 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 3a 내지 도 3h는 본 발명의 실시예에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위한 공정별 단면도이다.3A to 3H are cross-sectional views illustrating processes for forming a dual damascene pattern of a semiconductor device according to an exemplary embodiment of the present invention.

도 3a에 도시한 바와 같이, 먼저 구리 배선(301)을 구비한 반도체 기판(300) 상에 제 1 식각정지막(302), 제 1 층간절연막(303), 제 2 식각정지막(304), 제 2 층간절연막(305) 및 제 1 하부 반사방지막(306)을 차례로 형성한다. 그런 다음, 상기 제 1 하부 반사방지막(306) 상에 감광막(도시안함)을 도포한 후, 상기 감광막을 패터닝하여 비아홀이 형성될 부분을 노출시키는 제 1 감광막 패턴(307), 즉 비아홀 형성용 감광막 패턴을 형성한다.As shown in FIG. 3A, first, the first etch stop film 302, the first interlayer insulating film 303, the second etch stop film 304, and the like on the semiconductor substrate 300 having the copper wiring 301. The second interlayer insulating film 305 and the first lower antireflection film 306 are sequentially formed. Then, after applying a photoresist film (not shown) on the first lower antireflection film 306, the photoresist pattern is patterned to expose a portion where a via hole is to be formed, that is, a photoresist film for forming a via hole. Form a pattern.

다음으로, 도 3b에 도시한 바와 같이, 상기 제 1 감광막 패턴(307)을 마스크로 이용하여 상기 제 1 하부 반사방지막(306), 제 2 층간절연막(305), 제 2 식각정지막(304) 및 제 1 층간절연막(303)을 식각하여 비아홀(308)을 형성한다.Next, as shown in FIG. 3B, the first lower anti-reflection film 306, the second interlayer insulating film 305, and the second etch stop film 304 are formed using the first photoresist pattern 307 as a mask. And via holes 308 are formed by etching the first interlayer insulating layer 303.

그 다음에, 도 3c에 도시한 바와 같이, 상기 제 1 감광막 패턴(307) 및 식각후 잔류된 제 1 하부 반사방지막(306)을 제거하고 나서, 상기 비아홀(308)을 매립하도록 전체 구조 상부에 보호막(309)을 형성한다. 여기서, 상기 보호막(309)은 10,000 Å 이상의 두께로 형성한다. 또한, 상기 보호막(309)으로서 감광막을 이용하며, 이때, 상기 감광막으로서 I-line(λ=365㎚) 또는 KrF(λ=248㎚) 광원에 감응하는 감광막을 이용한다.3C, the first photoresist pattern 307 and the first lower anti-reflection film 306 remaining after etching are removed, and then the via holes 308 are buried in the entire structure. The protective film 309 is formed. Here, the protective film 309 is formed to a thickness of 10,000 Å or more. As the protective film 309, a photosensitive film is used. At this time, a photosensitive film sensitive to an I-line (λ = 365 nm) or KrF (λ = 248 nm) light source is used as the photosensitive film.

그런 다음, 도 3d에 도시한 바와 같이, 상기 보호막(309)이 상기 제 2 층간절연막(305) 상부에 소정 두께만큼 남을 때까지 에치백 공정을 수행한다. 상기 에치백 공정은 상기 보호막(309)이 상기 제 2 층간절연막(305) 상에 1,000 Å 이하의 두께만큼 남을 때까지 수행하는 것이 바람직하다. 또한, 상기 에치백 공정은 습식 식각 또는 건식 식각 공정으로 수행한다. 이때, 상기 에치백 공정을 습식 식각 공정으로 수행할 경우 클리닝(cleaning) 장비에서 수행하고, 건식 식각 공정으로 할 경우에는 식각 장비 또는 스트립(strip) 장비 내에서 수행하며, O2 및 Ar 가스를 이용하여 약 75 내지 85 초 동안 수행한다.Next, as shown in FIG. 3D, an etch back process is performed until the passivation layer 309 remains a predetermined thickness on the second interlayer insulating layer 305. The etch back process may be performed until the passivation layer 309 remains on the second interlayer insulating layer 305 by a thickness of 1,000 Å or less. In addition, the etch back process may be performed by wet etching or dry etching. In this case, when the etchback process is performed by a wet etching process, the cleaning process is performed by a cleaning equipment. When the dry etching process is performed by a wet etching process, the etching back process is performed by an etching apparatus or a strip equipment, and O 2 and Ar gas are used. For about 75 to 85 seconds.

상술한 바와 같이 본 발명에서는 상기 에치백 공정을 종래에 비해 약 30 % 정도 감소된 타겟으로 수행하여, 제 2 층간절연막(305)의 상부에 상기 보호막(309)이 소정 두께만큼 남도록 함으로써, 상기 비아홀(308) 내에 존재하는 보호막(309)이 후속적으로 수행되는 트렌치 식각 공정에서 그 하부의 제 1 식각정지막(302)이 손상되는 것을 방지함과 동시에, 상기 제 2 층간절연막(305) 상부에 남아 있는 상기 보호막(309)이 하부 반사방지막의 기능까지 수행하게끔 할 수 있다. 이에 따라, 추가적인 하부 반사방지막의 사용으로 인한 제조 원가 상승을 막을 수 있으며, 공정을 단순화하고 공정 시간을 절약할 수 있는 등의 공정 마진을 확보할 수 있게 된다.As described above, in the present invention, the etch back process is performed by a target about 30% less than that of the related art, so that the passivation layer 309 remains on the upper portion of the second interlayer insulating layer 305 by a predetermined thickness. The first etch stop layer 302 under the trench is prevented from being damaged in the trench etching process in which the passivation layer 309 existing in the layer 308 is subsequently performed, and on the second interlayer insulating layer 305. The remaining protective film 309 may be performed to function as a lower anti-reflection film. Accordingly, it is possible to prevent an increase in manufacturing cost due to the use of an additional lower anti-reflection film, and to secure a process margin such as simplifying the process and saving process time.

한편, 상술한 바와 같은 에치백 공정이 완료된 보호막(309)은, 도 3d에 도시한 바와 같이 울퉁불퉁한 형상의 거친 표면을 갖는데, 이러한 상태로 후속의 트렌치 식각 공정을 진행할 경우, 트렌치를 원하는 형상으로 형성하기가 어려워진다. 따라서, 상기 보호막(309)이 플랫한 표면을 갖게 하기 위하여, 도 3e에 도시한 바와 같이 베이킹(baking) 공정(310)을 수행한다. 여기서, 상기 베이킹 공정(310)은 150 내지 250℃의 온도에서 수행하며 후속의 감광막 패턴 형성 시에 사용되는 포토 장비 내에서 인 시튜(in-situ)로 진행한다. 이러한 베이킹 공정(310)이 완료됨에 따라 울퉁불퉁한 보호막(309)의 표면이 플로우(flow) 되어 플랫한 형상을 갖게 된다.On the other hand, the protective film 309 has been completed the etch back process as described above, as shown in Figure 3d has a rough surface of a rugged shape, when the subsequent trench etching process in this state, the trench to the desired shape It becomes difficult to form. Therefore, in order to make the passivation layer 309 have a flat surface, a baking process 310 is performed as shown in FIG. 3E. Here, the baking process 310 is performed at a temperature of 150 to 250 ℃ and proceeds in-situ in the photo equipment used for the subsequent photosensitive film pattern formation. As the baking process 310 is completed, the surface of the uneven protective film 309 flows to have a flat shape.

다음으로, 도 3f에 도시한 바와 같이, 상기 베이킹 공정(310)이 완료된 보호막(309) 상에 감광막(도시안함)을 도포한 후, 이 감광막을 패터닝하여 트렌치가 형성될 부분을 노출시키는 제 2 감광막 패턴(311), 즉 트렌치 형성용 감광막 패턴을 형성한다.Next, as shown in FIG. 3F, a second photosensitive film (not shown) is applied on the protective film 309 on which the baking process 310 is completed, and then the photosensitive film is patterned to expose a portion where a trench is to be formed. The photoresist pattern 311, that is, a photoresist pattern for forming trenches is formed.

그런 다음, 도 3g에 도시한 바와 같이, 상기 제 2 감광막 패턴(311)을 마스크로 이용하여 상기 보호막(309), 제 2 층간절연막(305) 및 제 2 식각정지막(304)을 식각하여, 상기 비아홀(308)을 중심으로 상기 비아홀(308) 보다 넓은 트렌치(312)를 형성한다. 여기서, 상기 트렌치(312) 식각 공정에 의해 비아홀(308) 내에 존재하는 보호막(309)은 모두 제거된다.3G, the protective layer 309, the second interlayer insulating layer 305, and the second etch stop layer 304 are etched using the second photoresist pattern 311 as a mask. A trench 312 wider than the via hole 308 is formed around the via hole 308. Here, all of the passivation layer 309 existing in the via hole 308 is removed by the trench 312 etching process.

그 다음에, 도 3h에 도시한 바와 같이, 상기 제 2 층간절연막(305) 상부에 잔류된 보호막(309) 및 제 2 감광막 패턴(311)을 제거하고, 이로써 상기 비아홀(308) 및 트렌치(312)로 구성된 듀얼 다마신 패턴을 형성한다.Next, as shown in FIG. 3H, the protective layer 309 and the second photosensitive layer pattern 311 remaining on the second interlayer insulating layer 305 are removed, thereby the via hole 308 and the trench 312. To form a dual damascene pattern.

이상의 본 발명은 상기에 기술된 실시예들에 의해 한정되지 않고, 당업자들에 의해 다양한 변형 및 변경을 가져올 수 있으며, 이는 첨부된 특허청구범위에서 정의되는 본 발명의 취지와 범위에 포함되는 것으로 보아야 할 것이다.The present invention is not limited to the above-described embodiments, but can be variously modified and changed by those skilled in the art, which should be regarded as included in the spirit and scope of the present invention as defined in the appended claims. something to do.

앞에서 설명한 바와 같이, 본 발명에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법에 의하면, 비아홀을 완전히 채우도록 감광막을 이용하여 보호막을 형성한 후, 에치백 공정의 타겟을 조절하여 상기 보호막이 층간절연막 상부에 소정 두께만큼 남도록 함으로써, 상기 보호막을 단순히 트렌치 식각 시 하부의 식각정지막이 손상되는 것을 방지하기 위한 기능으로만 이용하는 것이 아니라, 트렌치 식각 시 적용되는 하부 반사방지막의 기능까지도 수행하게끔 할 수 있다. 따라서, 본 발명은 하부 반사방지막의 사용으로 인한 제조 원가 상승을 막을 수 있고, 공정의 단순화 및 공정 시간의 절약을 통해 공정 마진을 확보할 수 있다.As described above, according to the method of forming a dual damascene pattern of a semiconductor device according to the present invention, after forming a protective film using a photoresist film to completely fill the via holes, the target of the etchback process is adjusted to control the protective film on the interlayer insulating film. By allowing the thickness to remain at a predetermined thickness, the protective layer may not only be used as a function for preventing damage to the lower etch stop layer during the trench etching, but may also perform a function of the lower anti-reflection film applied during the trench etching. Therefore, the present invention can prevent the increase in manufacturing cost due to the use of the lower anti-reflection film, and can secure the process margin through the simplification of the process and the saving of the process time.

Claims (11)

반도체 기판 상에 비아홀을 구비한 층간절연막을 형성하는 단계;Forming an interlayer insulating film having via holes on the semiconductor substrate; 상기 비아홀을 매립하도록 전체 구조 상부에 보호막을 형성하는 단계;Forming a protective film on the entire structure to fill the via hole; 상기 보호막이 상기 층간절연막 상부에 소정 두께만큼 남을 때까지 에치백 공정을 수행하는 단계;Performing an etch back process until the passivation layer remains a predetermined thickness on the interlayer insulating layer; 상기 에치백 공정이 완료된 보호막이 플랫한 표면을 갖도록 베이킹 공정을 수행하는 단계;Performing a baking process such that the passivation layer having the etch back process is completed has a flat surface; 트렌치 형성용 감광막 패턴을 마스크를 이용한 식각 공정으로 상기 베이킹 공정이 완료된 보호막 및 상기 층간절연막의 소정 두께를 식각하여 상기 비아홀을 중심으로 상기 비아홀 보다 넓은 트렌치를 형성하는 단계; 및Etching a predetermined thickness of the passivation layer and the interlayer insulating layer by etching the photoresist pattern for forming a trench using a mask to form a trench wider than the via hole around the via hole; And 상기 층간절연막 상부에 잔류된 상기 보호막 및 상기 트렌치 형성용 감광막 패턴을 제거하는 단계;를 포함하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.And removing the passivation layer and the trench forming photoresist pattern remaining on the interlayer insulating layer. 제 1 항에 있어서,The method of claim 1, 상기 보호막은 10,000 Å 이상의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The protective film is a dual damascene pattern forming method of the semiconductor device, characterized in that formed to a thickness of 10,000 Å or more. 제 1 항에 있어서,The method of claim 1, 상기 보호막으로서 감광막을 이용하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.A method of forming a dual damascene pattern of a semiconductor device, wherein a photosensitive film is used as the protective film. 제 3 항에 있어서,The method of claim 3, wherein 상기 감광막으로서 I-line 또는 Krf 광원에 감응하는 감광막을 이용하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.A method for forming a dual damascene pattern of a semiconductor device, characterized by using a photosensitive film sensitive to an I-line or Krf light source as the photosensitive film. 제 1 항에 있어서,The method of claim 1, 상기 에치백 공정은 상기 보호막이 상기 층간절연막 상에 1,000 Å 이하의 두께만큼 남을 때까지 수행하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.And the etch back process is performed until the passivation layer remains on the interlayer dielectric layer by a thickness of 1,000 Å or less. 제 1 항에 있어서,The method of claim 1, 상기 에치백 공정은 습식 식각 또는 건식 식각 공정으로 수행하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The etch back process is a method of forming a dual damascene of a semiconductor device, characterized in that the wet etching or dry etching process. 제 6 항에 있어서,The method of claim 6, 상기 습식 식각 공정은 클리닝 장비에서 수행하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The wet etching process is a dual damascene pattern forming method of the semiconductor device characterized in that performed in the cleaning equipment. 제 6 항에 있어서,The method of claim 6, 상기 건식 식각 공정은 식각 장비 또는 스트립 장비 내에서 수행하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The dry etching process is a dual damascene pattern forming method of a semiconductor device, characterized in that performed in the etching equipment or strip equipment. 제 6 항에 있어서,The method of claim 6, 상기 건식 식각 공정은 O2 및 Ar 가스를 이용하여 75 내지 85 초 동안 수행하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The dry etching process is a dual damascene pattern forming method of a semiconductor device, characterized in that performed for 75 to 85 seconds using O 2 and Ar gas. 제 1 항에 있어서,The method of claim 1, 상기 베이킹 공정은 150 내지 250℃의 온도에서 수행하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The baking process is a dual damascene pattern forming method of a semiconductor device, characterized in that performed at a temperature of 150 to 250 ℃. 제 1 항에 있어서,The method of claim 1, 상기 베이킹 공정은 포토 장비 내에서 인 시튜로 진행하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The baking process is a dual damascene pattern forming method of the semiconductor device, characterized in that proceeding in situ in the photo equipment.
KR1020050061046A 2005-07-07 2005-07-07 Method of forming dual damascene pattern in semiconductor device KR101127034B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050061046A KR101127034B1 (en) 2005-07-07 2005-07-07 Method of forming dual damascene pattern in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050061046A KR101127034B1 (en) 2005-07-07 2005-07-07 Method of forming dual damascene pattern in semiconductor device

Publications (2)

Publication Number Publication Date
KR20070006056A true KR20070006056A (en) 2007-01-11
KR101127034B1 KR101127034B1 (en) 2012-03-26

Family

ID=37871370

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050061046A KR101127034B1 (en) 2005-07-07 2005-07-07 Method of forming dual damascene pattern in semiconductor device

Country Status (1)

Country Link
KR (1) KR101127034B1 (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4082812B2 (en) * 1998-12-21 2008-04-30 富士通株式会社 Semiconductor device manufacturing method and multilayer wiring structure forming method
KR20030058523A (en) * 2001-12-31 2003-07-07 주식회사 하이닉스반도체 Method for forming multi metal layer by dual damascene process
KR20040001473A (en) * 2002-06-28 2004-01-07 주식회사 하이닉스반도체 Method of dual damascene for semiconductor device

Also Published As

Publication number Publication date
KR101127034B1 (en) 2012-03-26

Similar Documents

Publication Publication Date Title
US6319821B1 (en) Dual damascene approach for small geometry dimension
US11018052B2 (en) Interconnect structure and method of forming the same
KR100457046B1 (en) Method for forming a contact in semiconductor device process
JP4082812B2 (en) Semiconductor device manufacturing method and multilayer wiring structure forming method
KR101127034B1 (en) Method of forming dual damascene pattern in semiconductor device
KR20110077484A (en) Method of forming fine pattern for semicondutor device
KR100909174B1 (en) How to form a dual damascene pattern
KR100640430B1 (en) Dual damascene method and method of fabricating the copper interconnection layer using the same
KR100460064B1 (en) Method for forming metal wiring of semiconductor device
KR100914450B1 (en) Method for fabricating metal line of semiconductor device
KR100912958B1 (en) Method for fabricating fine pattern in semiconductor device
KR100379551B1 (en) Method for Fabricating of Semiconductor Device Using the Dual Damascene Process
KR100607348B1 (en) Method of forming a metal line in a semiconductor device
KR101113768B1 (en) Method for manufacturing semiconductor device using dual damascene process
KR101016334B1 (en) Method of forming gate electrode in semiconductor device
KR100917099B1 (en) Method of forming a dual damascene pattern
KR20070034294A (en) Via hole formation method using dual damascene process
KR100559641B1 (en) Method for making sub micron pattern by using oxide hard mask
KR100632116B1 (en) Method for fabricating pattern of dual damascne
KR100665405B1 (en) Method of forming a metal line in semiconductor device
KR20010003687A (en) Improved dual damascene process in semiconductor device
KR100400251B1 (en) Method for etching organic ARC of semiconductor device
KR100843032B1 (en) Method of manufacturing a memory device
KR20050024853A (en) Method of forming metal wiring in flash memory device
KR20060113276A (en) Method for forming via hole using dual damascene process

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150223

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170216

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180221

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 9