KR20060038592A - Method for fabrication of cell contact plug in semiconductor device - Google Patents

Method for fabrication of cell contact plug in semiconductor device Download PDF

Info

Publication number
KR20060038592A
KR20060038592A KR1020040087683A KR20040087683A KR20060038592A KR 20060038592 A KR20060038592 A KR 20060038592A KR 1020040087683 A KR1020040087683 A KR 1020040087683A KR 20040087683 A KR20040087683 A KR 20040087683A KR 20060038592 A KR20060038592 A KR 20060038592A
Authority
KR
South Korea
Prior art keywords
plug
film
semiconductor device
interlayer insulating
insulating film
Prior art date
Application number
KR1020040087683A
Other languages
Korean (ko)
Inventor
정종구
박형순
박점용
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040087683A priority Critical patent/KR20060038592A/en
Publication of KR20060038592A publication Critical patent/KR20060038592A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 셀콘택 플러그 형성시 공정 마진을 증가시키고 공정의 안정성을 확보할 수 있는 반도체 소자 제조 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 기판 상에 복수의 게이트 전극을 형성하는 단계; 상기 복수의 게이트 전극의 측벽에 절연성 스페이서를 형성하는 단계; 상기 게이트 전극을 포함한 전면에 플러그용 전도막을 형성하는 단계; 상기 게이트 전극 상부가 노출되는 타겟으로 평탄화 공정을 실시하는 단계; 및 상기 평탄화된 플러그용 전도막 중 셀콘택이 이루어지지 않는 플러그용 전도막을 선택적으로 제거하는 단계를 포함하는 반도체 소자 제조 방법을 제공한다.
The present invention is to provide a method for manufacturing a semiconductor device that can increase the process margin when forming the cell contact plug and ensure the stability of the process, the present invention comprises the steps of forming a plurality of gate electrodes on the substrate; Forming insulating spacers on sidewalls of the plurality of gate electrodes; Forming a conductive film for a plug on the entire surface including the gate electrode; Performing a planarization process on a target to which the gate electrode is exposed; And selectively removing the plug conductive film in which the cell contact is not made from the planarized plug conductive film.

셀콘택 플러그, 화학기계적연마(CMP), 다마신, 플러그용 전도막, 슬러리.Cell contact plugs, chemical mechanical polishing (CMP), damascene, plug conductive films, slurries.

Description

반도체 소자의 셀콘택 플러그 형성 방법{METHOD FOR FABRICATION OF CELL CONTACT PLUG IN SEMICONDUCTOR DEVICE} Method for forming cell contact plug of semiconductor device {METHOD FOR FABRICATION OF CELL CONTACT PLUG IN SEMICONDUCTOR DEVICE}             

도 1은 종래기술에 따른 셀콘택 형성을 포함한 반도체 소자 제조 공정의 일부를 개략적으로 도식화한 플로우 챠트.1 is a flow chart schematically illustrating a part of a semiconductor device manufacturing process including cell contact formation according to the prior art.

도 2는 종래기술에 따라 게이트 전극이 형성된 반도체 소자를 도시한 평면도.2 is a plan view illustrating a semiconductor device in which a gate electrode is formed according to the related art.

도 3은 종래기술에 따라 셀콘택용 콘택홀이 형성된 반도체 소자를 도시한 평면도.3 is a plan view illustrating a semiconductor device in which contact holes for cell contacts are formed according to the related art.

도 4a 내지 도 4g는 종래기술에 따른 셀콘택 플러그 형성을 포함한 반도체 소자 제조 공정을 도시한 단면도.4A to 4G are cross-sectional views illustrating a semiconductor device manufacturing process including forming a cell contact plug according to the prior art.

도 5는 본 발명에 따른 셀콘택 형성을 포함한 반도체 소자 제조 공정의 일부를 개략적으로 도식화한 플로우 챠트.5 is a flow chart schematically illustrating a part of a semiconductor device manufacturing process including cell contact formation according to the present invention.

도 6은 본 발명의 일실시예에 따라 게이트 전극이 형성된 반도체 소자를 도시한 평면도.6 is a plan view illustrating a semiconductor device in which a gate electrode is formed in accordance with an embodiment of the present invention.

도 7은 본 발명의 일실시예에 따라 층간절연막 없이 아이솔레이션된 셀콘택 플러그가 형성된 반도체 소자를 도시한 평면도. FIG. 7 is a plan view illustrating a semiconductor device in which an isolated cell contact plug is formed without an interlayer dielectric layer in accordance with an embodiment of the present invention. FIG.                 

도 8a 내지 도 8f는 본 발명의 일실시예에 따른 셀콘택 플러그 형성을 포함한 반도체 소자 제조 공정을 도시한 단면도.
8A through 8F are cross-sectional views illustrating a semiconductor device manufacturing process including forming a cell contact plug according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

800 : 기판 801 : 게이트 전도막800 substrate 801 gate conductive film

802 : 게이트 하드마스크 803 : 스페이서802: gate hard mask 803: spacer

804c : 아이솔레이션된 플러그용 전도막
804c: conductive film for isolated plug

본 발명은 반도체 소자 제조 방법에 관한 것으로 특히, 공정의 안정성 및 공정 단순화를 기할 수 있는 반도체 소자 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device capable of simplifying the process and simplifying the process.

반도체 소자는 그 내부에 다수의 단위 소자들을 포함하여 이루어진다. 반도체 소자가 고 집적화 되면서 일정한 셀(Cell) 면적 상에 고 밀도로 소자들을 형성하여야 하며, 이로 인하여 단위 소자의 크기는 점차 줄어들고 있다. The semiconductor device includes a plurality of unit devices therein. As semiconductor devices are highly integrated, devices must be formed at a high density on a constant cell area, thereby decreasing the size of unit devices.

특히, DRAM(Dynamic Random Access Memory)과 같은 반도체 메모리 소자에서 디자인 룰(Design rule)이 감소하면서 셀의 내부에 형성되는 반도체 소자들의 크기가 점차 작아지고 있다. In particular, as a design rule decreases in a semiconductor memory device such as a DRAM (Dynamic Random Access Memory), the size of semiconductor devices formed in a cell is gradually decreasing.

실제로, 최근 반도체 DRAM 장치의 최소 선폭은 0.1㎛ 이하로 형성되며, 80nm 이하까지도 요구되고 있다. 따라서, 셀을 이루는 반도체 소자들의 제조 공정에 많은 어려움들이 발생하고 있다.In fact, in recent years, the minimum line width of a semiconductor DRAM device is formed to 0.1 μm or less, and even 80 nm or less is required. Therefore, many difficulties arise in the manufacturing process of the semiconductor elements forming the cell.

한편, 반도체 소자의 고집적화가 가속화됨에 따라 반도체 소자를 이루는 여러 요소들은 적층 구조를 이루게 되었고, 이에 따라 도입된 것이 콘택 플러그(또는 패드) 개념이다.Meanwhile, as the high integration of semiconductor devices is accelerated, various elements of the semiconductor devices have a stacked structure, and thus, a contact plug (or pad) concept has been introduced.

이러한 콘택 플러그를 형성함에 있어서, 하부에서의 최소의 면적으로 접촉 면적을 넓히며 상부에서는 후속 공정에 대한 공정 마진을 넓히기 위한 기술들이 적용되고 있다.In forming such a contact plug, techniques are used to increase the contact area with a minimum area at the bottom and to increase the process margin for subsequent processes at the top.

도 1은 종래기술에 따른 셀콘택 형성을 포함한 반도체 소자 제조 공정의 일부를 개략적으로 도식화한 플로우 챠트로서, 이를 참조하여 종래의 반도체 소자 제조 공정을 살펴본다.1 is a flowchart schematically illustrating a part of a semiconductor device manufacturing process including forming a cell contact according to the prior art, and looks at a conventional semiconductor device manufacturing process with reference to this.

기판에 필드 산화막을 형성하여 필드 영역과 액티브 영역을 정의하고, 웰을 형성한 다음, 채널 이온주입을 실시한 후, 기판 상에 복수의 게이트 전극을 형성한다(S101). 게이트 전극의 측면에 얼라인되는 기판의 액티브 영역에 불순물 이온주입을 실시하여 소스/드레인 접합을 형성한다.After forming a field oxide film on the substrate to define a field region and an active region, forming a well, and performing channel ion implantation, a plurality of gate electrodes are formed on the substrate (S101). Impurity ion implantation is performed in the active region of the substrate aligned with the side of the gate electrode to form a source / drain junction.

이 과정에서 게이트 스페이서 형성 공정과 LDD(Lightly Doped Drain) 이온주입 공정을 실시한다.In this process, a gate spacer forming process and a lightly doped drain (LDD) ion implantation process are performed.

게이트 전극이 형성된 전면에 제1층간절연막을 형성한다(S102). 마스크 공정 시의 불량 발생을 방지하기 위해 제1층간절연막 상부를 평탄화시킨다.A first interlayer insulating film is formed on the entire surface where the gate electrode is formed (S102). In order to prevent defects during the mask process, the upper portion of the first interlayer insulating film is planarized.

제1층간절연막을 선택적으로 식각하여 게이트 전극 사이의 소스/드레인 접합 을 노출시키는 콘택홀을 형성한다(S103).The first interlayer dielectric layer is selectively etched to form a contact hole exposing the source / drain junction between the gate electrodes (S103).

이 때, 게이트 전극 등의 단차 증가와 게이트 전극 사이의 스페이스 감소 등으로 인한 종횡비의 증가로 인한 콘택 오픈 불량을 방지하기 위해 자기정렬콘택(Self Align Contact; 이하 SAC이라 함) 방식의 식각 공정을 이용한다.In this case, an etching process using a self alignment contact (SAC) method is used to prevent contact open failure due to an increase in an aspect ratio due to an increase in a step difference of a gate electrode and a decrease in space between the gate electrodes. .

콘택홀을 통해 노출된 소스/드레인 접합과 콘택되도록 플러그 물질을 증착한다(S104). 플러그 물질로는 폴리실리콘막이 주로 사용된다.The plug material is deposited to be in contact with the source / drain junction exposed through the contact hole (S104). As the plug material, a polysilicon film is mainly used.

에치백과 화학기계적연마(Chemical Mechanical Polishing; 이하 CMP라 함) 공정을 단독 또는 복합적으로 적용하여 증착된 플러그 물질을 아이솔레이션(Isolation)시킴으로써 복수의 셀콘택 플러그를 형성한다(S105).A plurality of cell contact plugs are formed by isolating the deposited plug material by applying etch back and chemical mechanical polishing (CMP) processes alone or in combination (S105).

셀콘택 플러그가 형성된 전면에 제2층간절연막을 형성한다(S106). A second interlayer insulating film is formed on the entire surface where the cell contact plug is formed (S106).

이어서, 포토리소그라피 공정을 실시하여 마스크 패턴을 형성하고, 마스크 패턴을 이용하여 제2층간절연막을 식각함으로써 셀콘택 플러그 중 비트라인콘택이 이루어질 부분을 노출시킨 다음, 비트라인을 형성한다(S107).Subsequently, a photolithography process is performed to form a mask pattern, and the second interlayer insulating layer is etched using the mask pattern to expose a portion of the cell contact plug to which the bit line contact is to be made, and then form a bit line (S107).

이하에서는 실제 공정도를 참조하여 종래의 셀콘택 플러그 형성 공정을 살펴본다.Hereinafter, a conventional cell contact plug forming process will be described with reference to an actual process diagram.

도 2는 종래기술에 따라 게이트 전극이 형성된 반도체 소자를 도시한 평면도이다.2 is a plan view illustrating a semiconductor device having a gate electrode according to the related art.

도 2를 참조하면, 셀영역에 일정 간격으로 이격된 라인 타입의 게이트 전극(G1 ∼ G5)이 형성되어 있으며, 주변영역에서는 게이트 전극 G6이 형성되어 있다.Referring to FIG. 2, the gate electrodes G1 to G5 of the line type spaced apart at regular intervals are formed in the cell region, and the gate electrode G6 is formed in the peripheral region.

도 3은 종래기술에 따라 셀콘택용 콘택홀이 형성된 반도체 소자를 도시한 평 면도이다.3 is a plan view illustrating a semiconductor device in which contact holes for cell contacts are formed according to the related art.

도 3을 참조하면, 셀영역 및 주변영역에 복수의 게이트 전극(G1 ∼ G6)이 형성되어 있다. Referring to FIG. 3, a plurality of gate electrodes G1 to G6 are formed in a cell region and a peripheral region.

셀영역에서, 마스크 패턴(M)이 형성되어 있고, 마스크 패턴(M)을 마스크로 하는 선택적 식각 공정을 통해 제1층간절연막이 식각되어 게이트 전극(G1 ∼ G5) 사이의 소스/드레인 접합을 노출시키는 콘택홀(C/T)이 형성되어 있다.In the cell region, a mask pattern M is formed, and the first interlayer insulating film is etched through a selective etching process using the mask pattern M as a mask to expose source / drain junctions between the gate electrodes G1 to G5. Contact holes C / T are formed.

도 4a 내지 도 4g는 종래기술에 따른 셀콘택 플러그 형성을 포함한 반도체 소자 제조 공정을 도시한 단면도이다.4A to 4G are cross-sectional views illustrating a semiconductor device manufacturing process including forming a cell contact plug according to the prior art.

도 4a에 도시된 바와 같이, 도 2의 평면도를 a-a' 방향으로 절취한 단면 상에 제1층간절연막(404)을 형성한다.As shown in FIG. 4A, a first interlayer insulating film 404 is formed on a cross section taken along the a-a 'direction of the plan view of FIG. 2.

게이트 전극(G1 ∼ G6)은 게이트 하드마스크(402)/게이트 전도막(401)/게이트절연막(도시하지 않음)의 적층 구조와 그 측벽의 스페이서(403)를 포함한다.The gate electrodes G1 to G6 include a stacked structure of a gate hard mask 402 / gate conductive film 401 / gate insulating film (not shown) and spacers 403 on sidewalls thereof.

게이트 전극(G1 ∼ G6)의 패턴 밀도 차이로 셀영역과 주변영역 사이에서 제1층간절연막(404)의 단차가 발생한다. 후속 마스크 공정 시의 공정 마진을 확보하고 이러한 단차를 줄이기 위해 평탄화 공정을 실시한다. The step difference of the first interlayer insulating film 404 occurs between the cell region and the peripheral region due to the difference in the pattern density of the gate electrodes G1 to G6. The planarization process is performed to secure process margins in subsequent mask processes and to reduce such steps.

평탄화 공정 후에도 셀영역에서는 게이트 전극(G1 ∼ G5) 상에 't1' 두께의 제1층간절연막(404)이 잔류하고, 주변영역에서는 게이트 전극(G6) 상에 't2' 두께의 제1층간절연막(404)이 잔류한다.Even after the planarization process, a first interlayer insulating film 404 having a thickness of 't1' remains on the gate electrodes G1 to G5 in the cell region, and a first interlayer insulating film having a thickness of 't2' on the gate electrode G6 in the peripheral region. 404 remains.

도 4b에 도시된 바와 같이, 제1층간절연막(404) 상에 셀콘택용 마스크인 포토레지스트 패턴(405)을 형성한다. As shown in FIG. 4B, a photoresist pattern 405, which is a mask for cell contact, is formed on the first interlayer insulating layer 404.                         

SAC 식각 공정을 실시하기전 게이트 하드마스크(402)의 두께는 't3'이다.Prior to performing the SAC etching process, the thickness of the gate hard mask 402 is 't3'.

도 4c에 도시된 바와 같이, 포토레지스트 패터(405)을 식각마스크로 제1층간절연막(404)을 식각하여 셀영역에서 게이트 전극 G1과 G2 사이, G2와 G3 사이 및 G3과 G4 사이의 소스/드레인 접합을 노출시키는 콘택홀(406)을 형성한다.As shown in FIG. 4C, the first interlayer insulating film 404 is etched using the photoresist pattern 405 as an etch mask, so that the source / gate between G1 and G2, G2 and G3, and G3 and G4 in the cell region. A contact hole 406 is formed to expose the drain junction.

이 때, SAC 식각 공정을 적용하며, 그 과정에서 게이트 하드마스크(402)가 일부 손실되어 't4'의 두께가 남는다.At this time, the SAC etching process is applied, and in the process, the gate hard mask 402 is partially lost, leaving a thickness of 't4'.

이어서, 포토레지스트 스트립(Photo resist strip) 공정을 실시하여 포토레지스트 패턴(405)을 제거한 다음, 세정 공정을 실시하여 식각 잔류물을 제거한다.Subsequently, a photoresist strip process is performed to remove the photoresist pattern 405, and then a cleaning process is performed to remove the etching residues.

도 4c는 도 3의 b-b'의 단면도에 해당하며, 도 3의 P영역은 도 4c에서 제1층간절연막(404)에 덮혀 있는 부분을 나타내고, 도 3의 Q영역은 도 4c에서 제1층간절연막(404)이 식각되어 형성된 콘택홀(406)을 나타낸다.FIG. 4C corresponds to a cross-sectional view taken along line b-b 'of FIG. 3, and the region P of FIG. 3 shows a portion covered with the first interlayer insulating film 404 in FIG. 4C, and the region Q of FIG. 3 is the first in FIG. 4C. The interlayer insulating film 404 is etched to show the contact hole 406.

도 4d에 도시된 바와 같이, 콘택홀(406)이 형성된 전면에 플러그 형성용 전도막(407a)을 형성한다. 전도막(407a)으로는 폴리실리콘막이 사용된다.As shown in FIG. 4D, a plug forming conductive film 407a is formed on the entire surface where the contact hole 406 is formed. As the conductive film 407a, a polysilicon film is used.

전도막(407a)은 ' t5'의 두께만큼 증착되어 있으며, 도 3의 P와 Q영역은 콘택홀(406)이 이루는 토폴로지(Topology)로 인해 't6' 만큼의 단차를 갖는다.The conductive film 407a is deposited by a thickness of 't5', and the P and Q regions of FIG. 3 have a step of 't6' due to the topology formed by the contact hole 406.

플러그 아이솔레이션을 위한 평탄화 공정시 전도막(407a)과 제1층간절연막(404) 및 게이트 하드마스크(402)을 't7'의 두께 이상 제거하여야 한다. 한편, 전도막(407a) 증착 전에 생기는 단차 등을 고려하여 't5' 만큼의 전도막(407a)의 두께가 결정된다.In the planarization process for plug isolation, the conductive layer 407a, the first interlayer dielectric layer 404, and the gate hard mask 402 should be removed to a thickness greater than t7. On the other hand, the thickness of the conductive film 407a as much as 't5' is determined in consideration of the step generated before the deposition of the conductive film 407a.

도 4e에 도시된 바와 같이, CMP를 통한 평타화 공정 전에 에치백 공정을 실 시하여 제1층간절연막(404) 상부의 전도막(407a)을 제거한다.As shown in FIG. 4E, an etchback process is performed before the planarization process through CMP to remove the conductive film 407a on the first interlayer insulating film 404.

도 4f에 도시된 바와 같이, 셀영역에서 게이트 하드마스크(402)가 노출되는 타겟으로 CMP를 이용한 평탄화 공정을 실시하여 아이솔레이션된 복수의 셀콘택 플러그(407c)를 형성한다.As shown in FIG. 4F, a planarization process using CMP is performed as a target to which the gate hard mask 402 is exposed in the cell region to form a plurality of isolated cell contact plugs 407c.

CMP 공정시 주변영역의 게이트 하드마스크(402) 위에서는 전도막(407c)이 없는 상태에서 연마가 진행된다.During the CMP process, the polishing is performed on the gate hard mask 402 in the peripheral region without the conductive film 407c.

한편, 상기한 CMP 공정은 셀영역과 주변영역의 단차, 플러그(407c) 아이솔레이션시 게이트 하드마스크(402)와 전도막(407b) 및 제1층간절연막(404) 사이의 연마 속도의 차이로 인해 주변영역에서의 게이트 하드마스크(402)의 마진이 부족한 상황이다.On the other hand, in the CMP process, the periphery of the cell region and the peripheral region, the peripheral speed due to the difference in the polishing speed between the gate hard mask 402 and the conductive film 407b and the first interlayer insulating film 404 during the plug 407c isolation. The margin of the gate hard mask 402 in the region is insufficient.

즉, 주변영역의 제1층간절연막(404)의 연마 속도가 빨라서, 제1층간절연막(404)은 쉽게 제거되고 나아가, 하부의 게이트 하드마스크(402)가 일찍 노출되어 연마됨으로 인해, 주변영역의 게이트 하드마스크(402)의 두께 't9'가 셀영역의 게이트 하드마스크(402)의 두께 't8' 보다 낮아진다.That is, the polishing rate of the first interlayer insulating film 404 in the peripheral region is high, so that the first interlayer insulating film 404 is easily removed, and further, the lower gate hard mask 402 is exposed and polished early, so that The thickness 't9' of the gate hard mask 402 is lower than the thickness 't8' of the gate hard mask 402 of the cell region.

게이트 하드마스크(402)의 마진 부족으로 후속 공정에서 오정렬(Mis-alignment)이 유도될 가능성이 증가하며, 게이트 전극(G1 ∼ G5)과 스토리지노드 콘택 간의 브릿지(Bridge)가 발생하거나, 누설전류가 증가하여 반도체 소자의 페일을 증가시킨다.Lack of margin of the gate hard mask 402 increases the likelihood of misalignment in a subsequent process, resulting in a bridge between the gate electrodes G1-G5 and the storage node contact, or leakage current. Increases the failing of the semiconductor device.

도 4g에 도시된 바와 같이, 셀콘택 플러그(407c)가 형성된 전면에 비트라인을 절연시키기 위한 제2층간절연막(408)을 증착한 다음, CMP 등의 평탄화 공정을 실시하여 게이트 전극(G1 ∼ G6) 상부에서 제2층간절연막(408)이 't10'의 두께가 남도록 한다.As shown in FIG. 4G, a second interlayer insulating film 408 is deposited on the entire surface where the cell contact plug 407c is formed to insulate the bit line, and then a planarization process such as CMP is performed to perform gate electrodes G1 to G6. The thickness of the 't10' is left on the second interlayer insulating film 408.

후속 공정으로 셀콘택 플러그(407c) 중 비트라인콘택이 이루어질 부분을 노출시키는 비트라인 콘택 공정을 실시한다.In a subsequent process, a bit line contact process is performed to expose a portion of the cell contact plug 407c to which a bit line contact is to be made.

상기한 바와 같이 이루어지는 종래의 셀콘택 플러그 형성 공정에서는 다음과 같은 문제점이 발생한다.The following problem occurs in the conventional cell contact plug forming process as described above.

1) 플러그용 전도막 증착시 도 4a에 도시된 't1'과 같이 게이트 전극(G1 ∼ G5) 상부에 있는 제1층간절연막(404)의 두께까지 고려하여야 하므로, 플러그용 전도막 증착시 증착 두께가 증가한다.1) When depositing the conductive film for the plug, the thickness of the first interlayer insulating film 404 on the gate electrodes G1 to G5 should be taken into consideration as 't1' shown in FIG. 4A. Increases.

2) 도 4f에 도시된 바와 같이, 플러그 아이솔레이션을 위한 CMP 공정시 전도막과 게이트 하드마스크 및 제1층간절연막 간의 연마 속도의 차이로 인한 과도 또는 과소 연마와 이로 인해 야기되는 플러그 심(Seam)이나, 디싱(Dishing) 등의 문제점이 발생한다.2) As shown in FIG. 4F, in the CMP process for plug isolation, excessive or underpolishing due to a difference in polishing rate between the conductive film, the gate hard mask, and the first interlayer insulating film and the resulting plug seam Problems, such as dishing (Dishing) occurs.

3) 게이트 전극(G1 ∼ G6)의 두께 증가와 스페이스 감소로 인한 종횡비 증가로 인해 도 4c의 콘택홀(406) 형성시 SAC 식각 공정의 부담이 크고, SAC 페일이 자주 발생한다.
3) Due to the increase in the aspect ratio due to the increase in the thickness of the gate electrodes G1 to G6 and the decrease in the space, the burden of the SAC etching process is large when the contact hole 406 of FIG. 4C is formed, and SAC fail frequently occurs.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 셀콘택 플러그 형성시 공정 마진을 증가시키고 공정의 안정성을 확보할 수 있는 반도 체 소자 제조 방법을 제공하는 것을 그 목적으로 한다.
The present invention has been proposed to solve the above problems of the prior art, an object of the present invention is to provide a method for manufacturing a semiconductor device that can increase the process margin when forming the cell contact plug and ensure the stability of the process.

상기의 목적을 달성하기 위해 본 발명은, 기판 상에 복수의 게이트 전극을 형성하는 단계; 상기 복수의 게이트 전극의 측벽에 절연성 스페이서를 형성하는 단계; 상기 게이트 전극을 포함한 전면에 플러그용 전도막을 형성하는 단계; 상기 게이트 전극 상부가 노출되는 타겟으로 평탄화 공정을 실시하는 단계; 및 상기 평탄화된 플러그용 전도막 중 셀콘택이 이루어지지 않는 플러그용 전도막을 선택적으로 제거하는 단계를 포함하는 반도체 소자 제조 방법을 제공한다.
In order to achieve the above object, the present invention comprises the steps of forming a plurality of gate electrodes on the substrate; Forming insulating spacers on sidewalls of the plurality of gate electrodes; Forming a conductive film for a plug on the entire surface including the gate electrode; Performing a planarization process on a target to which the gate electrode is exposed; And selectively removing the plug conductive film in which the cell contact is not made from the planarized plug conductive film.

본 발명은 게이트 전극을 형성한 후 제1층간절연막을 증착하지 않고, 바로 플러그용 전도막을 증착하여 아이솔레이션 공정을 실시한 다음, 제1층간절연막을 증착하고, 제1층간절연막을 선택적으로 제거하여 셀콘택 플러그를 형성한다.The present invention does not deposit a first interlayer insulating film after forming a gate electrode, and immediately after the plug conductive film is deposited, performs an isolation process, deposits a first interlayer insulating film, and selectively removes the first interlayer insulating film, and then contacts the cell. Form a plug.

이로 인해, 플러그용 전도막 증착시 타겟을 줄일 수 있고, SAC 식각 공정을 셍략할 수 있으며, 플러그 아이솔레이션을 위한 CMP 공정시 발생되는 여러 문제점을 해결할 수 있다.
As a result, targets can be reduced when depositing a conductive film for a plug, a SAC etching process can be omitted, and various problems generated during a CMP process for plug isolation can be solved.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다. DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.                     

도 5는 본 발명에 따른 셀콘택 형성을 포함한 반도체 소자 제조 공정의 일부를 개략적으로 도식화한 플로우 챠트로서, 이를 참조하여 본 발명의 반도체 소자 제조 공정을 살펴본다.FIG. 5 is a flowchart schematically illustrating a part of a semiconductor device manufacturing process including cell contact formation according to the present invention, and looks at the semiconductor device manufacturing process of the present invention with reference to the flowchart.

기판에 필드 산화막을 형성하여 필드 영역과 액티브 영역을 정의하고, 웰을 형성한 다음, 채널 이온주입을 실시한 후, 기판 상에 복수의 게이트 전극을 형성한다(S501). 게이트 전극의 측면에 얼라인되는 기판의 액티브 영역에 불순물 이온주입을 실시하여 소스/드레인 접합을 형성한다.After forming a field oxide film on the substrate to define a field region and an active region, forming a well, and performing channel ion implantation, a plurality of gate electrodes are formed on the substrate (S501). Impurity ion implantation is performed in the active region of the substrate aligned with the side of the gate electrode to form a source / drain junction.

이 과정에서 게이트 스페이서 형성 공정과 LDD 이온주입 공정을 실시한다.In this process, a gate spacer forming process and an LDD ion implantation process are performed.

게이트 전극이 형성된 전면에 플러그 물질 즉, 플러그 형성용 전도막을 증착한다(S502). A plug material, that is, a plug forming conductive film is deposited on the entire surface where the gate electrode is formed (S502).

통상의 경우 층간절연막을 증착하나, 본 발명에서는 플러그용 전도막을 먼저 증착하여 모든 게이트 전극 사이를 채운다. 게이트 전극과 플러그용 전도막은 게이트 측벽의 스페이서에 의해 서로 절연된다. 플러그 물질로는 폴리실리콘막이 주로 사용된다.In general, an interlayer insulating film is deposited, but in the present invention, a plug conductive film is first deposited to fill all gate electrodes. The gate electrode and the plug conductive film are insulated from each other by a spacer of the gate sidewall. As the plug material, a polysilicon film is mainly used.

에치백과 CMP 공정을 단독 또는 복합적으로 적용하여 게이트 하드마스크를 노출시킴으로써, 게이트 전극에 의해 플러그용 전도막이 서로 아이솔레이션되도록 한다.(S503).The etch back and the CMP process are applied alone or in combination to expose the gate hard mask so that the plug conductive films are isolated from each other by the gate electrode (S503).

플러그용 전도막 중 셀콘택 플러그 이외의 영역의 플러그용 전도막을 제거하고, 이 제거된 부분에 층간절연막을 채운다(S504).The plug conductive film in a region other than the cell contact plug of the plug conductive film is removed, and the interlayer insulating film is filled in the removed portion (S504).

즉, 플러그용 전도막이 형성된 전면에 포토레지스트 패턴을 형성한 다음, 포 토레지스트 패턴을 식각마스크로 셀콘택 플러그 이외의 영역의 플러그용 전도막을 제거함으로써, 절연영역 없이 서로 아이솔레이션된 셀콘택 플러그를 형성한다.That is, by forming a photoresist pattern on the entire surface where the plug conductive film is formed, by removing the plug conductive film in a region other than the cell contact plug with the photoresist pattern as an etch mask, cell contact plugs isolated from each other without an insulating region are formed. do.

이 때, 기존의 셀콘택용 마스크를 그대로 사용할 수 있다. 이를 위해서, 포지티브 타입의 포토레지스트를 사용하지 않고 네가티브 타입의 포토레지스트를 사용한다.At this time, the existing cell contact mask can be used as it is. For this purpose, a negative type photoresist is used instead of a positive type photoresist.

따라서, 기존의 셀콘택용 마스크를 사용하여 노광이 안된 부분이 현상 공정을 통해 용해되어 제거되므로, 원하는 포토레지스트 패턴을 형성할 수 있다.Therefore, since the portion not exposed using the existing mask for cell contact is dissolved and removed through the developing process, a desired photoresist pattern can be formed.

포토레지스트 패턴을 제거한 다음, 세정 공정을 실시하여 식각 잔류물을 제거한다.After removing the photoresist pattern, a cleaning process is performed to remove the etch residue.

셀콘택 플러그가 형성된 전면에 층간절연막을 형성한다(S505). An interlayer insulating film is formed on the entire surface where the cell contact plug is formed (S505).

이어서, 포토리소그라피 공정을 실시하여 마스크 패턴을 형성하고, 마스크 패턴을 이용하여 층간절연막을 식각함으로써 셀콘택 플러그 중 비트라인콘택이 이루어질 부분을 노출시킨 다음, 비트라인을 형성한다(S506).Subsequently, a photolithography process is performed to form a mask pattern, and an interlayer insulating layer is etched using the mask pattern to expose a portion of the cell contact plug to which a bit line contact is to be made, and then a bit line is formed (S506).

이하에서는 실제 공정도를 참조하여 본 발명의 일실시예에 따른 셀콘택 플러그 형성 공정을 살펴본다.Hereinafter, a cell contact plug forming process according to an exemplary embodiment of the present invention will be described with reference to the actual process diagram.

도 6은 본 발명의 일실시예에 따라 게이트 전극이 형성된 반도체 소자를 도시한 평면도이다.6 is a plan view illustrating a semiconductor device in which a gate electrode is formed in accordance with an embodiment of the present invention.

도 6을 참조하면, 셀영역에 일정 간격으로 이격된 라인 타입의 게이트 전극(G601 ∼ G605)이 형성되어 있으며, 주변영역에서는 게이트 전극 G606이 형성되어 있다. Referring to FIG. 6, line-type gate electrodes G601 to G605 spaced at regular intervals are formed in the cell region, and gate electrodes G606 are formed in the peripheral region.                     

도 7은 본 발명의 일실시예에 따라 층간절연막 없이 아이솔레이션된 셀콘택 플러그가 형성된 반도체 소자를 도시한 평면도이다.FIG. 7 is a plan view illustrating a semiconductor device in which an isolated cell contact plug is formed without an interlayer dielectric layer according to an exemplary embodiment of the present invention.

도 7을 참조하면, 셀영역 및 주변영역에 복수의 게이트 전극(G601 ∼ G606)이 형성되어 있다. Referring to FIG. 7, a plurality of gate electrodes G601 to G606 are formed in a cell region and a peripheral region.

셀영역에서, 게이트 전극(G601 ∼ G605) 사이에 아이솔레이션된 셀콘택 플러그(Plug)가 형성되어 있고, 셀콘택 플러그(Plug)는 오픈된 영역(Open)에 의해 서로 아이솔레이션되어 있다.In the cell region, isolated cell contact plugs (Plug) are formed between the gate electrodes G601 to G605, and the cell contact plugs are isolated from each other by the open area (Open).

도 8a 내지 도 8f는 본 발명의 일실시예에 따른 셀콘택 플러그 형성을 포함한 반도체 소자 제조 공정을 도시한 단면도이다.8A through 8F are cross-sectional views illustrating a semiconductor device manufacturing process including forming a cell contact plug according to an embodiment of the present invention.

도 8a에 도시된 바와 같이, 도 6의 평면도를 c-c' 방향으로 절취한 단면 상에 플러그용 전도막(804a)을 형성한다.As shown in FIG. 8A, the plug conductive film 804a is formed on the cross section taken along the c-c 'direction of the plan view of FIG. 6.

게이트 전극(G1 ∼ G6)은 게이트 하드마스크(802)/게이트 전도막(801)/게이트절연막(도시하지 않음)의 적층 구조와 그 측벽의 스페이서(803)를 포함한다.The gate electrodes G1 to G6 include a stacked structure of a gate hard mask 802 / gate conductive film 801 / gate insulating film (not shown) and spacers 803 on sidewalls thereof.

게이트 절연막은 실리콘 산화막 또는 알루미늄 산화막 등의 통상적인 산화막 계열의 절연막을 이용한다.The gate insulating film uses a conventional oxide film-based insulating film such as a silicon oxide film or an aluminum oxide film.

게이트 전도막은 통상 불순물이 도핑된(Doped) 폴리실리콘, W, WN, WSix, TiSix 등의 단독 또는 이들의 조합된 형태를 이용한다. The gate conductive film usually uses polysilicon doped with impurities, W, WN, WSi x , TiSi x , or the like, or a combination thereof.

게이트 하드마스크는 후속 콘택 형성을 위해 오픈부를 형성하는 과정 예컨대, SAC 식각 공정에서 게이트 전도막이 어택받는 보호하기 위한 것으로서, 층간절 연막과 식각 선택비를 가질 수 있는 모든 절연막을 사용할 수 있다.The gate hard mask is used to protect the gate conductive layer from being attacked in a process of forming an open portion for subsequent contact formation, for example, in an SAC etching process, and any insulating layer having an interlayer dielectric layer and an etching selectivity may be used.

그 대표적인 예로, 실리콘 산화막과 실리콘 산화질화막 및 실리콘 질화막 등이 있다.Representative examples thereof include a silicon oxide film, a silicon oxynitride film, and a silicon nitride film.

게이트 전극(G601 ∼ G606) 사이의 기판(800)에 소스/드레인 접합 등의 불순물 확산영역(도시하지 않음)을 형성한다.An impurity diffusion region (not shown) such as a source / drain junction is formed in the substrate 800 between the gate electrodes G601 to G606.

게이트 전극(G601 ∼ G606) 패터닝 시에는 산화막 계열인 게이트 절연막과 고 선택비를 갖도록 Cl2, CCl4 등의 클로린(Chlorine) 가스를 사용하여 플라즈마 식각하는 것이 바람직하다.In the case of patterning the gate electrodes G601 to G606, plasma etching may be performed using a chlorine gas such as Cl 2 or CCl 4 so as to have a high selectivity with a gate insulating film that is an oxide film.

스페이서(803)는 게이트 전극(G601 ∼ G606)이 형성된 프로파일을 따라 스페이서용 절연막을 증착한 후, 에치백 공정을 실시함으로써 형성된다.The spacer 803 is formed by depositing an insulating film for a spacer along the profile in which the gate electrodes G601 to G606 are formed, and then performing an etch back process.

스페이서(803)는 질화막의 단독 구조 또는 이중 구조를 포함하거나, 질화막과 산화막이 복합된 다중 구조를 포함한다.The spacer 803 includes a single structure or a double structure of a nitride film or a multiple structure in which a nitride film and an oxide film are combined.

게이트 전극(G601 ∼ G606)이 형성된 전면에 플러그용 전도막(804a)을 증착한다.The plug conductive film 804a is deposited on the entire surface where the gate electrodes G601 to G606 are formed.

도 8a에서는 플러그용 전도막(804a)을 't11'의 두께만큼 증착한 상태를 나타낸다.In FIG. 8A, the plug conductive film 804a is deposited by the thickness of 't11'.

본 발명에서는 종래기술과 달리 게이트 전극(G601 ∼ G606) 형성 후 플러그용 전도막(804a)을 증착하므로 그 증착 두께도 종래에 비해 줄일 수 있다. 즉, 종래의 경우에는 콘택홀을 매립해야하며, 이 때 층간절연막의 두께까지 고려해야 하 므로 그 증착 두께가 't11'보다는 커야 했다.In the present invention, unlike the prior art, since the plug conductive film 804a is deposited after the formation of the gate electrodes G601 to G606, the deposition thickness thereof can be reduced as compared with the prior art. That is, in the conventional case, the contact hole must be buried, and in this case, the thickness of the interlayer insulating film must be taken into consideration, so that the deposition thickness thereof should be larger than 't11'.

플러그용 전도막(804a)으로는 주로 폴리실리콘막을 사용한다. 폴리실리콘막 증착 시에는 SiH4 또는 Si2H6 등의 소스를 사용한다.As the plug conductive film 804a, a polysilicon film is mainly used. When depositing a polysilicon film, a source such as SiH 4 or Si 2 H 6 is used.

도 8b에 도시된 바와 같이, 평탄화 공정을 실시하여 게이트 하드마스크(802)를 노출시킴으로써, 게이트 전극(G601 ∼ G606)에 의해 플러그용 전도막(804b)이 서로 아이솔레이션되도록 한다.As shown in FIG. 8B, the planarization process is performed to expose the gate hard mask 802 so that the plug conductive films 804b are isolated from each other by the gate electrodes G601 to G606.

평탄화 공정으로는 에치백과 CMP 공정을 단독 또는 복합적으로 적용할 수 있으나, CMP 공정을 적용하는 것이 바람직하다.As the planarization process, the etch back and the CMP process may be applied alone or in combination, but it is preferable to apply the CMP process.

CMP 공정시 플러그용 전도막(804b)으로 사용되는 폴리실리콘막의 연마 속도가 게이트 하드마스크(802)로 사용되는 질화막의 연마 속도 보다 큰(적어도 10이상) 슬러리(Slurry)를 사용함으로써, 플러그용 전도막(804b) 아이솔레이션 후 발생되는 플러그용 전도막(804b)의 디싱과 게이트 하드마스크(802)의 부식(Erosion)을 방지할 수 있다.By using a slurry whose polishing rate of the polysilicon film used as the plug conductive film 804b for the CMP process is higher than the polishing rate of the nitride film used as the gate hard mask 802 (at least 10 or more), the conductivity for the plug is used. It is possible to prevent dishing of the plug conductive film 804b and corrosion of the gate hard mask 802 generated after the film 804b isolation.

슬러리는 폴리실리콘막과 질화막의 연마 선택비가 2:1 ∼ 100:1인 것을 사용할 수 있으나, 10:1 ∼ 50:1을 갖는 것을 사용하는 것이 바람직하다. The slurry may be one having a polishing selectivity of 2: 1 to 100: 1 between the polysilicon film and the nitride film, but it is preferable to use one having from 10: 1 to 50: 1.

이처럼 폴리실리콘막과 질화막에 대해 높은 연마 선택비를 갖는 고선택성 슬러리를 사용할 경우 질화막이 자동 정지막 기능을 하게 되므로, 평탄성이 증가하게 되어 결국은 후속 공정 시에 페일(Fail)이 감소되어 수율 저항을 방지할 수 있는 장점이 있다. As such, when a highly selective slurry having a high polishing selectivity with respect to the polysilicon film and the nitride film is used, the nitride film functions as an automatic stop film, thereby increasing flatness and ultimately reducing fail in a subsequent process to yield resistance. There is an advantage that can be prevented.                     

만약, 이와 같은 자동 정지 기능이 있는 슬러리를 사용하지 않는다 하더라도, 셀콘택 플러그가 형성되지 않을 부분은 후속 식각 공정에서 제거시키므로 주변영역의 플러그용 전도막(804b)에 단차가 발생하여도 공정 상의 문제는 없다. 즉, CMP 공정 후 주변영역의 최종 플러그용 전도막(804b)의 두께가 CMP 전의 두께인 't11'보다 작은 't12' 만큼 조절되어도 후속 공정에 전혀 문제가 발생되지 않는다.Even if the slurry having the automatic stop function is not used, the part where the cell contact plug will not be formed is removed in a subsequent etching process, so even if a step occurs in the plug conductive film 804b in the peripheral region, a process problem is caused. There is no. That is, even if the thickness of the final plug conductive film 804b in the peripheral region after the CMP process is adjusted by 't12' smaller than 't11', which is the thickness before the CMP, no problem occurs in the subsequent process.

슬러리의 폐하지수(pH)는 2 ∼ 12 인 것이 사용 가능하며, 5 ∼ 11의 폐하지수를 갖는 것을 사용하는 것이 바림직하다.The wastewater (pH) of the slurry may be 2 to 12, and it is preferable to use the wastewater having 5 to 11 wastewater.

또한, 50nm ∼ 300nm 크기의 실리카나 알루미나 연마제가 첨가된 슬러리를 사용하는 것이 바람직하다.In addition, it is preferable to use a slurry to which silica or alumina abrasive with a size of 50 nm to 300 nm is added.

슬러리 사용으로 폴리실리콘의 연마 속도를 증가시키는 방법으로 슬러리에 사화제를 첨가시킬 수 있다. 이 때 사용되는 산화제의 예로는 과산화 수소를 비롯하여 수용성의 유기나 무기 산화제를 하나 이상 조합하여 슬러리 총량에 대해 0.01w% ∼ 10w%를 사용한다. The use of a slurry can add tetramers to the slurry in a manner that increases the polishing rate of the polysilicon. Examples of the oxidant used at this time are 0.01w% to 10w% based on the total amount of the slurry by combining one or more water-soluble organic or inorganic oxidants including hydrogen peroxide.

슬러리의 폐하지수(pH)를 맞추기 위해 일반적인 HCl 또는 HNO3와 같은 무기산과 암모니아수나 무기염을 비롯하여 아세트산(Acetic acid), 시트릭산(Citric acid), 타르타르산(Tartaric acid), 수시닉산(Succinic acid), 말릭산(Malic acic), 말레익산(Maleic acid), 퓨머릭산(Fumaric acid), 마노릭산(Manolic acid), 그리콜릭산(Glycolic acid), 수산(Oxalic acid), 벤조닉산(Benzonic acid) 등과 같은 모든 유기산과 그 염 그리고, 모노에탄올 아민이나 트리에탄올 아민과 같은 모 든 유기염을 사용할 수 있다.In order to match the wastewater (pH) of the slurry, inorganic acids such as HCl or HNO 3 and aqueous ammonia or inorganic salts, as well as acetic acid, citric acid, tartaric acid and succinic acid , Malic acic, maleic acid, maleic acid, fumaric acid, manolic acid, maniclic acid, glycolic acid, oxalic acid, benzoic acid, etc. All the same organic acids and salts thereof, and all organic salts such as monoethanol amine or triethanol amine can be used.

아세트산을 슬러리의 총량에 대해 0.01w% ∼ 10w% 사용하면 폐하지수(pH)를 "5"로 맞출 수 있으며, 모노에탄올 아민을 슬러리의 총량에 대해 0.01w% ∼ 10w% 사용하면 폐하지수(pH)를 "9"로 맞출 수 있다.If acetic acid is used in 0.01w% -10w% of the total amount of slurry, the wastewater level (pH) can be set to "5". If monoethanol amine is used in 0.01w% -10w% of the total amount of slurry, wastewater (pH) is used. ) Can be set to "9".

폐하지수(pH) 조절제로 사용되는 유기산은 질화막에 대한 친화도가 있어 연마 속도를 저하시키는 역할도 한다. CMP 공정 시 패드는 하드 패드를 사용한다.Organic acids used as wastewater (pH) regulators have affinity for the nitride film and thus reduce the polishing rate. In the CMP process, hard pads are used.

도 8c에 도시된 바와 같이, 플러그용 전도막(804b)이 평탄화된 전면에 포토레지스트 패턴(805)을 형성한다.As shown in FIG. 8C, the photoresist pattern 805 is formed on the entire surface of the plug conductive film 804b.

이 때, 기존의 셀콘택용 마스크를 그대로 사용할 수 있다. 이를 위해서, 포지티브 타입의 포토레지스트를 사용하지 않고 네가티브 타입의 포토레지스트를 사용한다.At this time, the existing cell contact mask can be used as it is. For this purpose, a negative type photoresist is used instead of a positive type photoresist.

따라서, 기존의 셀콘택용 마스크를 사용하여 노광이 안된 부분이 현상 공정을 통해 용해되어 제거되므로, 원하는 포토레지스트 패턴(805)을 형성할 수 있다.Accordingly, since the portion not exposed using the existing cell contact mask is dissolved and removed through the developing process, the desired photoresist pattern 805 may be formed.

도 8d에 도시된 바와 같이, 포토레지스트 패턴(805)을 식각마스크로 셀콘택 플러그가 형성되는 영역 이외의 플러그용 전도막(804b)을 제거함으로써, 절연영역 없이 서로 아이솔레이션된 셀콘택 플러그(804c)를 형성한다.As shown in FIG. 8D, the cell contact plug 804c is isolated from each other without the insulating region by removing the plug conductive film 804b other than the region where the cell contact plug is formed using the photoresist pattern 805 as an etch mask. To form.

도 8d는 도 7의 d-d'의 단면도에 해당하며, 도 7의 R영역은 도 8d에서 오픈되어 있는 영역(806)이고, 도 7의 S영역은 도 8d에서 층간절연막없이 아이솔레이션된 셀콘택 플러그(804c)를 나타낸다.FIG. 8D corresponds to a cross-sectional view taken along the line d-d 'of FIG. 7, wherein the R region of FIG. 7 is an open region 806 in FIG. 8D, and the S region of FIG. 7 is an isolated cell contact without an interlayer insulating film in FIG. 8D. The plug 804c is shown.

이 때, 건식 식각 방식을 이용하며, 질화막과 폴리실리콘막 사이의 고선택비 를 얻을 수 있도록 Cl2 또는 CCl4 등의 클로린 가스를 사용한다.In this case, a dry etching method is used, and chlorine gas such as Cl 2 or CCl 4 is used to obtain a high selectivity between the nitride film and the polysilicon film.

이 때, 식각 선택비를 높이기 위해 SAC 식각 공정을 적용할 수 있다. In this case, the SAC etching process may be applied to increase the etching selectivity.

셀영역에서의 게이트 하드마스크(802)의 두께 't13'과 주변영역에서의 게이트 하드마스크(802)의 두께 't14'의 차이는 거의 발생하지 않는다.The difference between the thickness 't13' of the gate hard mask 802 in the cell region and the thickness 't14' of the gate hard mask 802 in the peripheral region hardly occurs.

상기한 공정의 결과, 종래의 셀콘택 플러그(804c) 아이솔레이션 후에 비해 게이트 하드마스크(802)의 손실을 줄일 수 있다. As a result of the above process, the loss of the gate hard mask 802 can be reduced as compared with the conventional cell contact plug 804c after isolation.

따라서, 게이트 하드마스크(802)의 손실을 최대한 줄이면 후속 비트라인 형성 및 스토리지노드 콘택 형성시 공정 마진을 증가시켜 SAC 페일을 줄일 수 있다.Therefore, if the loss of the gate hard mask 802 is reduced as much as possible, the SAC fail may be reduced by increasing the process margin in forming the subsequent bit line and forming the storage node contact.

아울러, 게이트 하드마스크(802)의 손실이 최소화되므로 애초에 증착되는 게이트 하드마스크(802)의 두께를 줄일 수 있다.In addition, since the loss of the gate hard mask 802 is minimized, the thickness of the gate hard mask 802 that is initially deposited may be reduced.

도 8e에 도시된 바와 같이, 셀콘택 플러그(804c)가 형성된 전면에 층간절연막(807)을 형성한다.As shown in FIG. 8E, an interlayer insulating film 807 is formed on the entire surface where the cell contact plug 804c is formed.

층간절연막(807)은 게이트 전극(G601 ∼ G606)간, 게이트 전극(G601 ∼ G605)과 셀콘택 플러그(804c)간, 셀콘택 플러그(804c)간, 게이트 전극(G601 ∼ G606)과 비트라인간, 비트라인과 비트라인간 및 비트라인과 셀콘택 플러그(804c) 간의 절연을 동시에 이루게 한다.The interlayer insulating film 807 is between the gate electrodes G601 to G606, between the gate electrodes G601 to G605 and the cell contact plug 804c, between the cell contact plug 804c, and between the gate electrodes G601 to G606 and the bit line. Insulation between the bit line and the bit line and between the bit line and the cell contact plug 804c is simultaneously achieved.

종래의 경우 게이트 전극(G601 ∼ G606) 형성 후 제1층간절연막을 증착하고, 셀콘택 플러그(804c) 형성 후 제2층간절연막 다시 증착하였으나, 본 발명의 경우 이 두 층간절연막을 한번의 층간절연막(807) 증착으로 가능하게 한다. In the related art, after the gate electrodes G601 to G606 are formed, the first interlayer insulating film is deposited, and after the cell contact plug 804c is formed, the second interlayer insulating film is deposited again. However, in the present invention, the two interlayer insulating films are deposited once. 807) by deposition.                     

층간절연막(807)은 매립 특성이 우수한 BPSG(Boro-Phospho-Silicate-Glass)막, PSG(Phospho-Silicate-Glass)막 등과 같은 불순물이 도핑된 산화막 또는 과산화수소(H2O2)와 실란(SiH4)을 반응 소스로 이용하여 저압화학기상증착(Low Pressure Chemical Vapor Deposition; LPCVD라 함) 방식으로 증착하며 유동성을 갖는 APL(Advanced Planarization Layer)막이나. 원자층증착(Atomic Layer Deposition; 이하 ALD라 함) 방식을 이용하여 증착한 산화막을 비롯하여 소스로 SiH4, SiHa(CH3)b(0≤a≤4, 0≤b≤4) 및 N2, N2O, NH3, O2, O3, Ar, He, NF3 등을 사용하여 고밀도 플라즈마 방식으로 증착한 산화막 등을 사용할 수 있다.The interlayer insulating film 807 is an oxide film doped with impurities such as a BPSG (Boro-Phospho-Silicate-Glass) film, a PSG (Phospho-Silicate-Glass) film, or hydrogen peroxide (H 2 O 2 ) and silane (SiH) having excellent buried characteristics. 4 ) APL (Advanced Planarization Layer) film having a fluidity by depositing by Low Pressure Chemical Vapor Deposition (LPCVD) method using as a reaction source. SiH 4 , SiHa (CH 3 ) b (0 ≦ a ≦ 4, 0 ≦ b ≦ 4) and N 2 , as the source, including an oxide film deposited using atomic layer deposition (ALD). An oxide film deposited using a high density plasma method using N 2 O, NH 3 , O 2 , O 3 , Ar, He, NF 3 , or the like can be used.

층간절연막(807)으로 고밀도 플라즈마 방식으로 증착한 산화막을 사용하는 경우, 막 증착 후 H2, O2, N2, O3, N2O 또는 H 2/O2 등을 포함하는 혼합 가스 분위기와 500℃ ∼ 1200℃의 온도에서 5분 이상 실시하는 것이 바람직하다. 급속열처리(Rapid Thermal Process) 방식을 이용할 경우에는 600℃ 이상의 온도에서 5초 이상 실시하여 막질을 치밀하게 한다.In the case of using the oxide film deposited by the high-density plasma method as the interlayer insulating film 807, a mixed gas atmosphere including H 2 , O 2 , N 2 , O 3 , N 2 O, or H 2 / O 2, etc. after film deposition is used. It is preferable to carry out for 5 minutes or more at the temperature of 500 degreeC-1200 degreeC. In the case of using the Rapid Thermal Process method, the film quality is increased by performing at least 600 seconds at a temperature of 600 ° C. or higher.

도 8f에 도시된 바와 같이, 평탄화 공정을 실시하여 게이트 전극(G601 ∼ G606) 상부에서 층간절연막(807)이 't10'의 두께가 남도록 한다.As shown in FIG. 8F, the planarization process is performed to leave the thickness of the interlayer insulating film 807 at the top of the gate electrodes G601 to G606 to be 't10'.

평탄화 시에는 CMP 공정을 이용한다.In the planarization, a CMP process is used.

반도체 소자의 디자인 룰이 감소함에 따라 층간절연막(807)의 완전한 평탄화가 이루어지지 않은 상태로 후속 공정을 진행할 경우 노광 작업시 초점심도(Depth Of Focus; 이하 DOF라 함)의 마진 부족과 식각 공정시의 마진 부족으로 브릿지가 발생하거나 누설전류가 증가하게 되므로 평탄화 공정이 반드시 필요하다.As the design rule of the semiconductor device decreases, when the subsequent process is performed without the complete planarization of the interlayer insulating film 807, the margin of focus (hereinafter referred to as DOF) during the exposure operation and the lack of margin during the etching process Because of lack of margin, bridge generation or leakage current increases, so planarization process is necessary.

본 발명은, 층간절연막을 2회 증착하여 2회의 CMP 공정을 실시하는 종래기술과는 달리 1회의 층간절연막(807)의 증착과 1회의 CMP 공정만을 실시하는 장점이 있다.The present invention has the advantage of performing only one deposition of the interlayer insulating film 807 and only one CMP process, unlike the prior art in which the CMP process is performed twice by depositing the interlayer insulating film twice.

이처럼 평탄화를 위한 CMP 공정의 회수를 줄이면 CMP 공정시 발생하는 균일성(Uniformity) 측면에서도 유리한 장정 있다. 즉, 1회의 CMP에 의해 생기는 연마 불균일성에 2회의 후속 CMP 공정에서 생기는 불균일성이 추가되어 최종 불균일도가 증가하는 반면, 1회의 CMP 공정만을 도입하여 평탄화를 실시하면 불균일도를 훨씬 줄일 수 있다.As such, reducing the number of CMP processes for planarization has an advantage in terms of uniformity generated during the CMP process. That is, the final nonuniformity is increased by adding the nonuniformity generated by two subsequent CMP processes to the polishing nonuniformity caused by one CMP, while the nonuniformity can be further reduced by introducing only one CMP process and performing planarization.

한편, 본 발명에서는 층간절연막(807)을 CMP 공정을 이용하여 평탄화시킬 때, 셀영역과 주변영역 사이의 단차를 줄이기 위해 실리카 연마제와 세리아(Ceria) 연마제를 혼합한 슬러리를 사용한다.Meanwhile, in the present invention, when the interlayer insulating film 807 is planarized using a CMP process, a slurry in which a silica abrasive and a ceria abrasive are mixed to reduce the step difference between the cell region and the peripheral region is used.

실리카 연마제와 세리아 연마제가 0.1:99.9 ∼ 99.9:1 바람직하게는, 1:9 ∼ 9:1의 비율로 혼합된 슬러리를 이용한다.The slurry in which the silica abrasive and the ceria abrasive are mixed at a ratio of 0.1: 99.9 to 99.9: 1 is preferably 1: 9 to 9: 1.

실리카 연마제는 CMP 전에 단차가 높은 영역을 우선적으로 제거하는 역할을 하고, 실리카 연마제에 의해 높은 단차가 제거될 때 고분자와 콤플렉스(Complex) 형태를 이루고 있는 세리아 연마제는 단차가 낮은 주변영역에 존재하고, 그 영역이 연마가 잘 되지 않도록 제어하는 역할을 한다.Silica abrasives preferentially remove high step areas prior to CMP, and ceria abrasives, which form complexes with polymers when the high steps are removed by silica abrasives, exist in the peripheral area with low step levels. The area plays a role of controlling so that grinding is not good.

세리아 연마제는 음이온성 고분자 화합물을 첨가함으로써 용액 내에서 직경이 50nm ∼ 5000nm, 바람직하게는 200nm ∼ 1000nm인 '세리아 연마제-고분자'의 콤 플렉스를 이룬다.The ceria abrasive forms a complex of 'ceria abrasive-polymer' having a diameter of 50 nm to 5000 nm, preferably 200 nm to 1000 nm in solution by adding an anionic polymer compound.

'세리아 연마제-고분자'의 콤플렉스는 예컨대, 필드산화막 형성시 CMP 전에 생긴 단차가 제거되고 패드 질화막이 노출되었을 때 필드산화막 영역의 산화막과의 강한 이온성 상호작용(Ionic interaction)을 일으켜 연마 대상막의 연마 속도를 현저히 줄어들게 한다. 즉, 자동정지연마(Auto stop CMP) 기능을 한다.The complex of 'ceria abrasive-polymer' is used to polish the polishing target film by, for example, removing the step before CMP when forming the field oxide film and causing strong ionic interaction with the oxide film in the field oxide film area when the pad nitride film is exposed. Make the speed noticeably slower. In other words, it functions as an auto stop CMP.

'세리아 연마제-고분자'의 콤플렉스를 이루는 고분자는 카르복실기를 갖는 고분자를 사용하며, 카르복실기 이외에 음이온성 R기능기를 갖는 고분자(-NH2, -COHN2, NO2 등의 기능기를 갖는 모든 고분자 화합물)를 사용할 수도 있다. 이 때 사용되는 고분자는 그 분자량이 수십만에서 수백만 개이며, 시판 중인 모든 음이온성 고분자 화합물을 포함한다.The polymer forming the complex of 'ceria abrasive-polymer' is a polymer having a carboxyl group, and a polymer having an anionic R functional group (all high molecular compounds having functional groups such as -NH 2 , -COHN 2 , and NO 2 ) in addition to the carboxyl group Can also be used. The polymer used at this time has a molecular weight of several hundred thousand to millions, and includes all commercially available anionic polymer compounds.

예컨대, NOVEON사의 상표명이 "CARBOPOL"이며, 대표적으로는 분자량이 4백만인 "CARBOPOL940" 또는 분자량이 125만 정도인 "CARBOPOL941" 등이 있다.For example, NOVEON Co., Ltd. has a trade name of "CARBOPOL", typically "CARBOPOL940" having a molecular weight of 4 million or "CARBOPOL941" having a molecular weight of about 1.25 million.

고분자로 폴리 아크릴산과 그 유도체를 각각 단독 또는 조합한 형태를 사용할 수 있으며, 산성(Acid)이거나 염(Salt) 형태의 고분자인 것을 포함한다.As the polymer, polyacrylic acid and its derivatives may be used alone or in combination, and include polymers in the form of acid or salt.

고분자를 활성화시키기 위해서는 염기성 화합물을 이용하여 중화시키는 것이 바람직하다. 이 때, 사용되는 염기성 화합물로는 수산화 칼륨과 같은 알카리 금속의 수사화물이나, 수산화 암모늄(Ammonium hydroxide), 모노에탄올 아민(Mono Ethanol Amine), 디에탄올 아민(Di Ethanol Amine), 트리에턴올 아민(Tri Ethanol Amine) 등과 같은 유기 계열을 사용한다. In order to activate a polymer, it is preferable to neutralize using a basic compound. At this time, the basic compound used may be a hydrate of an alkali metal such as potassium hydroxide, ammonium hydroxide, monoethanol amine, diethanol amine, triethanol amine ( Organic series such as Tri Ethanol Amine).                     

사용된 고분자의 함량은 슬러리 총 중량의 0.01w% ∼ 5.0w%, 바람직하게는 0.05 ∼ 1.5w%가 되도록 조절한다. The content of the polymer used is adjusted to be 0.01w% to 5.0w%, preferably 0.05 to 1.5w% of the total weight of the slurry.

CMP 공정시 연마 압력은 1psi ∼ 10psi, 연마 테이블 속도는 10rpm ∼ 1000rpm 정도로 유지한다.In the CMP process, the polishing pressure is maintained at 1 psi to 10 psi and the polishing table speed is about 10 rpm to 1000 rpm.

실리카 연마제와 세리아 연마제의 합 농도는 전체 슬러리 농도의 1w% ∼ 50w%, 바람직하게는 5w% ∼ 20w%로 유지한다.The total concentration of the silica abrasive and the ceria abrasive is maintained at 1w% to 50w%, preferably 5w% to 20w% of the total slurry concentration.

실리카 연마제로는 10nm ∼ 5000nm, 바람직하게는 50nm ∼ 1000nm 정도 크기의 콜로이달 형태나 퓸드 형태를 사용한다.As the silica abrasive, a colloidal form or a fumed form having a size of about 10 nm to 5000 nm, preferably about 50 nm to 1000 nm is used.

한편, 실리카 연마제 대신에 알루미나(Al2O3), 지르코니아(ZrO2), MgO 2, TiO2, Fe3O4, HfO2 등을 이용할 수 있다. 이는 층간절연막(807)이 산화막 계열이므로 가능한 것이다.Instead of silica abrasives, alumina (Al 2 O 3 ), zirconia (ZrO 2 ), MgO 2 , TiO 2 , Fe 3 O 4 , HfO 2 , and the like can be used. This is possible because the interlayer insulating film 807 is an oxide film series.

단차 제거를 위한 CMP 공정시 슬러리는 폐하지수(pH)가 4 ∼ 10, 바람직하게는 5 ∼ 8이도록 하며, 혼합 비율에 따라 pH 감소제나 pH 증가제 등의 pH 저절제를 사용할 수 있다.In the CMP process for removing the step, the slurry has a wastewater (pH) of 4 to 10, preferably 5 to 8, and a pH lowering agent such as a pH reducing agent or a pH increasing agent may be used depending on the mixing ratio.

pH 조절제는 HCl과 같은 무기산이나 NaOH와 같은 무기염기를 첨가하여 사용 가능하지만, 보다 바람직하게는 반도체 소자의 금속이나 할로겐 함유(Halogen Contamination)를 최소화하기 위해 유기산이나 유기염으로 pH를 조절한다.The pH adjuster may be used by adding an inorganic acid such as HCl or an inorganic base such as NaOH, but more preferably, the pH is adjusted with an organic acid or an organic salt to minimize metal or halogen contamination of the semiconductor device.

pH 증가제로는 수산화 암모늄, 모노에탄올 아민, 디에탄올 아민, 트리에탄올 아민 등과 같은 유기기를 사용하고, pH 감소제로는 아세트산과 같은 유기산을 사용 한다.As the pH increasing agent, organic groups such as ammonium hydroxide, monoethanol amine, diethanol amine, triethanol amine, and the like are used, and as the pH reducing agent, an organic acid such as acetic acid is used.

CMP 공정시 단차 제거를 위해 하드 패드를 사용한다.Hard pads are used to eliminate steps in the CMP process.

전술한 본 발명의 경우 게이트 전극 형성 후 플러그 물질을 증착하고 CMP 공정을 통해 아이솔레이션시킴으로써, 일종의 다마신(Damascene) 공정과 유사하다.In the case of the present invention described above, it is similar to a damascene process by depositing a plug material after forming a gate electrode and isolating it through a CMP process.

후속 공정으로 셀콘택 플러그(4804c) 중 비트라인콘택이 이루어질 부분을 노출시키는 비트라인 콘택 공정을 실시한다.
In a subsequent process, a bit line contact process for exposing a portion of the cell contact plug 4480c to be made with the bit line contact is performed.

전술한 바와 같이 이루어지는 본 발명은, 게이트 전극 패터닝 후 플러그용 전도막을 먼저 증착하고 CMP 공정을 이용하여 게이트 하드마스크 까지 연마한 다음 셀콘택 플러그 이외의 영역에서의 플러그용 전도막을 선택적으로 제거함으로써 셀콘택 플러그를 형성함으로써, 다음과 같은 장점이 있음을 실시예를 통해 알아보았다According to the present invention made as described above, after the gate electrode patterning, the conductive film for the plug is first deposited, and the cell contact is removed by polishing the gate hard mask using a CMP process and then selectively removing the conductive film for the plug in a region other than the cell contact plug. By forming a plug, it was found through the examples that the following advantages.

1)플러그용 전도막 증착시 게이트 전극 상부에 있는 제1층간절연막의 두께까지 고려하지 않아도 되므로, 플러그용 전도막 증착시 증착 두께를 낮출 수 있다.1) Since the thickness of the first interlayer insulating film on the gate electrode is not taken into consideration when depositing the conductive film for the plug, the deposition thickness may be reduced when the conductive film for the plug is deposited.

2) 플러그 아이솔레이션을 위한 CMP 공정시 전도막과 게이트 하드마스크 및 제1층간절연막 간의 연마 속도의 차이로 인한 과도 또는 과소 연마와 이로 인해 야기되는 플러그 심이나, 디싱 등의 문제점을 해결할 수 있다.2) In the CMP process for plug isolation, excessive or underpolishing due to the difference in polishing rate between the conductive film, the gate hard mask, and the first interlayer insulating film, and the plug seam and dishing caused by this, can be solved.

3) 게이트 전극의 두께 증가와 스페이스 감소로 인한 종횡비 증가로 인해 발생되는 콘택홀 형성 시의 공정 부담과 SAC 페일을 방지할 수 있다.3) Process burden and SAC fail can be prevented during contact hole formation caused by the increase of the aspect ratio due to the increase of the thickness of the gate electrode and the decrease of the space.

4) 층간절연막의 증착 단계를 종래의 2단계에서 1단계로 줄일 수 있다. 4) The deposition step of the interlayer insulating film can be reduced from the conventional two steps to one step.                     

5) 층간절연막의 증착 단계를 1단꼐로 줄일 수 있어, 이로 인한 CMP 공정을 한단계 줄일 수 있다.
5) Since the deposition step of the interlayer insulating film can be reduced to one step, the CMP process can be reduced by one step.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, 셀콘택 플러그 형성시 공정 마진을 증가시키고 공정의 안정성을 확보할 수 있어, 반도체 소자의 수율을 향상시키는 효과가 있다.As described above, the present invention can increase the process margin and ensure the stability of the process when forming the cell contact plug, thereby improving the yield of the semiconductor device.

Claims (13)

기판 상에 복수의 게이트 전극을 형성하는 단계;Forming a plurality of gate electrodes on the substrate; 상기 복수의 게이트 전극의 측벽에 절연성 스페이서를 형성하는 단계;Forming insulating spacers on sidewalls of the plurality of gate electrodes; 상기 게이트 전극을 포함한 전면에 플러그용 전도막을 형성하는 단계;Forming a conductive film for a plug on the entire surface including the gate electrode; 상기 게이트 전극 상부가 노출되는 타겟으로 평탄화 공정을 실시하는 단계; 및Performing a planarization process on a target to which the gate electrode is exposed; And 상기 평탄화된 플러그용 전도막 중 셀콘택이 이루어지지 않는 플러그용 전도막을 선택적으로 제거하는 단계Selectively removing the plug conductive film that does not make cell contact among the planarized plug conductive films 를 포함하는 반도체 소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 플러그용 전도막을 선택적으로 제거하는 단계 후, After the step of selectively removing the conductive film for the plug, 전면에 층간절연막을 증착하는 단계와, 상기 층간절연막을 평탄화시키는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.And depositing an interlayer insulating film on the entire surface, and planarizing the interlayer insulating film. 제 1 항에 있어서,The method of claim 1, 상기 평탄화 공정을 실시하는 단계에서 화학기계적연마 공정을 이용하는 것 을 특징으로 하는 반도체 소자 제조 방법.And using a chemical mechanical polishing process in the step of performing the planarization process. 제 3 항에 있어서,The method of claim 3, wherein 상기 플러그용 전도막은 폴리실리콘막을 포함하고, 상기 게이트 전극은 그 최상부에 질화막으로 이루어진 게이트 하드마스크를 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.The plug conductive film includes a polysilicon film, and the gate electrode includes a gate hard mask made of a nitride film on top thereof. 제 4 항에 있어서,The method of claim 4, wherein 상기 화학기계적연마 공정시 상기 플러그용 전도막과 상기 게이트 하드마스크의 연마선택비가 10:1 내지 50:1되도록 하는 것을 특징으로 하는 반도체 소자 제조 방법.And a polishing selectivity between the plug conductive layer and the gate hard mask is 10: 1 to 50: 1 during the chemical mechanical polishing process. 제 5 항에 있어서,The method of claim 5, wherein 상기 화학기계적연마 공정시 50nm 내지 300nm 크기의 실리카 또는 알루미나 연마제를 포함하는 슬러리를 사용하는 것을 특징으로 하는 반도체 소자 제조 방법.The method of manufacturing a semiconductor device, characterized in that for the chemical mechanical polishing process using a slurry containing a silica or alumina abrasive of the size of 50nm to 300nm. 제 6 항에 있어서,The method of claim 6, 상기 슬러리의 폐하지수(pH)가 5 내지 11인 것을 특징으로 하는 반도체 소자 제조 방법.Waste water (pH) of the slurry is 5 to 11, characterized in that the semiconductor device manufacturing method. 제 7 항에 있어서,The method of claim 7, wherein 상기 슬러리는, 상기 폴리실리콘의 연마 속도를 증가시키기 위해 산화제가 더 포함된 것을 특징으로 하는 반도체 소자 제조 방법.The slurry is a semiconductor device manufacturing method characterized in that it further comprises an oxidizing agent to increase the polishing rate of the polysilicon. 제 2 항에 있어서,The method of claim 2, 상기 층간절연막은,The interlayer insulating film, 불순물이 도핑된 산화막, 과산화수소(H2O2)와 실란(SiH4)을 반응 소스로 이용하여 저압화학기상증착 방식으로 증착한 APL(Advanced Planarization Layer)막, 원자층증착 방식을 이용하여 증착한 산화막 또는 소스로 SiH4, SiHa(CH3)b(0≤a≤4, 0≤b≤4) 및 N2, N2O, NH3, O2, O3, Ar, He, NF 3 를 사용하여 고밀도 플라즈마 방식으로 증착한 산화막을 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.An APL (Advanced Planarization Layer) film deposited using a low pressure chemical vapor deposition method using an impurity doped oxide film, hydrogen peroxide (H 2 O 2 ) and silane (SiH 4 ) as a reaction source, and deposited using an atomic layer deposition method. SiH 4 , SiHa (CH 3 ) b (0 ≦ a ≦ 4, 0 ≦ b ≦ 4) and N 2 , N 2 O, NH 3 , O 2 , O 3 , Ar, He, NF 3 as oxides or sources A semiconductor device manufacturing method comprising an oxide film deposited using a high density plasma method. 제 9 항에 있어서,The method of claim 9, 상기 층간절연막으로 고밀도 플라즈마 방식으로 증착한 산화막을 사용하는 경우, 막 치밀화를 위해 상기 층간절연막 증착 후 H2, O2, N2, O3 , N2O 또는 H2/O2 를 포함하는 혼합 가스 분위기에서 열처리하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.In the case of using an oxide film deposited by a high density plasma method as the interlayer insulating film, a mixture including H 2 , O 2 , N 2 , O 3 , N 2 O or H 2 / O 2 after deposition of the interlayer insulating film for film densification The method of manufacturing a semiconductor device, further comprising the step of heat treatment in a gas atmosphere. 제 9 항에 있어서,The method of claim 9, 상기 층가절연막을 평탄화시키는 단계에서 화학기계적연마 공정을 이용하며, 실리카 연마제와 세리아 연마제가 1:9 내지 9:1의 비율로 혼합된 슬러리를 사용하는 것을 특징으로 하는 반도체 소자 제조 방법.Using a chemical mechanical polishing process in the step of planarizing the layered insulating film, and using a slurry in which silica abrasive and ceria abrasive are mixed in a ratio of 1: 9 to 9: 1. 제 11 항에 있어서,The method of claim 11, 상기 실리카 연마제와 세리아 연마제는 슬러리 총 중량의 5w% 내지 20w%인 것을 특징으로 하는 반도체 소자 제조 방법.The silica abrasive and the ceria abrasive is a semiconductor device manufacturing method, characterized in that 5w% to 20w% of the total weight of the slurry. 제 12 항에 있어서,The method of claim 12, 상기 화학기계적연마 공정시, In the chemical mechanical polishing process, 연마 압력을 1psi 내지 10psi로 유지하고, 연마 테이블 속도를 10rpm 내지 100rpm으로 유지하는 것을 특징으로 하는 반도체 소자 제조 방법.The polishing pressure is maintained at 1 psi to 10 psi, and the polishing table speed is maintained at 10 rpm to 100 rpm.
KR1020040087683A 2004-10-30 2004-10-30 Method for fabrication of cell contact plug in semiconductor device KR20060038592A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040087683A KR20060038592A (en) 2004-10-30 2004-10-30 Method for fabrication of cell contact plug in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040087683A KR20060038592A (en) 2004-10-30 2004-10-30 Method for fabrication of cell contact plug in semiconductor device

Publications (1)

Publication Number Publication Date
KR20060038592A true KR20060038592A (en) 2006-05-04

Family

ID=37146071

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040087683A KR20060038592A (en) 2004-10-30 2004-10-30 Method for fabrication of cell contact plug in semiconductor device

Country Status (1)

Country Link
KR (1) KR20060038592A (en)

Similar Documents

Publication Publication Date Title
JP5137415B2 (en) Recess channel forming method of semiconductor device
JP4711658B2 (en) Manufacturing method of semiconductor device having fine pattern
KR100570060B1 (en) Method for forming landing plug contact in semiconductor device
KR100632653B1 (en) Method for forming bitline in semiconductor device
US7037821B2 (en) Method for forming contact of semiconductor device
KR20060038592A (en) Method for fabrication of cell contact plug in semiconductor device
KR20060000902A (en) Forming method of contact hole in semiconductor device
KR100783639B1 (en) Method of manufacturing semiconductor device
KR100456318B1 (en) Method for forming plug of semiconductor device
KR100553517B1 (en) Method for forming contact plug of semiconductor device
KR100582370B1 (en) Method for fabricating gate electrode using damascene process
KR101034598B1 (en) Method for forming landing plug contact in semiconductor device
KR100492783B1 (en) Method for Forming Polysilicon Plug of Semiconductor Device
US20230215926A1 (en) Method of manufacturing semiconductor device using single slurry chemical mechanical polishing (cmp) process
KR100623611B1 (en) Method for chemical mechanical polishing of semiconductor device
KR100620064B1 (en) Method for forming storagenode contact in semiconductor device
KR101024252B1 (en) Method for fabrication of semiconductor device
JP2002043438A (en) Trench structure and method for forming semiconductor structure including trench
KR100607306B1 (en) Method of forming a contact plug in semiconductor device
KR100620063B1 (en) Method for manufacturing semiconductor device
KR101073130B1 (en) Method for forming self align contact of semiconductor device
KR101046717B1 (en) Method for forming self-aligned contact of semiconductor device
KR100870303B1 (en) Method of manufacturing a flash memory device
KR20060077353A (en) Slurry composition, method for polishing an object and method for forming a contact of a semiconductor device using the slurry composition
KR100517912B1 (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid