KR20050092130A - Plating device and plating method - Google Patents

Plating device and plating method Download PDF

Info

Publication number
KR20050092130A
KR20050092130A KR1020057013531A KR20057013531A KR20050092130A KR 20050092130 A KR20050092130 A KR 20050092130A KR 1020057013531 A KR1020057013531 A KR 1020057013531A KR 20057013531 A KR20057013531 A KR 20057013531A KR 20050092130 A KR20050092130 A KR 20050092130A
Authority
KR
South Korea
Prior art keywords
plating
substrate
anode
seed layer
porous
Prior art date
Application number
KR1020057013531A
Other languages
Korean (ko)
Inventor
게이이치 구라시나
게이스케 나미키
츠토무 나카다
고지 미시마
Original Assignee
가부시키가이샤 에바라 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003015236A external-priority patent/JP4312465B2/en
Priority claimed from JP2003149827A external-priority patent/JP4423354B2/en
Priority claimed from JP2003161236A external-priority patent/JP4361760B2/en
Priority claimed from JP2003161237A external-priority patent/JP4423355B2/en
Application filed by 가부시키가이샤 에바라 세이사꾸쇼 filed Critical 가부시키가이샤 에바라 세이사꾸쇼
Publication of KR20050092130A publication Critical patent/KR20050092130A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • C25D5/06Brush or pad plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • C25D17/14Electrodes, e.g. composition, counter electrode for pad-plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/20Electroplating using ultrasonics, vibrations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Abstract

A plating device capable of selectively depositing metal plating films such as copper layers in fine recessed parts for wiring formed of circuit-shaped trenches and via holes, comprising an electrode head (701) having an anode (704), a plating liquid impregnated material (703) holding plating liquid, and a porous contact body (702) in contact with the surface of a substrate, a cathode electrode (712) in contact with the substrate for energization, a pressing mechanism (709) controllably pressing the porous contact body of the electrode head against the surface of the substrate, a power supply (723) applying a plating voltage between the anode and the cathode electrode, and a control part (721) controlling the pressed state of the porous contact body of the electrode head against the surface of the substrate and the state of the plating voltage applied between the anode and the cathode electrode in association with each other.

Description

도금장치 및 도금방법{PLATING DEVICE AND PLATING METHOD}Plating Apparatus and Plating Method {PLATING DEVICE AND PLATING METHOD}

본 발명은, 도금장치 및 도금방법에 관한 것으로, 특히 반도체 기판 등의 기판에 형성된 미세 배선패턴에 구리 등의 금속(배선재료)을 매립하여 배선을 형성하는 데 사용되는 도금장치 및 도금방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plating apparatus and a plating method, and more particularly, to a plating apparatus and a plating method used to form a wiring by embedding a metal (wiring material) such as copper in a fine wiring pattern formed on a substrate such as a semiconductor substrate. will be.

최근, 반도체 기판상에 회로형상의 트렌치나 비어홀 등의 배선용 미세 오목부를 형성하고, 구리도금에 의하여 이들 미세 오목부를 구리(배선재료)로 메우고, 나머지 부분의 구리층(도금막)을 CMP 등의 수단에 의하여 제거하여 회로를 형성하는 것이 행하여지고 있다. 이 기술에 있어서는, 회로형상의 트렌치 또는 비어홀 속에 선택적으로 구리 도금막이 석출되고, 그것 이외의 부분에서는 구리 도금막의 석출이 적은 쪽이 나중의 CMP의 부하를 줄이는 데에 있어서 바람직하다. 종래, 이와 같은 목적을 달성하기 위하여 도금액의 욕조성이나, 사용하는 광택제 등 도금액에서의 연구가 행하여지고 있다.In recent years, fine concave portions for wiring such as trenches and via holes, etc., are formed on a semiconductor substrate, and these fine concave portions are filled with copper (wiring material) by copper plating, and the remaining copper layer (plated film) is made of CMP or the like. Formation of a circuit by removal by means is performed. In this technique, a copper plated film is selectively precipitated in a circuit-shaped trench or via hole, and in other portions, the copper plated film is less precipitated, which is preferable in reducing the load of the later CMP. Conventionally, in order to achieve such an objective, the research in plating liquids, such as the bath property of a plating liquid and the polish agent to be used, has been performed.

한편, 회로형상의 트렌치 등의 속에 선택적으로 구리 도금막을 석출시키기 위한 기술로서는, 다공질체를 반도체 웨이퍼 등의 기판에 접촉시키고, 또 접촉방향으로 상대적으로 움직이면서 도금을 행한다는 방법이 알려져 있다(예를 들면, 일본국 특개2000-232078 등 참조). 이 기술에서 사용하는 다공질체로서는, PVA, 다공질 테프론 (등록상표), 폴리프로필렌 등을 섬유형상으로 짜거나, 거름종이형상으로 가공하거나 한 것, 또는 겔화 실리콘 산화물이나 한천질 등의 부정형물 등이 일반적으로 사용된다.On the other hand, as a technique for selectively depositing a copper plated film in a circuit-like trench or the like, a method is known in which a porous body is brought into contact with a substrate such as a semiconductor wafer and the plating is performed while moving relatively in the contact direction (for example, For example, see Japanese Patent Application Laid-Open No. 2000-232078. Examples of the porous body used in this technique include squeezing PVA, porous Teflon (registered trademark), polypropylene, and the like into fibrous forms, or processing into manure paper, or amorphous materials such as gelled silicon oxide and agar. Commonly used.

그러나 트렌치 등의 패턴부의 내부에 구리 등의 배선재료를 완전하게 매립하여 구리 배선을 형성하기 위해서는 패턴부 이외에도 상당한 두께의 구리층을 형성하여, 패턴부 이외에 성막된 잉여의 구리층을 CMP법에 의하여 제거할 필요가 있다. 이 때문에 제거해야 할 구리의 양이 많은 경우에는, CMP시간이 길어져 비용상승으로 연결될 뿐만 아니라, CMP 후의 기판의 연마면에 면내 불균일성이 있으면, 연마후에 잔존하는 배선의 깊이가 기판면 내에서 다르고, 그 결과, 연마시간이 길어지면 길어질 수록 배선성능의 CMP의 성능에 대한 의존도가 커져 버린다.However, in order to form a copper wiring by completely embedding wiring material such as copper inside the pattern portion such as the trench, a copper layer having a considerable thickness is formed in addition to the pattern portion, and the excess copper layer formed in addition to the pattern portion is formed by the CMP method. Need to be removed. For this reason, when the amount of copper to be removed is large, the CMP time is long, which leads to an increase in cost, and when the polishing surface of the substrate after CMP has in-plane nonuniformity, the depth of wiring remaining after polishing differs in the substrate surface. As a result, the longer the polishing time, the greater the dependence on the CMP performance of wiring performance.

이와 같은 문제를 해결하기 위하여 도금액의 욕조성이나, 사용하는 광택제 등 도금액에서의 연구가 행하여지고 있고, 이들에 의하여 어느 정도는 목적이 달성되나, 일정한 한계가 있었다.In order to solve such a problem, studies have been conducted in plating liquids such as bath property of a plating liquid and a gloss agent to be used, and although these have been achieved to some extent, there have been certain limitations.

한편, 다공질체를 기판에 접촉시키고, 또 접촉방향으로 상대적으로 움직이면서 도금을 행한다는 방법에 있어서는, 이 다공질체의 표면 거칠기는 일반적으로 수미크론 내지 수백 미크론이며, 이와 같은 표면 거칠기를 가지는 다공질체는, 표면 거칠기가 서브 미크론 내지 수 미크론인 반도체 기판상의 요철면을 평탄화하기 위해서는 문제가 있는 것이었다.On the other hand, in the method of bringing a porous body into contact with a substrate and plating while moving relatively in the contact direction, the surface roughness of the porous body is generally several microns to several hundred microns, and the porous body having such a surface roughness is In order to planarize the uneven surface on the semiconductor substrate whose surface roughness is submicron to several microns, there was a problem.

또, 이 기술에서는 다공질체를 접촉시키면서 접촉면에 대하여 수평방향으로 상대적으로 이동(마찰)시킴으로써, 도금액의 공급량을 요철부에서 바꾸어 평탄성의 향상을 시도하고 있다. 그러나 상기한 바와 같은 표면 거칠기에 의하여 생각하는 바와 같은 효과가 얻어지기 어렵다는 문제가 있었다. 또한 다공질체 표면의 표면 거칠기나 다공질체를 기판의 피도금면을 향하여 가압하였을 때에 상기 다공질체에 발생하는 굴곡이나 휘어짐 등에 의하여 다공질체의 전면을 기판의 피도금면에 균일하게 가압하여 밀착시키는 것이 곤란하고, 이 때문에 도 50에 나타내는 바와 같이, 가공질체(A)와 기판(W)의 피도금면(P)과의 사이에 국소적으로 간극(S)이 생겨 해당 간극(S)에 도금액(Q)이 존재하고, 이 간극(S)에 존재하는 도금액(Q)에 포함되는 Cu2+ 등의 이온이 도금에 기여하여 도금의 면내 불균일로 연결되어 버린다는 문제가 있었다.Moreover, this technique attempts to improve the flatness by changing the supply amount of the plating liquid in the uneven portion by relatively moving (friction) in the horizontal direction with respect to the contact surface while contacting the porous body. However, there was a problem that the effect as conceived by the surface roughness as described above was difficult to be obtained. In addition, when the surface roughness of the surface of the porous body or the porous body is pressed toward the surface to be plated of the substrate, the entire surface of the porous body is uniformly pressed against the surface to be plated of the substrate by bending or bending occurring in the porous body. As a result, as shown in FIG. 50, a gap S is locally formed between the workpiece A and the surface to be plated P of the substrate W, and a plating solution (P) is formed in the gap S. Q) exists, and there existed a problem that ions, such as Cu2 + contained in the plating liquid Q which exist in this gap S, contribute to plating and are connected by in-plane nonuniformity of plating.

또한 다공질체를 접촉시키기 위한 하중을 크게 하여 다공질체의 공간부를 눌러 찌그러뜨림으로써 평탄성은 향상된다고 생각할 수 있으나, 그 경우에는 기판에 매우 큰 하중을 인가할 필요가 있어, 이 때문에 low-k 재 등의 유연한 절연막을 대상으로 한 경우에는 절연막이 파괴되고, 또 도금막 표면에도 상처가 생기기 쉬워지는 등 실현화가 곤란하였다.In addition, the flatness can be considered to be improved by increasing the load for contacting the porous body by pressing and crushing the space part of the porous body. In this case, however, a very large load must be applied to the substrate. In the case of using a flexible insulating film as an object, the insulating film was destroyed and the surface of the plated film was easily damaged.

이와 같은 미세하고 높은 종횡비의 배선을 형성하는 도금에 사용되는 도금장치로서는, 표면(피도금면)을 상향(페이스업)으로 하여 기판을 유지하고, 이 기판의 둘레 가장자리부에 캐소드전극을 접촉시켜 기판 표면을 캐소드로 함과 동시에, 기판의 위쪽에 애노드를 배치하고, 기판과 애노드와의 사이를 도금액으로 채우면서, 기판(캐소드)과 애노드와의 사이에 도금전압을 인가하여, 기판의 표면(피도금면)에 도금을 행하도록 한 것이 알려져 있다(예를 들면, 일본국 특표2002-506489호 참조).As a plating apparatus used for plating to form such fine and high aspect ratio wiring, the substrate is held with the surface (plated surface) upward (face up), and the cathode electrode is brought into contact with the peripheral edge of the substrate. While the substrate surface is a cathode, an anode is disposed on the substrate, and a plating voltage is applied between the substrate (cathode) and the anode while the anode is filled with a plating solution between the substrate and the anode, so that the surface of the substrate ( It is known to perform plating on the surface to be plated (for example, see Japanese Patent Application Laid-Open No. 2002-506489).

이와 같은 표면을 상향으로 하여 기판을 유지하여 매엽식으로 도금을 행하는 도금장치에 있어서는 기판의 전면에 걸쳐 도금 전류의 분포를 더욱 균일하게 하여, 도금막의 면내 균일성을 더욱 향상시킴과 동시에, 기판은 일반적으로 표면을 상향으로 하여 반송되어 각종 처리가 실시되기 때문에, 도금시에 기판을 뒤집을 필요를 없앨 수 있다.In a plating apparatus in which such a surface is held upward and the substrate is plated and plated in a single-leaf type, the distribution of the plating current is more evenly distributed over the entire surface of the substrate, thereby further improving the in-plane uniformity of the plated film. Generally, since the surface is conveyed upward and various processes are performed, the need of overturning a board | substrate at the time of plating can be eliminated.

그러나, 표면을 상향(페이스업)으로 하여 기판을 유지하여 도금을 행하는 종래의 도금장치에 있어서는, 기판(캐소드)과 애노드와의 사이에 항상 신선한 도금액을 공급하여 도금을 행하기 위해서는 기판과 애노드와의 사이에, 다량의 도금액을 공급하여 도금을 행할 필요가 있어, 도금액이 쓸데 없이 소비된다는 문제가 있었다.However, in the conventional plating apparatus which holds the substrate and faces the surface up (face-up), in order to perform plating by always supplying a fresh plating solution between the substrate (cathode) and the anode, the plating process is performed with the substrate and the anode. In the meantime, it is necessary to supply a large amount of plating liquid and to perform plating, and there existed a problem that a plating liquid was wastelessly consumed.

이 때문에, 도금에 사용되는 신선한 도금액을 애노드를 침지하고 있어 실제로도금에는 사용되지 않는 도금액과는 별도로, 기판에 의하여 근접한 위치로부터 기판에 공급함으로써, 소량의 신선한 도금액을 공급하고, 또한 이 공급된 신선한 도금액이 도금에 사용되게 하는 것이 행하여지고 있다. 그러나 이와 같이 신선한 도금액을 기판에 의하여 근접한 위치로부터 기판에 공급하여도, 실제로는 애노드를 침지하여 열화된 오래 사용하여 낡은 도금액이 유입하여 신선한 도금액에 혼입되고, 그 결과, 도금 특성을 유지 관리할 수 없게 된다는 문제가 있었다.For this reason, a small amount of fresh plating liquid is supplied by supplying a fresh plating liquid used for plating to the substrate from a position adjacent to the substrate separately from the plating liquid which is immersed in the anode and not actually used for plating. It is performed to make a plating liquid use for plating. However, even when the fresh plating liquid is supplied to the substrate from the position adjacent by the substrate, the old plating liquid is introduced into the fresh plating liquid by immersing the anode and deteriorated for a long time, and as a result, the plating characteristics can be maintained. There was a problem that there would be no.

도 1은 반도체장치에 있어서의 배선 형성예를 공정순으로 나타내는 도,1 is a diagram showing an example of wiring formation in a semiconductor device in the order of steps;

도 2는 본 발명의 실시형태의 도금장치를 구비한 기판처리장치의 평면도,2 is a plan view of a substrate processing apparatus having a plating apparatus of an embodiment of the present invention;

도 3은 도 2에 나타내는 도금장치의 주요부를 나타내는 개요도,3 is a schematic view showing a main part of the plating apparatus shown in FIG. 2;

도 4는 도 3에 나타내는 도금장치에 있어서의 전극 헤드의 동작의 설명에 붙이는 타임 챠트,4 is a time chart attached to the description of the operation of the electrode head in the plating apparatus shown in FIG. 3;

도 5는 도금액 관리 공급시스템의 일례를 나타내는 계통도,5 is a system diagram showing an example of a plating liquid management supply system;

도 6은 도 2에 나타내는 세정·건조장치의 일례를 나타내는 종단 정면도,6 is a vertical front view showing an example of the washing and drying apparatus shown in FIG. 2;

도 7은 도 2에 나타내는 세정·건조장치의 일례를 나타내는 평면도,7 is a plan view showing an example of the washing and drying apparatus shown in FIG. 2;

도 8은 도 2에 나타내다 베벨 에칭·이면 세정장치의 일례를 나타내는 개략도,FIG. 8 is a schematic view showing an example of a bevel etching and back surface washing apparatus shown in FIG. 2;

도 9는 도 2에 나타내는 열처리장치의 일례를 나타내는 종단 정면도,9 is a vertical front view showing an example of the heat treatment apparatus shown in FIG. 2;

도 10은 도 2에 나타내는 열처리치의 일례를 나타내는 평단면도,10 is a plan sectional view showing an example of a heat treatment value shown in FIG. 2;

도 11은 도 2에 나타내는 전처리장치의 기판 주고 받음시에 있어서의 정면도,11 is a front view at the time of transferring a substrate of the pretreatment apparatus shown in FIG. 2;

도 12는 도 2에 나타내는 전처리장치의 약액처리시에 있어서의 정면도,12 is a front view at the time of chemical liquid treatment of the pretreatment apparatus shown in FIG. 2;

도 13은 도 2에 나타내는 전처리장치의 린스시에 있어서의 정면도,13 is a front view at the time of rinsing of the pretreatment apparatus shown in FIG.

도 14는 도 2에 나타내는 기판 주고 받음시에 있어서의 처리 헤드를 나타내는 단면도,14 is a cross-sectional view showing a processing head at the time of exchanging a substrate shown in FIG. 2;

도 15는 도 14의 A 부 확대도,15 is an enlarged view of a portion A of FIG. 14;

도 16은 기판 고정시에 있어서의 도 15의 상당도,Fig. 16 is an equivalent of Fig. 15 at the time of fixing the substrate,

도 17은 도 2에 나타내는 전처리장치의 계통도,17 is a system diagram of the pretreatment apparatus shown in FIG. 2;

도 18은 도 2에 나타내는 무전해 도금장치의 기판 주고 받음시에 있어서의 기판 헤드를 나타내는 단면도,18 is a cross-sectional view showing a substrate head when the substrate is exchanged with the electroless plating apparatus shown in FIG. 2;

도 19는 도 18의 B 부 확대도,19 is an enlarged view of a portion B of FIG. 18;

도 20은 기판 고정시에 있어서의 기판 헤드를 나타내는 도 19의 상당도,FIG. 20 is an equivalent view of FIG. 19 showing the substrate head at the time of fixing the substrate. FIG.

도 21은 도 2에 나타내는 무전해 도금장치의 도금 처리시에 있어서의 기판 헤드를 나타내는 도 19의 상당도,FIG. 21 is an equivalent view of FIG. 19 showing a substrate head at the time of plating treatment of the electroless plating apparatus shown in FIG.

도 22는 도 2에 나타내는 무전해 도금장치의 도금탱크 커버를 닫았을 때의 도금탱크를 나타내는 일부 절단의 정면도,FIG. 22 is a front view of a partial cutaway showing the plating tank when the plating tank cover of the electroless plating apparatus shown in FIG. 2 is closed;

도 23은 도 2에 나타내는 무전해 도금장치의 세정탱크를 나타내는 단면도,FIG. 23 is a sectional view showing a cleaning tank of the electroless plating apparatus shown in FIG. 2;

도 24는 도 2에 나타내는 무전해 도금장치의 세정탱크를 나타내는 계통도,24 is a system diagram showing a cleaning tank of the electroless plating apparatus shown in FIG. 2;

도 25는 도 2에 나타내는 연마장치의 일례를 나타내는 개요도,25 is a schematic diagram showing an example of the polishing apparatus shown in FIG. 2;

도 26은 도 2에 나타내는 막두께 측정기에 있어서의 반전기 부근의 개략 정면도,FIG. 26 is a schematic front view of the vicinity of an inverter in the film thickness meter shown in FIG. 2;

도 27은 도 2에 나타내는 막두께 측정기에 있어서의 반전 암부분의 평면도,27 is a plan view of an inverted arm part in the film thickness meter shown in FIG. 2;

도 28은 도 2에 나타내는 기판처리장치에 있어서의 처리 플로우도,28 is a flowchart of a process in the substrate processing apparatus shown in FIG. 2;

도 29는 본 발명의 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,29 is a schematic diagram showing a main part of a plating apparatus according to another embodiment of the present invention;

도 30은 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,30 is a schematic diagram showing a main part of a plating apparatus according to still another embodiment of the present invention;

도 31은 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,Fig. 31 is a schematic diagram showing a main part of a plating apparatus according to still another embodiment of the present invention;

도 32는 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,32 is a schematic diagram showing a main part of a plating apparatus according to still another embodiment of the present invention;

도 33은 본 발명의 또 다른 실시형태에 있어서의 도금장치의 전극 헤드부를 나타내는 개요도,33 is a schematic diagram showing an electrode head portion of a plating apparatus according to still another embodiment of the present invention;

도 34는 도 33에 나타내는 전극헤드부를 구비한 도금장치를 나타내는 개요도,FIG. 34 is a schematic view showing a plating apparatus including the electrode head shown in FIG. 33;

도 35는 실시예에 사용한 시험 샘플을 모식적으로 나타내는 도,35 is a diagram schematically illustrating a test sample used in Examples.

도 36은 실시예에 있어서의 전압의 인가, 기판과 다공질 접촉체의 접촉과 비접촉 및 압력의 부가상황을 나타내는 그래프,36 is a graph showing the application of voltage, the contact between the substrate and the porous contact, the non-contact, and the addition of pressure in the examples;

도 37은 실시예에 의하여 얻어진 구리층을 모식적으로 나타내는 도,37 is a diagram schematically illustrating a copper layer obtained in an example;

도 38은 본 발명에 있어서의 도금의 석출상황을 나타내는 그래프,38 is a graph showing the precipitation state of plating in the present invention;

도 39는 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,Fig. 39 is a schematic diagram showing a main part of a plating apparatus according to still another embodiment of the present invention;

도 40은 도 39에 나타내는 도금장치로 다공질체와 기판의 피도금면과의 사이에 생기는 간극에 존재하는 도금액을 배제할 때의 설명에 붙이는 도,FIG. 40 is a diagram for attaching the plating apparatus shown in FIG. 39 to remove the plating solution existing in the gap between the porous body and the plated surface of the substrate.

도 41은 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,Fig. 41 is a schematic diagram showing a main part of a plating apparatus according to still another embodiment of the present invention;

도 42는 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,42 is a schematic diagram showing a main part of a plating apparatus according to still another embodiment of the present invention;

도 43은 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타내는 개요도,Fig. 43 is a schematic diagram showing a main part of a plating apparatus according to still another embodiment of the present invention;

도 44는 도 43에 나타내는 도금장치로 다공질체와 기판의 피도금면과의 사이에 생기는 간극에 존재하는 도금액을 배제할 때의 설명에 붙이는 도,FIG. 44 is a diagram for attaching the plating apparatus shown in FIG. 43 to remove a plating solution existing in a gap formed between a porous body and a surface to be plated of a substrate;

도 45는 본 발명의 또 다른 실시형태에 있어서의 도금장치의 평면도,45 is a plan view of a plating apparatus according to still another embodiment of the present invention;

도 46은 도 45에 나타내는 도금장치로 도금을 행하고 있을 때의 상태를 나타내는 개략 단면도,46 is a schematic cross-sectional view showing a state when plating is performed with the plating apparatus shown in FIG. 45;

도 47은 도 45에 나타내는 도금장치에 있어서의 도금액공급부와 도금액배출부를 나타내는 상하이동 하우징의 단면도,FIG. 47 is a sectional view of a shanghai-dong housing showing a plating liquid supply part and a plating liquid discharge part in the plating apparatus shown in FIG. 45;

도 48은 도 45에 나타내는 도금장치로 신선한 도금액을 전극 헤드의 애노드실에 공급하고 있는 상태를 나타내는 개략 단면도,48 is a schematic cross-sectional view showing a state in which a fresh plating solution is supplied to an anode chamber of an electrode head with the plating apparatus shown in FIG. 45;

도 49는 도 45에 나타내는 도금장치로 신선한 도금액을 전극 헤드의 애노드실에 공급하고 있는 상태의 다른 예를 나타내는 개략 단면도,49 is a schematic cross-sectional view showing another example of a state in which a fresh plating solution is supplied to an anode chamber of an electrode head with the plating apparatus shown in FIG. 45;

도 50은 종래예에 있어서의 다공질체와 기판의 피도금면과의 사이에 생기는 간극에 도금액이 존재하는 상태의 설명에 붙이는 도면이다. It is a figure attached to description of the state in which a plating liquid exists in the clearance gap between the porous body and the to-be-plated surface of a board | substrate in a prior art example.

본 발명은 상기 사정을 감안하여 이루어진 것으로, 회로형상의 트렌치나 비어홀 등으로 이루어지는 배선용 미세 오목부의 내부에, 구리층 등의 금속 도금막을 선택적으로 석출시킬 수 있게 한 도금장치 및 도금방법을 제공하는 것을 제 1의 목적으로 한다.SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and provides a plating apparatus and a plating method in which a metal plating film such as a copper layer can be selectively precipitated inside a fine concave portion for wiring made of a circuit-shaped trench or via hole. It is a primary purpose.

본 발명은, 하중을 크게 하는 일 없이, 다공질체의 전면을 기판의 피도금면에 균일하게 밀착시킨 상태에서 도금을 행할 수 있게 한 도금장치 및 도금방법을 제공하는 것을 제 2의 목적으로 한다.It is a second object of the present invention to provide a plating apparatus and a plating method which allow plating to be performed while the entire surface of the porous body is brought into uniform contact with the surface to be plated of the substrate without increasing the load.

본 발명은, 페이스업방식을 채용한 도금장치이더라도 더욱 소량의 도금액의 공급에 의하여 항상 신선한 도금액을 사용한 도금을 행할 수 있게 한 도금장치를 제공하는 것을 제 3의 목적으로 한다.A third object of the present invention is to provide a plating apparatus in which a plating apparatus employing a face-up method can always be plated using a fresh plating liquid by supplying a smaller amount of plating liquid.

본 발명의 도금장치는, 애노드, 도금액을 유지하는 도금액 함침재 및 기판 표면과 접촉하는 다공질 접촉체를 구비한 전극 헤드와, 기판에 접촉하여 통전시키는 캐소드전극과, 상기 전극 헤드의 다공질 접촉체를 기판 표면에 가감 자유롭게 가압하는 가압기구와, 상기 애노드와 상기 캐소드전극과의 사이에 도금전압을 인가하는 전원과, 상기 전극 헤드의 다공질 접촉체의 기판 표면에 대한 가압상태와, 상기 애노드와 상기 캐소드전극과의 사이에 인가되는 도금전압의 상태를 서로 관련시켜 제어하는 제어부를 가진다.The plating apparatus of the present invention comprises an electrode, an electrode head having an anode, a plating liquid impregnation material for holding a plating liquid, and a porous contact body in contact with the substrate surface, a cathode electrode for contacting and energizing the substrate, and a porous contact body of the electrode head. A pressurizing mechanism for freely pressing the substrate surface, a power source for applying a plating voltage between the anode and the cathode electrode, a pressurized state of the porous contact of the electrode head against the substrate surface, the anode and the cathode And a control unit for controlling the state of the plating voltage applied between the electrodes in association with each other.

본 발명자들은, 기판상의 트렌치나 비어홀에 대하여, 우선적으로 도금액을 공급하여 우선적으로 금속을 석출시키는 방법에 대하여 예의검토를 행하였다. 그 결과, 평탄성이 높고, 도금액을 통과시킬 정도의 미세 관통구멍을 가지는 다공질 접촉체를, 시드층을 형성한 기판에 접촉시키고, 또한 도금을 위한 전압의 인가를, 다공질 접촉체와 기판의 시드층과의 사이의 접촉상태의 변화와 관련시켜 단속시킴으로써, 트렌치나 비어홀 내에 우선적으로 금속석출이 일어나는 것을 발견하였다.MEANS TO SOLVE THE PROBLEM The present inventors earnestly examined the method of preferentially supplying a plating liquid and depositing a metal preferentially with respect to the trench and via hole on a board | substrate. As a result, the porous contact body having high flatness and having fine through-holes enough to pass the plating liquid is brought into contact with the substrate on which the seed layer is formed, and the application of voltage for plating is carried out. By interfering with the change in the contact state between and, it has been found that metal precipitation occurs preferentially in trenches or via holes.

본 발명은, 전극 헤드의 다공질 접촉체와 기판 표면에 설치된 시드층의 볼록부를 접촉시킨 상태에서 도금하는 것을 기본으로 한다. 이와 같이 다공질 접촉체와 시드층의 볼록부를 접촉시켜 도금을 행함으로써, 도금액 중에 포함되어 있는 도금 억제효과가 있는 첨가제 성분(계면활성체 등)이 다공질 접촉체와 접촉한 시드층의 볼록부에 특이적으로 흡착하여 도금석출이 억제되고, 다공질 접촉체와 접촉하지 않은 시드층의 오목부에서는 도금석출이 행하여진다.The present invention is based on plating with the porous contact of the electrode head and the convex portions of the seed layer provided on the substrate surface in contact. Thus, plating is performed by contacting the porous contact body with the convex portion of the seed layer, whereby an additive component (surfactant or the like) having a plating inhibitory effect contained in the plating solution is specific to the convex portion of the seed layer in contact with the porous contact material. The plating deposition is suppressed by adsorption, and plating precipitation is performed in the recessed portion of the seed layer which is not in contact with the porous contact body.

이 현상은, 다공질 접촉체와 기판의 시드층이 접촉하고 있을 때에 이들의 계면이 정지되어 있는 경우에 안정되게 생기고, 또 다공질 접촉체의 평탄성이 높을 수록 안정성도 높다. 또 다공질 접촉체 자체도, 소수성 재료인 경우일 수록 시드층에대한 첨가제 성분의 흡착이 많아진다.This phenomenon occurs stably when the interface of the porous contact body and the seed layer of the substrate are in contact with each other, and the higher the flatness of the porous contact body, the higher the stability. In the case of the hydrophobic material, the porous contact itself also increases the adsorption of the additive component to the seed layer.

그리고 애노드와 캐소드전극과의 사이에 인가되는 도금전압의 상태의 변화와, 다공질 접촉체의 기판 표면에 대한 가압상태의 변화를 서로 관련시켜, 짧은 시간으로의 도금 및 새로운 도금액의 공급이 반복되게 함으로써, 상기한 시드층의 볼록부에서의 도금석출의 억제와, 시드층의 오목부에서의 도금석출의 현상이 유지되기 때문에, 시드층의 오목부가 우선적으로 도금된다는 이상적인 도금 거동이 얻어진다.The change in the state of the plating voltage applied between the anode and the cathode electrode and the change in the pressurization state of the porous contact body to the substrate surface are correlated with each other so that the plating and the supply of a new plating solution in a short time are repeated. Since the above-mentioned suppression of plating precipitation in the convex portions of the seed layer and the phenomenon of plating precipitation in the concave portions of the seed layer are maintained, an ideal plating behavior is obtained in which the concave portions of the seed layer are preferentially plated.

상기 다공질 접촉체는, 예를 들면 폴리에틸렌, 폴리프로필렌, 폴리아미드, 폴리카보네이트, 폴리이미드, 탄화규소 또는 알루미나로 형성된다.The porous contact is formed of, for example, polyethylene, polypropylene, polyamide, polycarbonate, polyimide, silicon carbide or alumina.

상기 도금액 함침재는, 예를 들면 세라믹스 또는 다공질 플라스틱으로 형성된다.The plating liquid impregnation material is formed of, for example, ceramics or porous plastic.

상기 다공질 접촉체의 적어도 기판 표면에 접촉하는 면은, 절연물 또는 절연성이 높은 물질로 형성되어 있는 것이 바람직하다.At least the surface of the porous contact that is in contact with the substrate surface is preferably formed of an insulator or a highly insulating material.

상기 제어부는, 상기 다공질 접촉체 및 기판의 적어도 한쪽을 자전 또는 공전시키도록 제어하는 것이 바람직하다.It is preferable that the said control part controls so that at least one of the said porous contact body and a board | substrate may rotate or revolve.

본 발명의 다른 도금장치는, 기판을 유지하는 기판 스테이지와, 상기 기판 스테이지로 유지한 기판의 피도금면의 둘레 가장자리부에 맞닿음아 상기 둘레 가장자리부를 수밀적으로 시일하는 시일재와, 상기 기판과 접촉하여 통전시키는 캐소드전극을 구비한 캐소드부와, 상기 캐소드부의 윗쪽에 상하이동 자유롭게 배치되어 애노드와 보수성을 가지는 가지는 다공질체를 상하에 구비한 전극 헤드와, 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 도금액을 주입하는 도금액 주입부와, 상기 다공질체를 상기 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압하여 상기 피도금면으로부터 이간시키는 가압 이간기구와, 상기 캐소드전극과 상기 애노드와의 사이에 도금전압을 인가하는 전원을 가진다.Another plating apparatus of the present invention includes a substrate stage for holding a substrate, a sealing material for sealing the peripheral edge portion in watertight contact with a peripheral edge portion of a plated surface of the substrate held by the substrate stage, and the substrate. And a cathode part having a cathode electrode for contacting and energizing the electrode, an electrode head having a porous body having a cathode and a water retention structure disposed freely above the cathode part and having an anode and water retention, and the anode and the substrate stage. A pressurizing separation mechanism for pressing a plating liquid injection portion for injecting a plating liquid between the surface to be plated of the substrate and the plated surface of the substrate held by the substrate stage at a predetermined pressure and separating the plating liquid from the surface to be plated. And a power source for applying a plating voltage between the cathode electrode and the anode.

본 발명에 의하면, 다공질체를 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압함으로써, 다공질체와 기판의 피도금면의 트렌치 등의 배선용 미세 오목부 이외의 부분(패턴부 이외의 부분)과의 사이에 있어서의 간극을 가능한 한 작게 하고, 이 상태에서 도금을 행함과 동시에, 프로세스의 도중에서 다공질체를 기판 스테이지로 유지한 기판으로부터 떼어 내어, 다공질체와 기판과의 사이의 도금액을 리플레시(교체)시켜, 다시 도금을 행함으로써 기판에 설치한 배선용 미세 오목부의 내부에 도금막을 선택적으로 효율좋게 석출시킬 수 있다. 또한 다공질체를 기판의 피도금면에 가압하는 압력을 임의로 조정함으로써, 기판의 피도금면이나 성막 중의 도금액이 다공질체에 의하여 손상을 입는 것을 방지할 수 있다.According to the present invention, the porous body is pressed at an arbitrary pressure to the plated surface of the substrate held by the substrate stage, so that portions other than the fine recesses for wiring such as trenches of the porous body and the plated surface of the substrate (other than the pattern portion) The gap between the parts) is made as small as possible, the plating is carried out in this state, and at the same time, the plating solution is removed from the substrate holding the porous body on the substrate stage in the middle of the process. The plating film can be selectively and efficiently precipitated inside the wiring fine concave provided in the board | substrate by refreshing (replacing) and performing plating again. Moreover, by arbitrarily adjusting the pressure which presses a porous body to the to-be-plated surface of a board | substrate, it can prevent that the to-be-plated surface of a board | substrate or the plating liquid in film-forming damage by a porous body.

상기 기판 스테이지로 유지한 기판과 상기 전극 헤드를 상대 이동시키는 상대 이동기구를 가지는 것이 바람직하다.It is preferable to have a relative holding mechanism for relatively moving the substrate held by the substrate stage and the electrode head.

예를 들면, 도금에 앞서 다공질체를 기판 스테이지로 유지한 기판의 피도금 면에 임의의 압력으로 가압하면서 양자를 상대 이동시킴으로써 다공질체와 기판과의 밀착성을 높일 수 있다.For example, the adhesion between the porous body and the substrate can be enhanced by relatively moving both of them while pressing the surface to be plated of the substrate held by the substrate stage at an arbitrary pressure prior to plating.

상기 상대 이동기구는, 예를 들면 상기 기판 스테이지 또는 상기 전극 헤드의 적어도 한쪽을 회전시키는 회전기구로 이루어진다.The relative moving mechanism includes, for example, a rotating mechanism that rotates at least one of the substrate stage or the electrode head.

상기 기판 스테이지 또는 상기 전극 헤드의 적어도 한쪽을 회전할 때에 주어지는 회전 토오크를 검출하는 토오크센서를 가지는 것이 바람직하다.It is preferable to have a torque sensor which detects the rotational torque given when rotating at least one of the said substrate stage or the said electrode head.

이와 같이 토오크센서를 구비하여 다공질체를 기판의 피도금면에 가압할 때의 압력을 토오크센서를 거쳐 검지함으로써, 이 압력이 과대해지거나, 부족해지는 것을 방지할 수 있다.Thus, by providing a torque sensor and detecting the pressure at the time of pressurizing a porous body to the to-be-plated surface of a board | substrate through a torque sensor, this pressure can be prevented from becoming excessive or insufficient.

상기 가압 이간기구는, 가스압에 의하여 신축되어 상기 다공질체를 상기 기판을 향하여 가압하는 에어백을 가지는 것이 바람직하다.It is preferable that the pressurizing separation mechanism has an airbag that is stretched and contracted by gas pressure to press the porous body toward the substrate.

이에 의하여 다공질체를 에어백을 거쳐, 그 전면(全面)에 걸쳐 더욱 균일하게 기판을 향하여 가압하여, 기판의 전면에 의하여 균일한 압력으로 밀착시킬 수 있다.Thereby, the porous body can be pressed toward the substrate more uniformly over the entire surface of the porous body via the airbag, and can be brought into close contact with the uniform pressure by the entire surface of the substrate.

상기 에어백은, 바람직하게는 상기 애노드 또는 상기 다공질체와 접촉하여 상기 애노드 또는 다공질체를 수평한 상태로 상하이동시키게 구성되어 있다.The airbag is preferably configured to move the anode or the porous body in a horizontal state in contact with the anode or the porous body.

상기 다공질체는, 적어도 2종류 이상의 다공질재를 적층한 다층 구조를 가지는 것이 바람직하다.It is preferable that the said porous body has a multilayered structure which laminated | stacked at least 2 or more types of porous materials.

이 다공질체는, 재료나 구조 등의 관점에서 예를 들면 주로 도금액을 유지하는 역할을 하는 도금액 함침재와, 이 도금액 함침재의 하면에 부착된 다공질 패드로 구성되고, 이 다공질 패드는 예를 들면 기판에 직접 접촉하는 하층 패드와, 이 하층 패드와 도금액 함침재와의 사이에 장착되는 상층 패드로 구성된다. 이와 같이 다공질체를 다층 구조로 함으로써, 예를 들면 기판과 접촉하는 다공질 패드(하층 패드)로서 반도체 기판상의 요철면을 평탄화하는 데 충분한 평탄성을 가지는 것을 사용하는 것이 가능해진다.The porous body is composed of, for example, a plating liquid impregnating material which mainly serves to hold a plating liquid from a viewpoint of materials or structures, and a porous pad attached to the lower surface of the plating liquid impregnating material. The porous pad is, for example, a substrate. And an upper layer pad mounted directly between the lower layer pad and the plating liquid impregnating material. Thus, by making a porous body into a multilayered structure, it becomes possible to use what has flatness enough for planarizing the uneven surface on a semiconductor substrate, for example as a porous pad (lower layer pad) which contacts a board | substrate.

상기 전극 헤드는, 바람직하게는 상기 애노드 및 상기 에어백을 내부에 수납하고, 하단 개구부를 상기 다공질체로 폐쇄시킨 애노드실을 구획 형성하는 하우징을 가진다.The electrode head preferably has a housing for accommodating the anode and the airbag therein and defining an anode chamber in which a lower end opening is closed with the porous body.

이에 의하여 애노드실내에 수납한 에어백을 거쳐, 다공질체를 독립으로 아래쪽으로 가압할 수 있다.Thereby, the porous body can be independently pressed downward through the airbag housed in the anode chamber.

상기 애노드실은, 예를 들면 원통형의 형상을 가지고 있다.The anode chamber has a cylindrical shape, for example.

상기 하우징에는, 상기 에어백과 연통하는 가스 도입관, 상기 애노드실의 내부로 도금액을 도입하는 도금액 도입관 및 상기 애노드에 급전하는 급전 포트가 설치되어 있다.The housing is provided with a gas introduction tube communicating with the air bag, a plating liquid introduction tube for introducing a plating liquid into the anode chamber, and a feed port for feeding power to the anode.

상기 가압 이간기구는, 바람직하게는 상기 하우징을 상하이동시키는 에어백을 가진다.The pressurizing separation mechanism preferably has an airbag for swinging the housing.

이에 의하여 전극 헤드를 상하방향으로 이동 불가능하게 고정시킨 상태에서, 에어백을 거쳐, 애노드실을 구획 형성하는 하우징만을 상대적으로 상하이동시킬 수 있다.Thereby, only the housing which partitions an anode chamber can be moved relatively relatively through an airbag in the state which fixed the electrode head so that it could not move up and down.

본 발명의 바람직한 형태는, 상기 하우징 또는 상기 기판 스테이지를, 상하, 좌우 또는 원방향으로 진동시키는 가진기구를 더욱 가진다. A preferred embodiment of the present invention further has an excitation mechanism for vibrating the housing or the substrate stage in the up, down, left, and right directions.

이에 의하여, 기판의 피도금면에 다공질체가 접촉하지 않은 상태에서, 하우징 또는 기판 스테이지를 상하, 좌우 또는 원방향으로 진동시킴으로써 기판의 표면(피도금면)에 설치된 시드층 등의 도전체층의 표면에 도금액을 스며들게 할 수 있다.This causes the housing or substrate stage to vibrate up, down, left, or right in a state where the porous body is not in contact with the surface to be plated of the substrate, so that the surface of the conductor layer such as a seed layer provided on the surface (coated surface) of the substrate can be It can infiltrate the plating liquid.

상기 애노드실내의 도금액, 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이의 도금액의 액온을 제어하는 온도제어기구를 더욱 가지는 것이 바람직하다. It is preferable to further have a temperature control mechanism for controlling the liquid temperature of the plating liquid in the anode chamber and the plating liquid between the anode and the plated surface of the substrate held by the substrate stage.

이에 의하여 도금 중에 있어서의 도금액의 액온을 항상 일정하게 유지하여, 도금액의 액온의 변화에 의하여 금속막(도금막)의 막 두께나 막질이 변화되는 것을 방지할 수 있다. Thereby, the liquid temperature of the plating liquid in plating is always kept constant, and it can prevent that the film thickness and film quality of a metal film (plating film) change by the liquid temperature of a plating liquid.

상기 기판 스테이지는, 그 기판 스테이지의 상면에 탑재한 기판의 둘레 가장자리부 이면을 흡착하여 기판을 수평으로 유지함과 동시에, 기판의 이면측을 유체로 가압할 수 있게 구성되어 있는 것이 바람직하다. It is preferable that the said board | substrate stage is comprised so that the back surface of the board | substrate mounted on the upper surface of the board | substrate stage may be attracted, hold | maintain a board | substrate horizontally, and pressurize the back surface side of a board | substrate with a fluid.

이에 의하여 기판 스테이지로 유지한 기판을 그 기판의 이면측으로부터 유체로 가압함으로써, 기판을 더욱 수평한 상태로 유지하여, 다공질체의 하면에 밀착시킬 수 있다. Thereby, by pressurizing the board | substrate hold | maintained by the board | substrate stage with the fluid from the back surface side of the board | substrate, it can hold | maintain a board | substrate more horizontally, and can adhere to the lower surface of a porous body.

본 발명의 바람직한 형태는, 상기 기판 스테이지로 유지한 기판, 또는 상기 다공질체를 진동시키는 가진(加振)기구를 가진다.The preferable aspect of this invention has the excitation mechanism which vibrates the board | substrate hold | maintained at the said board | substrate stage, or the said porous body.

이에 의하여 예를 들면 도금에 앞서 다공질체를 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압하여, 기판 또는 다공질체의 적어도 한쪽을 초음파나 가진기 등으로 진동을 가하게 함으로써 다공질체와 기판과의 밀착성을 더욱 높일 수 있다. Thereby, for example, prior to plating, the porous body is pressurized with an arbitrary pressure to the surface to be plated of the substrate held by the substrate stage, and at least one of the substrate or the porous body is vibrated by ultrasonic waves, an exciter, or the like. Adhesion with a board | substrate can be improved further.

본 발명의 또 다른 도금장치는, 기판을 유지하는 기판 스테이지와, 상기 기판 스테이지로 유지한 기판의 피도금면의 둘레 가장자리부에 맞닿아 상기 둘레 가장자리부를 수밀적으로 시일하는 시일재와, 상기 기판과 접촉하여 통전시키는 캐소드전극을 구비한 캐소드부와, 상기 캐소드부의 윗쪽에 상하이동 자유롭게 배치되어 애노드와 보수성을 가지는 다공질체를 상하에 구비한 전극 헤드와, 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 도금액을 주입하는 도금액 주입부와, 상기 다공질체를 상기 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압하는 가압기구와, 상기 캐소드전극과 상기 애노드와의 사이에 도금전압을 인가하는 전원과, 상기 다공질체를 상기 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압할 때에 상기 다공질체와 피도금면과의 사이의 간극에 존재하는 도금액을 배제하는 도금액 배제기구를 가진다. Another plating apparatus of the present invention includes a substrate stage for holding a substrate, a sealing material for sealing the peripheral edge portion in watertight contact with a peripheral edge portion of a plated surface of the substrate held by the substrate stage, and the substrate. A cathode having a cathode electrode for contacting and energizing the electrode, an electrode head freely disposed above and above the cathode and having a porous body having an anode and a water retention structure, and a substrate held by the anode and the substrate stage. A plating liquid injecting portion for injecting a plating liquid between the surface to be plated, a pressing mechanism for pressurizing the porous body at a predetermined pressure to the surface to be plated of the substrate held by the substrate stage, the cathode electrode and the anode; A power source for applying a plating voltage between the substrate and the plated surface of the substrate on which the porous body is held by the substrate stage. It has a plating liquid removal mechanism which removes the plating liquid which exists in the space | interval between the said porous body and a to-be-plated surface when pressurized by arbitrary pressure.

본 발명에 의하면, 다공질체를 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압할 때에 다공질체와 피도금면과의 사이의 간극에 존재하는 도금액을 배제함으로써, 하중을 크게 하지 않고 다공질체의 전면을 기판의 피도금면에 균일하게 밀착시킨 상태로 도금을 행할 수 있다. According to the present invention, when the porous body is pressurized to a plated surface of the substrate held by the substrate stage at an arbitrary pressure, the plating liquid existing in the gap between the porous body and the plated surface is excluded, thereby increasing the load. Plating can be performed in the state in which the whole surface of a porous body was made to adhere uniformly to the to-be-plated surface of a board | substrate.

본 발명의 바람직한 형태는, 상기 도금액 배제기구는, 상기 기판 스테이지로 유지한 기판, 상기 다공질체 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 주입한 도금액 중의 적어도 2개를 상대 운동시키는 기구로 이루어진다. According to a preferred embodiment of the present invention, the plating liquid removing mechanism includes at least two of the plating liquids injected between the substrate held by the substrate stage, the porous body, and the plated surface of the anode and the substrate held by the substrate stage. It consists of a mechanism for relative movement.

예를 들면, 다공질체를 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압하기 전후에서, 기판 스테이지로 유지한 기판과 다공질체를 상대적으로 회전시킴으로써 다공질체와 기판의 피도금면과의 사이의 간극에 존재하는 도금액을 이 회전에 수반되는 원심력에 의해 바깥쪽으로 배제할 수 있다. For example, before and after pressing the plated surface of the substrate held by the substrate stage at an arbitrary pressure, the substrate and the porous body held by the substrate stage are relatively rotated so that the porous surface and the plated surface of the substrate The plating liquid existing in the gap between the two can be removed outward by the centrifugal force accompanying this rotation.

본 발명의 바람직한 형태는, 상기 도금액 배제기구는, 상기 기판 스테이지로 유지한 기판, 상기 다공질체 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 주입한 도금액 중의 적어도 하나를 진동시키는 기구로 이루어진다. According to a preferred aspect of the present invention, the plating liquid removing mechanism includes at least one of a plating liquid injected between a substrate held by the substrate stage, the porous body, and a plated surface of the anode and the substrate held by the substrate stage. It consists of a vibrating mechanism.

예를 들면, 바이브레이터를 사용하여 기판 스테이지로 유지한 기판이나 다공질체를 진동시킴으로써 다공질체와 기판의 피도금면과의 사이의 간극에 존재하는 도금액을 원활하게 배제할 수 있다. For example, by vibrating the substrate or the porous body held by the substrate stage using a vibrator, the plating liquid present in the gap between the porous body and the plated surface of the substrate can be smoothly removed.

본 발명의 바람직한 형태는, 상기 도금액 배제기구는, 상기 기판 스테이지로 유지한 기판, 상기 다공질체 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 주입한 도금액 중의 적어도 하나를, 기판 스테이지로 유지한 기판의 피도금면에 대하여 수직방향으로 진동시키는 기구로 이루어진다. According to a preferred aspect of the present invention, the plating liquid removing mechanism includes at least one of a plating liquid injected between a substrate held by the substrate stage, the porous body, and a plated surface of the anode and the substrate held by the substrate stage. And a mechanism for vibrating in a vertical direction with respect to the plated surface of the substrate held by the substrate stage.

이와 같이, 기판의 피도금면에 대하여 수직방향으로 진동시켜, 다공질체와 기판의 피도금면이 서로 슬라이딩 접촉하지 않게 함으로써, 도금 표면이 손상되는 것을 방지할 수 있다. Thus, by vibrating in the vertical direction with respect to the to-be-plated surface of a board | substrate, it can prevent that a plating surface is damaged by making a porous body and a to-be-plated surface of a board | substrate not mutually sliding contact.

상기 진동시키는 기구는, 예를 들면 초음파를 이용한 것, 또는 여자코일에 의한 가진기를 사용한 것이다. 이와 같이, 초음파를 이용함으로써 고주파의 진동을 줄 수 있다. The vibrating mechanism is, for example, an ultrasonic wave or an excitation device using an excitation coil. In this way, high frequency vibration can be provided by using ultrasonic waves.

상기 진동시키는 기구는, 예를 들면 피에조 진동자로 이루어진다. 이와 같이, 피에조 진동자를 사용함으로써, 기구의 콤팩트화를 도모할 수 있다. The vibrating mechanism consists of a piezo vibrator, for example. Thus, by using a piezo vibrator, the mechanism can be made compact.

상기 진동시키는 기구는, 압력진동을 이용한 것이어도 좋다. 이와 같이 압력진동을 이용하여 주로 도금액을 진동시킬 수 있다. The vibrating mechanism may be one using pressure vibration. As such, the plating liquid can be vibrated mainly by using pressure vibration.

상기 도금액 배제기구는, 내부에 상기 애노드를 수납하여, 개구 끝부분을 상기 다공질체로 폐쇄한 애노드실과, 그 애노드실내의 압력을 제어하는 압력 제어부를 가지는 것이 바람직하다. It is preferable that the said plating liquid removal mechanism has the anode chamber which accommodated the said anode inside, and closed the opening edge part with the said porous body, and the pressure control part which controls the pressure in the anode chamber.

이에 의하여 애노드실내의 압력을 대기압보다 낮은 압력(부압)으로 하여, 다공질체와 기판의 피도금면과의 사이의 간극에 존재하는 도금액을 흡인함으로써, 도금액이 다공질체의 내부를 통하여 애노드실내로 유입하는 것을 촉진하여, 간극으로부터 도금액을 배제할 수 있다. This makes the pressure in the anode chamber lower than atmospheric pressure (negative pressure), thereby sucking the plating liquid present in the gap between the porous body and the surface to be plated of the substrate, whereby the plating liquid flows into the anode chamber through the interior of the porous body. To facilitate the removal of the plating liquid from the gap.

본 발명의 또 다른 도금장치는, 기판을 유지하는 기판 스테이지와, 상기 기판 스테이지로 유지한 기판의 피도금면의 둘레 가장자리부에 맞닿아 상기 둘레 가장자리부를 수밀적으로 시일하는 시일재와, 상기 기판과 접촉하여 통전시키는 캐소드전극을 구비한 캐소드부와, 상기 캐소드부의 윗쪽에 상하이동 자유롭게 배치되어, 애노드와 보수성을 가지는 다공질체를 상하에 구비한 전극 헤드와, 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 도금액을 주입하는 도금액 주입부와, 상기 캐소드전극과 상기 애노드와의 사이에 도금전압을 인가하는 전원을 구비하고, 상기 다공질체는, 적어도 2종류 이상의 다공질재를 적층한 다층 구조를 가진다. Another plating apparatus of the present invention includes a substrate stage for holding a substrate, a sealing material for sealing the peripheral edge portion in watertight contact with a peripheral edge portion of a plated surface of the substrate held by the substrate stage, and the substrate. And a cathode portion having a cathode electrode which is brought into contact with and energized, an electrode head freely disposed above and above the cathode portion, and having an anode and a water-retaining porous body above and below the anode and the substrate stage. A plating liquid injecting unit for injecting a plating liquid between the surface to be plated of the substrate and a power source for applying a plating voltage between the cathode electrode and the anode, wherein the porous body comprises at least two types of porous materials. It has a laminated multilayer structure.

본 발명에 의하면, 다층 구조를 가지는 다공질체의 내부에 신선한 도금액을 미리 유지하여 두고, 도금 직전에 다공질체를 거쳐 기판에 공급함으로써 애노드를 침지시키고 있던 도금액이, 이 기판에 공급되는 신선한 도금액에 혼입되는 것을 방지하여, 더욱 소량의 도금액의 공급에 의하여, 항상 신선한 도금액을 사용한 도금을 행할 수 있다. According to the present invention, a plating solution in which an anode is immersed by holding a fresh plating solution in advance inside a porous body having a multi-layer structure and feeding the substrate through a porous body immediately before plating is mixed in the fresh plating solution supplied to the substrate. It can be prevented and plating can be always performed using a fresh plating liquid by supplying a smaller amount of plating liquid.

상기 전극 헤드는, 상기 애노드를 내부에 수납하여, 하단 개구부를 상기 다공질체로 폐쇄시킨 애노드실을 구획 형성하는 하우징을 가지는 것이 바람직하다. It is preferable that the electrode head has a housing that houses the anode therein and partitions an anode chamber in which a lower end opening is closed with the porous body.

이에 의하여 애노드실을 내부에 도금액을 유지한 다공질체로 하단 개구부를 폐쇄시킨 기밀공간으로 하여 애노드실의 내부에 도금액을 유지하고, 애노드실의 기밀을 해제하거나, 또는 애노드실내를 가압함으로써 다공질체의 내부에 유지한 신선한 도금액을 애노드실내에 유지하여 애노드를 침지시키고 있던 도금액의 혼입을 방지하면서 기판에 공급할 수 있다. As a result, the anode chamber is a porous body having a plating solution therein, and the bottom opening is closed, thereby maintaining the plating solution in the anode chamber, releasing the airtightness of the anode chamber, or pressing the inside of the anode chamber. The fresh plating liquid held in the chamber can be kept in the anode chamber and supplied to the substrate while preventing the plating liquid from being immersed in the anode.

상기 하우징에는 바람직하게는, 상기 애노드실의 내부의 도금액을 흡인하는 도금액 흡인관, 상기 애노드실의 내부에 가압유체를 도입하는 가압유체 도입관 및 상기 애노드에 급전하는 급전 포트가 설치되어 있다. The housing is preferably provided with a plating liquid suction tube for sucking the plating liquid inside the anode chamber, a pressurized fluid introduction tube for introducing a pressurized fluid into the anode chamber, and a feed port for feeding the anode.

이에 의하여 다공질체를 신선한 도금액에 침지한 상태에서, 애노드실내의 도금액을 흡인함으로써, 애노드실내의 애노드를 침지시킨 오래된 도금을 흡인하여 제거하면서, 다공질체의 내부로 신선한 도금액을 도입하여 유지하고, 애노드실내를 가압유체로 가압함으로써, 다공질체의 내부에 유지한 신선한 도금액을, 다공질체를 통하여 기판에 공급할 수 있다. In this way, while the porous body is immersed in a fresh plating solution, the plating solution in the anode chamber is sucked to suck and remove the old plating in which the anode is immersed in the anode chamber, while the fresh plating solution is introduced into and maintained in the porous body. By pressurizing the room with a pressurized fluid, the fresh plating liquid held inside the porous body can be supplied to the substrate through the porous body.

상기 다층 구조를 구성하는 다공질재의 사이에, 적어도 하나의 공간이 형성되어 있는 것이 바람직하다. It is preferable that at least one space is formed between the porous materials which comprise the said multilayer structure.

이에 의하여 예를 들면 다층 구조를 구성하는 다공질재의 사이에 형성된 공간내에 신선한 도금액을 미리 유지하여 둠으로써 이 공간 내에 유지한 신선한 도금액 및 상기 공간의 아래쪽에 위치하는 다공질재의 내부에 유지한 도금액을, 애노드실내에 유지하여 애노드를 침지시키고 있던 도금액의 혼입을 방지하면서, 기판에 공급하여 도금에 사용할 수 있다. Thereby, for example, the fresh plating solution held in the space between the porous materials constituting the multilayer structure in advance is kept in advance, and the plating solution held inside the porous material positioned below the space is anode. It can be supplied to a substrate and used for plating while preventing the mixing of the plating liquid held in the room and immersing the anode.

본 발명의 바람직한 형태는, 상기 다공질재의 사이에 형성된 공간을 향하여 도금액을 토출하여 공급하는 도금액공급부와, 상기 공간내의 도금액을 흡인하여 배출하는 도금액배출부를 가진다. A preferred embodiment of the present invention includes a plating liquid supply unit for discharging and supplying a plating liquid toward a space formed between the porous materials, and a plating liquid discharge unit for sucking and discharging the plating liquid in the space.

이에 의하여 다공질재의 사이에 형성된 공간내에 도금액공급부로부터 신선한 도금액을 공급하면서, 도금액배출부를 거쳐, 이 공간으로부터 도금액을 뽑아 냄으로써 공간내를 신선한 도금액으로 치환할 수 있다. Thereby, while supplying a fresh plating liquid from the plating liquid supply part in the space formed between the porous materials, the plating liquid can be extracted from this space through the plating liquid discharge part, thereby replacing the inside of the space with a fresh plating liquid.

본 발명의 도금방법은, 시드층으로 덮힌 배선용 미세 오목부를 가지는 기판을 준비하여, 상기 시드층의 표면과 그 시드층과 소정간격 이간하여 배치한 애노드와의 사이에 다공질 접촉체를 거쳐 도금액을 공급하고, 상기 시드층과 상기 애노드와의 사이에 도금전압을 인가하여 도금을 행함에 있어서, 상기 시드층과 상기 애노드와의 사이에 인가하는 도금전압의 상태의 변화와, 상기 다공질 접촉체와 상기 시드층과의 사이의 가압상태의 변화를 서로 관련시킨다. According to the plating method of the present invention, a substrate having a fine concave portion for wiring covered with a seed layer is prepared, and a plating solution is supplied through a porous contact between the surface of the seed layer and an anode disposed at a predetermined distance from the seed layer. In the plating by applying a plating voltage between the seed layer and the anode, the state of the plating voltage applied between the seed layer and the anode and the porous contact and the seed are changed. The change in pressure state between the layers is correlated.

이 도금방법은, 기판상의 시드층과 애노드와의 사이에, 다공질 접촉체를 거쳐 도금액을 공급하면서, 시드층과 애노드와의 사이에 인가하는 도금전압의 상태를, 다공질 접촉체와 시드층과의 사이의 가압상태와 관련시켜 변화시키면서 도금을 행하는 점에 특징을 가진다. In this plating method, the plating voltage applied between the seed layer and the anode is applied to the seed layer and the anode while supplying a plating solution between the seed layer on the substrate and the anode. It is characterized in that plating is performed while changing in relation to the pressurized state therebetween.

이 다공질 접촉체는, 도금액이 통과할 수 있는 미세 관통구멍을 가지는 것이 필요하다. 또, 이 다공질 접촉체 자체에 도금이 석출되지 않게 하기 위하여, 다공질접촉체의 적어도 시드층과의 접촉면은, 절연물 또는 절연성이 높은 물질로 형성되어 있는 것이 필요하다. This porous contact body needs to have a fine through hole through which the plating liquid can pass. In order to prevent the plating from depositing on the porous contact itself, the contact surface with at least the seed layer of the porous contact body needs to be formed of an insulator or a highly insulating material.

또한, 기판의 평탄면(배선형상의 트렌치 및 비어홀의 어느 하나가 형성되어 있는 부분)을 다공질 접촉체로 확실하게 가압하여, 이 기판의 평탄면에 가능한 한 도금이 석출되지 않게 하기 위하여 다공질 접촉체는, 어느 정도의 견고함이 있는 물질 인 것이 바람직하다. 또한 다공질 접촉체의 시드층과의 접촉면은, 시드층 표면과의 접촉면적을 넓게 취할 수 있게 평탄성이 좋은 것이 바람직하고, 뒤에서 설명하는 첨가제의 효과를 충분히 내기 위하여, 다공질 접촉체 재료는, 소수성인 것이 바람직하다. In addition, in order to reliably pressurize the flat surface of the substrate (the portion in which one of the wiring trench and the via hole are formed) with the porous contact member, the porous contact member must be prevented from depositing as much as possible on the flat surface of the substrate. It is preferable that it is a substance with some degree of firmness. In addition, it is preferable that the contact surface of the porous contact member with the seed layer has good flatness so as to have a wide contact area with the seed layer surface, and the porous contact material is hydrophobic in order to sufficiently exhibit the effects of the additives described later. It is preferable.

본 발명의 도금방법에 있어서의 시드층과 애노드와의 사이에 인가하는 도금전압의 상태의 변화로서는, 다공질 접촉체와 시드층과의 사이에 인가하는 도금전압의 단속(직사각형 전압의 인가), 다공질 접촉체와 시드층과의 사이에 인가하는 도금전압의 증감((높은 전압과 낮은 전압의 반복) 등을 들 수 있다. 또 다공질 접촉체와 시드층과의 사이에 도금전압을 인가하는 방법도, 단순한 직류로 인가하여도 좋으나, 복수의 펄스에 의한 펄스군으로서 인가하여도 좋고, 나아가서는 정현파로서 인가하여도 좋다. As a change of the state of the plating voltage applied between the seed layer and the anode in the plating method of the present invention, the intermittent (applied rectangular voltage) of the plating voltage applied between the porous contact member and the seed layer, and the porous The increase and decrease of the plating voltage (repetition of a high voltage and a low voltage) applied between a contact body and a seed layer, etc. Moreover, the method of applying a plating voltage between a porous contact body and a seed layer is also mentioned. It may be applied by a simple direct current, but may be applied as a pulse group by a plurality of pulses, or may be applied as a sine wave.

또, 시드층에 대한 다공질 접촉체의 가압상태의 변화로서는, 시드층과 다공질 접촉체의 접촉으로부터 비접촉으로의 변화나, 시드층과 다공질 접촉체와의 접촉시의 압력을, 상대적으로 높은 압력으로부터 상대적으로 낮은 압력으로 변화시키는 것을 들 수 있다. Moreover, as a change of the pressurization state of a porous contact body with respect to a seed layer, the change from the contact of a seed layer and a porous contact body to a non-contact, and the pressure at the time of a contact of a seed layer and a porous contact body from a relatively high pressure Changing to a relatively low pressure.

이들 시드층과 애노드와의 사이에 인가하는 도금전압의 상태의 변화와, 다공질 접촉체와 시드층과의 사이의 가압상태의 변화를 서로 관련시켜 도금을 행하는 방법의 형태로서는, 예를 들면 다음과 같은 형태를 들 수 있다. As a form of the method of plating by correlating a change in the state of the plating voltage applied between these seed layers and the anode and a change in the pressurized state between the porous contact and the seed layer, for example, The same form can be mentioned.

제 1 형태로서는, 다공질 접촉체와 시드층과의 사이의 가압상태의 변화가 다공질 접촉체의 시드층과의 접촉, 비접촉이고, 시드층과 애노드와의 사이에 인가하는 도금전압의 상태의 변화가, 도금전압의 인가의 단속인 경우를 들 수 있다.In the first aspect, the change in the pressurized state between the porous contact and the seed layer is in contact with the seed layer of the porous contact and non-contact, and the change in the state of the plating voltage applied between the seed layer and the anode is And the case of intermittent application of the plating voltage.

이 형태에서는 예를 들면 다공질 접촉체와 시드층이 접촉하고 있을 때에 시드층과 애노드와의 사이에 도금전압을 인가하여 도금을 행하고, 다공질 접촉체와 시드층이 비접촉일 때에는 시드층과 애노드와의 사이에 도금전압을 인가하지 않고, 도금을 정지하여 시드층과 다공질 접촉체의 사이에 새로운 도금액을 공급할 수 있다. In this embodiment, for example, plating is performed by applying a plating voltage between the seed layer and the anode when the porous contact and the seed layer are in contact, and when the porous contact and the seed layer are non-contact, the seed layer and the anode are not contacted. It is possible to supply a new plating solution between the seed layer and the porous contact without stopping the plating voltage in between.

이 다공질 접촉체와 시드층의 접촉, 비접촉과, 시드층과 애노드와의 사이에 있어서의 도금전압의 인가의 단속은, 이들을 동기하여 행하여도 좋으나, 시드층과 애노드와의 사이에 도금전압을 인가하는 타이밍을 다공질 접촉체와 시드층과의 접촉시보다 약간 늦추어도 좋다. 이 형태에서는 시드층과 애노드와의 사이에 도금전압을 인가하지 않은 상태에서 다공질 접촉체나 기판(시드층)을, 예를 들면 회전이나 이동운동시킬 수도 있다. 특히, 도금전압의 인가의 타이밍을 늦추는 경우에는, 다공질 접촉체와 시드층은 접촉하고 있으나, 시드층과 애노드와의 사이에 도금전압을 인가하지 않은 상태에서 기판 또는 다공질 접촉체를 회전, 상하 또는 좌우방향으로 운동시킴으로써, 시드층 표면에 도금액을 스며들게 할 수 있기 때문에 바람직하다. 또한 이와 같은 시드층 표면에 대한 도금액의 스며듦 운동의 일례로서는 접촉과 비접촉을 반복하는 운동, 가압 압력의 강약을 반복하는 운동, 가볍게 가압한 상태에서 기판을 회전시키는 운동 등을 들 수 있다. The contact between the porous contact and the seed layer, the non-contact, and the application of the plating voltage between the seed layer and the anode may be performed in synchronization with each other, but the plating voltage is applied between the seed layer and the anode. The timing may be slightly slower than when the porous contact body is in contact with the seed layer. In this embodiment, the porous contact member or the substrate (seed layer) may be rotated or moved, for example, without a plating voltage applied between the seed layer and the anode. In particular, when the timing of application of the plating voltage is delayed, the substrate or the porous contact is rotated up, down, or while the porous contact is in contact with the seed layer, but the plating voltage is not applied between the seed layer and the anode. It is preferable because the plating liquid can be soaked into the seed layer surface by moving in the horizontal direction. Further, examples of the seeping motion of the plating liquid with respect to the surface of the seed layer include a motion of repeating contact and non-contact, a motion of repeatedly increasing and decreasing the pressure, and a motion of rotating the substrate in a lightly pressurized state.

제 2 형태로서는, 다공질 접촉체와 시드층과의 사이의 가압상태의 변화가 다공질 접촉체의 시드층에 대한 압력의 강약의 변화이고, 시드층과 애노드와의 사이에 인가하는 도금전압의 상태의 변화가, 인가하는 도금전압의 단속인 경우를 들 수 있다. In the second aspect, the change in the pressurized state between the porous contact and the seed layer is a change in the strength and weakness of the pressure on the seed layer of the porous contact, and the plating voltage applied between the seed layer and the anode. The case where a change is an interruption of the plating voltage to apply is mentioned.

이 형태에서는, 예를 들면 다공질 접촉체와 시드층과의 사이의 압력이 상대적으로 높을 때에 시드층과 애노드와의 사이에 도금전압을 인가하여 도금을 행하고, 다공질 접촉체와 시드층과의 사이의 압력을 내려, 상대적으로 낮은 압력으로 하였을 때에 시드층과 애노드와의 사이에 도금전압을 인가하지 않고, 도금을 정지하여 시드층과 다공질 접촉체의 사이에 새로운 도금액을 공급할 수 있다. In this embodiment, for example, when the pressure between the porous contact and the seed layer is relatively high, plating is applied by applying a plating voltage between the seed layer and the anode, and the plating is performed between the porous contact and the seed layer. When the pressure is lowered to a relatively low pressure, plating can be stopped without supplying a plating voltage between the seed layer and the anode to supply a new plating solution between the seed layer and the porous contact.

이 형태에서도, 도금전압의 인가가 정지되어 있을 때에 다공질 접촉체나 기판을 회전, 이동 또는 진동 운동시켜, 시드층 표면에 도금액을 스며들게 할 수 있다.Also in this embodiment, when the application of the plating voltage is stopped, the porous contact member or the substrate can be rotated, moved or vibrated to infiltrate the seed layer with the plating liquid.

제 3 형태로서는, 다공질 접촉체와 시드층과의 사이의 가압상태의 변화가 다공질 접촉체의 시드층에 대한 압력의 강약의 변화이고, 시드층과 애노드와의 사이에 인가하는 도금전압의 상태의 변화가, 인가하는 도금전압의 강약의 변화인 경우를 들 수 있다. As a third aspect, the change in the pressurized state between the porous contact and the seed layer is a change in the strength of the pressure on the seed layer of the porous contact, and the plating voltage applied between the seed layer and the anode. The change is a change in the strength and weakness of the plating voltage to be applied.

이 형태에서는, 예를 들면 다공질 접촉체와 시드층과의 사이의 압력이 높을 때에 상대적으로 높은 도금전압을 인가하여 도금을 행하고, 다공질 접촉체와 시드층과의 사이의 압력을 내려, 낮은 압력으로 하였을 때에 상대적으로 낮은 도금전압을 시드층과 애노드와의 사이에 인가하는 것으로, 높은 도금전압을 인가하였을 때에 소모된 도금액을 낮은 도금전압을 인가할 때에 공급할 수 있다. In this aspect, for example, when the pressure between the porous contact and the seed layer is high, plating is performed by applying a relatively high plating voltage, and the pressure between the porous contact and the seed layer is lowered to lower the pressure. When a relatively low plating voltage is applied between the seed layer and the anode, the plating liquid consumed when the high plating voltage is applied can be supplied when the low plating voltage is applied.

또한 시드층과 애노드와의 사이에 인가하는 도금전압의 상태의 변화와, 시드층에 대한 다공질 접촉체의 가압상태의 변화를 서로 관련시켜 도금을 행함에 있어서는, 예를 들면 도금전압의 인가시간과 정지시간의 간격은 일정하게 하여 두어도 좋고, 변화시켜도 좋다. 또 도금시의 전압이나 전류는, 어느 것인가 한쪽을 일정하게 하여도 좋고, 이들을 서서히 변화시켜도 좋다. 또 도금의 최초의 시점에서는 정전압으로 도금을 행하고, 그 후 정전류로 도금을 행하여도 좋다. In addition, in performing plating in association with a change in the state of the plating voltage applied between the seed layer and the anode, and a change in the pressurization state of the porous contact body to the seed layer, for example, the application time of the plating voltage and The interval of the stop time may be constant or may be changed. In addition, either the voltage or the current at the time of plating may be made constant, and these may be changed gradually. At the initial point of plating, plating may be performed at a constant voltage, and plating may then be performed at a constant current.

본 발명의 도금방법에서는, 시드층과 애노드와의 사이에 인가하는 도금전압의 상태와, 다공질 접촉체와 시드층과의 사이의 가압상태를 서로 관련시켜 도금을 행하기에 앞서, 일반적인 방법에 의하여 기판의 시드층에 얇게 금속도금을 행하여도 좋다. 예를 들면 다공질 접촉체가 시드층에 접촉하지 않은 상태에서 단시간 도금을 행하고 나서 다공질 접촉체를 시드층에 접촉시켜, 시드층과 애노드와의 사이에 인가하는 도금전압의 상태와, 다공질 접촉체와 시드층과의 사이의 가압상태를 서로 관련시켜 도금을 행하여도 좋다. In the plating method of the present invention, prior to performing plating by relating the state of the plating voltage applied between the seed layer and the anode and the pressurized state between the porous contact member and the seed layer to each other, The seed layer of the substrate may be thinly plated with metal. For example, after plating the porous contact for a short time without contacting the seed layer, the porous contact is brought into contact with the seed layer, and the state of the plating voltage applied between the seed layer and the anode, and the porous contact and the seed. The plating may be performed in association with the pressurized state between the layers.

또한 본 발명에 사용하는 도금액으로서는 특별히 제한은 없고, 첨가제를 그나지 함유하지 않은 것이어도 좋으나, 소수성이 높은 첨가제를 사용한 도금액을 사용하는 것이 바람직하다. 특히, 도금액으로서 황산구리 도금액 등의 산성구리 도금액을 사용하는 경우는, 폴리머성분, 캐리어성분 및 레벨러성분을 함유하는 첨가제를 사용하는 것이 바람직하고, 특히 폴리머성분 및 캐리어성분은 필수이다. There is no restriction | limiting in particular as a plating liquid used for this invention, Although it may be a thing which does not contain the additive too much, it is preferable to use the plating liquid using the additive with high hydrophobicity. In particular, when an acidic copper plating solution such as a copper sulfate plating solution is used as the plating solution, it is preferable to use an additive containing a polymer component, a carrier component and a leveler component, and in particular, the polymer component and the carrier component are essential.

본 발명의 다른 도금방법은, 시드층으로 덮힌 배선용 미세 오목부를 가지는 기판을 준비하여, 상기 시드층의 표면과 소정간격 이간하여 배치한 애노드와의 사이에 보수성을 가지는 다공질체를 배치하고, 상기 시드층과 상기 애노드와의 사이에 도금액을 채우면서 통전하여 도금을 행함에 있어서, 상기 다공질체를 상기 시드층에 임의의 압력으로 가압하면서, 상기 시드층과 상기 애노드와의 사이에 통전하여 도금을 행한다. According to another plating method of the present invention, a substrate having a fine concave portion for wiring covered with a seed layer is prepared, a porous body having water retention property is disposed between the surface of the seed layer and an anode disposed at a predetermined interval, and the seed In conducting plating by energizing a plating solution between the layer and the anode, the plating is performed by energizing the seed layer and the anode while pressing the porous body at an arbitrary pressure. .

본 발명의 바람직한 형태는, 상기 시드층과 상기 애노드와의 사이에 통전하여 도금을 행하기에 앞서, 상기 다공질체와 상기 시드층을 임의의 압력으로 가압하면서 상대 이동시킨다. According to a preferred embodiment of the present invention, before the plating is performed by energizing the seed layer and the anode, the porous body and the seed layer are relatively moved while being pressed at an arbitrary pressure.

본 발명의 바람직한 형태는, 프로세스의 도중에서 상기 시드층과 상기 애노드와의 사이의 통전을 해제하고 상기 다공질체를 상기 시드층으로부터 떼어 낸다. In a preferred embodiment of the present invention, energization between the seed layer and the anode is released during the process, and the porous body is separated from the seed layer.

이에 의하여 프로세스의 도중에서 다공질체와 시드층과의 사이의 도금액을 리플레시(교체)할 수 있다. Thereby, the plating liquid between the porous body and the seed layer can be refreshed (replaced) in the middle of the process.

본 발명의 또 다른 도금방법은, 시드층으로 덮힌 배선용 미세 오목부를 가지는 기판을 준비하여, 상기 시드층의 표면과 소정간격 이간하여 배치한 애노드와의 사이에 보수성을 가지는 다공질체를 배치하고, 상기 시드층과 상기 애노드와의 사이에 도금액을 채우면서 통전하여 도금을 행함에 있어서, 상기 다공질체를 상기 시드층에 임의의 압력으로 가압하기 전후에서, 상기 다공질체와 시드층과의 사이에 존재하는 도금액을 배제한 후, 상기 시드층과 상기 애노드와의 사이에 통전하여 도금을 행한다.According to still another aspect of the present invention, there is provided a substrate having a fine concave portion for wiring covered with a seed layer, and a porous body having water retention property is disposed between the surface of the seed layer and an anode disposed at a predetermined interval. In conducting plating by energizing a plating solution between the seed layer and the anode, the porous material may be present between the porous material and the seed layer before and after pressing the porous material at an arbitrary pressure. After the plating solution is removed, plating is conducted by energizing the seed layer and the anode.

본 발명의 바람직한 형태는, 상기 다공질체와 상기 시드층이 접촉하고 있을 때에만 통전을 행한다. According to a preferred embodiment of the present invention, energization is performed only when the porous body is in contact with the seed layer.

본 발명의 기판처리장치는, 기판을 반출입하는 로드 ·언로드 스테이션과, 청구항 1 내지 청구항 33 중 어느 한 항에 기재된 도금장치와, 기판을 세정하여 건조시키는 세정·건조장치와, 상기 로드 ·언로드 스테이션, 상기 도금장치 및 상기 세정·건조장치의 사이에서 기판을 반송하는 반송장치를 가진다. The substrate processing apparatus of the present invention includes a load and unload station for carrying in and out of a substrate, the plating apparatus according to any one of claims 1 to 33, a cleaning and drying apparatus for cleaning and drying a substrate, and the load and unload station. And a conveying apparatus for conveying a substrate between the plating apparatus and the washing and drying apparatus.

기판 표면에 상기 도금장치로 성막한 불필요한 금속막을 연마 제거하여 평탄화하는 연마장치를 더욱 가지는 것이 바람직하다. It is preferable to further have a polishing apparatus which polishes and removes and planarizes unnecessary metal films formed by the plating apparatus on the substrate surface.

상기 도금장치에서 금속막을 성막한 기판을 열처리하는 열처리장치를 더욱 가지는 것이 바람직하다. It is preferable to further have a heat processing apparatus for heat-treating the board | substrate which formed the metal film in the said plating apparatus.

이에 의하여 연마장치로 불필요한 금속막을 연마제거하기 전에, 기판에 열처리(어닐링처리)를 행함으로써, 이후의 연마장치에서의 불필요한 금속막의 연마제거처리나 배선의 전기 특성에 대하여 좋은 효과를 나타내게 할 수 있다. Thus, by performing heat treatment (annealing treatment) on the substrate before polishing and removing the unnecessary metal film by the polishing apparatus, a good effect can be exerted on the polishing removal treatment and unnecessary electrical properties of the wiring in the subsequent polishing apparatus.

기판의 둘레 가장자리부에 부착 내지 성막 가공한 금속막을 에칭 제거하는 베벨 에칭장치를 더 가지는 것이 바람직하다. It is preferable to further have the bevel etching apparatus which etches away the metal film which affixed or formed into a film at the peripheral part of a board | substrate.

이에 의하여, 예를 들면 기판 표면에 매립용 금속막을 성막하여 세정장치로 세정한 직후에, 기판의 베벨부에 성막된 금속막을 베벨 에칭장치로 에칭할 수 있다.Thereby, for example, the metal film formed into the bevel part of a board | substrate can be etched by the bevel etching apparatus immediately after forming the metal film for embedding on the board | substrate surface, and wash | cleaning with a washing | cleaning apparatus.

상기 도금장치의 상기 애노드와 상기 캐소드전극과의 사이에 도금전압을 인가하였을 때의 전압값 또는 전류값의 적어도 한쪽을 모니터하는 모니터부를 더 가지는 것이 바람직하다. It is preferable to further have a monitor which monitors at least one of a voltage value or a current value when a plating voltage is applied between the anode and the cathode of the plating apparatus.

이에 의하여 도금장치에 의한 도금의 종점(엔드 포인트)을 모니터부에서 검지하고, 피드백하여 도금을 종료시킬 수 있다. As a result, the end point (end point) of plating by the plating apparatus can be detected by the monitor unit, and the plating can be terminated by feeding back.

기판 표면에 성막한 금속막의 막두께를 측정하는 막두께 측정기를 더 가지는 것이 바람직하다. It is preferable to further have a film thickness meter which measures the film thickness of the metal film formed into a film on the board | substrate surface.

이에 의하여 기판 표면의 금속막의 막두께를 측정하고, 측정결과를 피드백하여 도금시간을 필요에 따라 증감함으로써, 소정의 막두께의 금속막을 재현성 좋게 형성할 수 있다. As a result, by measuring the film thickness of the metal film on the substrate surface and feeding back the measurement result and increasing or decreasing the plating time as necessary, a metal film having a predetermined film thickness can be formed with good reproducibility.

이하, 본 발명의 실시형태를 도면을 참조하여 설명한다. 이 실시형태는, 반도체 웨이퍼 등의 기판의 표면에 설치한 배선용 미세 오목부에, 배선재료로서의 구리를 도금에 의해 매립하여 구리층으로 이루어지는 배선을 형성하게 한 예를 나타내고 있다. 다른 배선재료를 사용하여도 되는 것은 물론이다. EMBODIMENT OF THE INVENTION Hereinafter, embodiment of this invention is described with reference to drawings. This embodiment shows an example in which copper as wiring material is embedded by plating in a fine concave portion for wiring provided on a surface of a substrate such as a semiconductor wafer to form a wiring made of a copper layer. It goes without saying that other wiring materials may be used.

도 1a 내지 도 1d를 참조하여, 반도체장치에 있어서의 구리배선 형성예를 설명한다. 도 1a에 나타내는 바와 같이, 반도체 소자를 형성한 반도체 기재(1)상의 도전층(1a)의 위에, 예를 들면 SiO2로 이루어지는 산화막이나 low-k 재막 등의 절연막 (층간 절연막)(2)을 퇴적하여, 이 절연막(2)의 내부에 예를 들면 리소그래피·에칭기술에 의하여 배선용 미세 오목부로서의 비어홀(3)과 트렌치(4)를 형성하고, 그 위에 TaN 등으로 이루어지는 배리어층(5), 다시 그 위에 전해도금의 급전층으로서의 시드층(6)을 스퍼터링 등에 의하여 형성한다.1A to 1D, a description will be given of an example of copper wiring formation in a semiconductor device. As shown in Fig. 1A, an insulating film (interlayer insulating film) 2 such as an oxide film made of SiO 2 or a low-k re-film is formed on the conductive layer 1a on the semiconductor substrate 1 on which the semiconductor element is formed. The via layer 3 and the trench 4 as fine concave portions for wiring are formed in the inside of the insulating film 2 by, for example, lithography and etching techniques, and the barrier layer 5 made of TaN or the like thereon; The seed layer 6 as a feed layer of electroplating is formed thereon again by sputtering or the like.

그리고 도 1b에 나타내는 바와 같이, 기판(W)의 표면에 구리도금을 실시함으로써 기판(W)의 비어홀(3) 및 트렌치(4) 내에 구리를 충전시킴과 동시에, 절연막(2)상에 구리층(7)을 퇴적시킨다. 그후, 화학기계적 연마(CMP) 등에 의하여 절연막(2)상의 배리어층(5), 시드층(6) 및 구리층(7)을 제거하여, 비어홀(3) 및 트렌치(4) 내에 충전시킨 구리층(7)의 표면과 절연막(2)의 표면을 대략 동일 평면으로 한다. 이에 의하여 도 1c에 나타내는 바와 같이, 절연막(2)의 내부에 시드층(6)과 구리층(7)으로 이루어지는 배선(구리배선)(8)을 형성한다. As shown in FIG. 1B, copper is plated on the surface of the substrate W to fill copper in the via hole 3 and the trench 4 of the substrate W, and at the same time, a copper layer on the insulating film 2. (7) is deposited. Thereafter, the barrier layer 5, the seed layer 6, and the copper layer 7 on the insulating film 2 are removed by chemical mechanical polishing (CMP) or the like, and the copper layer filled in the via hole 3 and the trench 4 is filled. The surface of (7) and the surface of the insulating film 2 are made substantially coplanar. Thereby, as shown in FIG. 1C, the wiring (copper wiring) 8 which consists of the seed layer 6 and the copper layer 7 is formed in the inside of the insulating film 2. As shown in FIG.

다음에, 도 1d에 나타내는 바와 같이 기판(W)의 표면에 무전해 도금을 실시하고, 배선(8)의 표면에, Co 합금이나 Ni 합금 등으로 이루어지는 보호막(9)을 선택적으로 형성하여, 이것에 의하여 배선(8)의 표면을 보호막(9)으로 덮어 보호한다. Next, as shown in FIG. 1D, electroless plating is performed on the surface of the substrate W, and a protective film 9 made of Co alloy, Ni alloy, or the like is selectively formed on the surface of the wiring 8, The surface of the wiring 8 is covered with the protective film 9 to protect it.

도 2는 본 발명의 실시형태에 있어서의 도금장치를 구비한 기판처리장치의 평면도를 나타낸다. 도 2에 나타내는 바와 같이, 이 기판처리장치는 예를 들면 수납박스 등의 내부에 다수의 반도체 웨이퍼 등의 기판을 수납한 반송 박스(10)를 착탈 자유롭게 직사각형상의 장치 프레임(12)을 구비하고 있다. 이 장치 프레임(12)의 내부에는 로드·언로드 스테이션(14)과, 이 로드·언로드 스테이션(14)과의 사이에서 기판을 주고 받는 주행 자유로운 반송로봇(16)이 구비되어 있다. 그리고 반송로봇(16)을 사이에 두고 상기 반송로봇(16)의 양측에는 1쌍의 도금장치(18)가 배치되고, 또한 반송 로봇(16)을 사이에 두고 한쪽에는 세정·건조장치(20), 베벨 에칭·이면 세정장치(22) 및 막두께 측정기(24)가 직렬로 배치되고, 다른쪽에는 열처리(어닐링)장치(26), 전처리장치(28), 무전해 도금장치(30) 및 연마장치(32)가 직렬로 배치되어 있다. 2 is a plan view of a substrate processing apparatus including a plating apparatus in an embodiment of the present invention. As shown in FIG. 2, this substrate processing apparatus is provided with the rectangular-shaped apparatus frame 12 freely detachable, for example by carrying the conveyance box 10 which accommodated the board | substrate, such as many semiconductor wafers, in the inside of a storage box. . Inside the device frame 12, a load-unloading station 14 is provided with a transport robot 16 that can freely move a substrate between the load-unload station 14 and the load-unload station 14. A pair of plating apparatuses 18 are disposed on both sides of the transfer robot 16 with the transfer robot 16 interposed therebetween, and the cleaning and drying apparatus 20 at one side with the transfer robot 16 interposed therebetween. , Bevel etching and back surface cleaning device 22 and film thickness meter 24 are arranged in series, and on the other side, heat treatment (annealing) device 26, pretreatment device 28, electroless plating device 30 and polishing The devices 32 are arranged in series.

여기서 장치 프레임(12)에는 차광처리가 실시되고, 이것에 의하여 이 장치 프레임(12) 내에서의 이하의 각 공정을 차광상태에서, 즉 배선에 조명광 등의 빛이 닿는 일 없이 행할 수 있게 되어 있다. 이와 같이 배선에 빛이 닿는 것을 방지함으로써, 예를 들면 구리로 이루어지는 배선에 빛이 닿아 광전위차가 생기고, 이 광전위차에 의하여 배선이 부식되는 것을 방지할 수 있다. Here, the device frame 12 is subjected to light shielding treatment, whereby the following steps in the device frame 12 can be performed in a light shielding state, that is, without light such as illumination light coming into the wiring. . By preventing light from reaching the wiring in this manner, for example, light can come into contact with the wiring made of copper, whereby a photoelectric potential difference can be prevented, and the wiring can be prevented from being corroded by the photoelectric potential difference.

도 3은 본 발명의 실시형태에 있어서의 도금장치의 개요를 나타낸다. 도 3에 나타내는 바와 같이, 도금장치는 수평방향으로 요동 자유로운 요동암(500)을 구비하고, 이 요동암(500)의 앞쪽 끝부분에 전극 헤드(502)가 회전 자유롭게 지지되어 있다. 한편, 전극 헤드(502)의 아래쪽에 위치하여, 표면(피도금면)을 상향으로 하여 기판(W)을 유지하는 기판 스테이지(504)가 상하이동 자유롭게 배치되고, 이 기판 스테이지(504)의 윗쪽에는 상기 기판 스테이지(504)의 둘레 가장자리부를 둘러 싸도록 캐소드부(506)가 배치되어 있다. 또한 이 예에서는 전극 헤드(502)로서, 그 지름이 기판 스테이지(504)의 지름보다 약간 작은 지름을 가지는 것을 사용하여, 전극 헤드(502)와 기판 스테이지(504)와의 상대위치를 변화시키는 일 없이, 기판 스테이지(504)로 유지한 기판(W)의 표면(피도금 면)의 대략 전면에 걸쳐 도금을 행할 수 있 게 한 예를 나타내고 있다. 3 shows an outline of a plating apparatus in an embodiment of the present invention. As shown in FIG. 3, the plating apparatus is provided with the rocking arm 500 rocking | swinging freely in the horizontal direction, The electrode head 502 is rotatably supported by the front end of this rocking arm 500. As shown in FIG. On the other hand, the substrate stage 504 which is located below the electrode head 502 and holds the substrate W with the surface (plated surface) upward is freely disposed freely, and above the substrate stage 504. The cathode portion 506 is disposed at the periphery of the substrate stage 504. In this example, the electrode head 502 has a diameter slightly smaller than the diameter of the substrate stage 504, without changing the relative position between the electrode head 502 and the substrate stage 504. An example is shown in which plating can be performed over approximately the entire surface of the surface (plated surface) of the substrate W held by the substrate stage 504.

기판 스테이지(504)의 상면의 둘레 가장자리부에는, 내부에 설치한 진공통로(504a)와 연통되는 링형상의 진공흡착 홈(504b)이 설치되고, 이 진공흡착 홈(504b)을 사이에 둔 내외의 양쪽에, 시일링(508, 510)이 장착되어 있다. 또한 기판 스테이지(504)의 상면의 안쪽에 위치하는 시일링(508)의 안쪽에는, 가압용 오목부(504c)가 설치되고, 이 가압용 오목부(504c)는, 기판 스테이지(504)의 내부를 연장하는 가압유체 통로(504d)와 연통되어 있다. In the peripheral portion of the upper surface of the substrate stage 504, a ring-shaped vacuum suction groove 504b communicating with the vacuum passage 504a provided therein is provided, and the inside and the outside of the vacuum suction groove 504b are interposed therebetween. On both sides of the seal rings 508 and 510 are mounted. In addition, a pressing recess 504c is provided inside the sealing ring 508 located inside the upper surface of the substrate stage 504, and the pressing recess 504c is inside the substrate stage 504. It is in communication with a pressurized fluid passage 504d which extends.

이에 의하여 기판 스테이지(504)의 상면에 기판(W)을 탑재하고, 진공통로(504a)를 거쳐 진공흡착 홈(504b) 내를 진공흡인함으로써, 기판(W)을 그 둘레 가장자리부를 흡착하여 유지하고, 다시 가압유체 통로(504d)를 거쳐 가압용 오목부(504c) 내에 가압공기 등의 가압유체를 공급하여 기판(W)을 그 이면측으로부터 압력 P5 으로 가압함으로써, 기판(W)을 더욱 수평한 상태로 유지하여, 하기와 같이 다공질체(528)의 하면에 밀착할 수 있게 되어 있다.Thereby, the substrate W is mounted on the upper surface of the substrate stage 504, and the inside of the vacuum suction groove 504b is vacuum sucked through the vacuum passage 504a, whereby the substrate W is adsorbed and held at its peripheral edge portion. The substrate W is further horizontally supplied by supplying a pressurized fluid such as pressurized air through the pressurized fluid passage 504d and pressurizing the substrate W to the pressure P 5 from the back side thereof. It keeps in the state and can adhere to the lower surface of the porous body 528 as follows.

또한, 도시 생략하나, 기판 스테이지(504)에는 기판 스테이지(504)의 온도를 일정하게 제어하는 가열장치(히터)가 내장되어 있다. 또 기판 스테이지(504)는, 도시 생략한 에어 실린더(도시 생략)에 의하여 상하이동하고, 도시 생략한 회전모터 및 벨트를 거쳐, 임의의 가속도 및 속도로 캐소드부(506)와 일체로 회전하도록 구성되어 있다. 이때의 회전 토오크는, 도시 생략한 토오크 센서로 검지된다. 그리고 기판 스테이지(504)가 상승하였을 때에, 기판 스테이지(504)로 유지된 기판(W)의 둘레 가장자리부에 하기의 캐소드부(506)의 시일재(514)와 캐소드전극(512)이 맞닿게 되어 있다. Although not shown, the substrate stage 504 has a built-in heater (heater) for controlling the temperature of the substrate stage 504 constantly. In addition, the substrate stage 504 is configured to move integrally with the cathode portion 506 at an arbitrary acceleration and speed through an unillustrated air cylinder (not shown) and through a rotating motor and a belt not shown. It is. The rotation torque at this time is detected by the torque sensor not shown. When the substrate stage 504 is raised, the sealing member 514 of the cathode portion 506 and the cathode electrode 512 abut on the peripheral edge portion of the substrate W held by the substrate stage 504. It is.

요동암(500)은, 도시 생략한 서보모터로 이루어지는 상하이동 모터와 볼나사를 거쳐 상하이동하고, 도시 생략한 선회모터를 거쳐, 선회(요동)하게 되어 있으나, 공기압 엑츄에이터를 사용하여도 좋다. The swing arm 500 is pivoted through a shanghai motor and a ball screw made of a servomotor (not shown), and swings (swings) through a swing motor not shown. However, a pneumatic actuator may be used.

상기 캐소드부(506)는, 이 예에서는 6분할된 캐소드전극(512)과, 이 캐소드전극(512)의 윗쪽을 덮도록 설치한 고리형상의 시일재(514)를 가지고 있다. 시일재(514)는 그 안 둘레 가장자리부가 안쪽을 향하여 아래쪽으로 경사지고, 또한 서서히 두께가 얇아져 안 둘레 끝부가 아래쪽으로 늘어지게 구성되어 있다. The cathode portion 506 includes a cathode electrode 512 divided into six parts in this example, and an annular sealing material 514 provided to cover the upper portion of the cathode electrode 512. The sealing member 514 is configured such that its inner circumferential edge is inclined downward toward the inside, and is gradually thinned so that the inner circumferential edge thereof sags downward.

이에 의하여 기판 스테이지(504)가 상승하였을 때에, 이 기판 스테이지(504)로 유지한 기판(W)의 둘레 가장자리부에 캐소드전극(512)이 가압되어 통전되고, 동시에 시일재(514)의 안 둘레 끝부가 기판(W)의 둘레 가장자리부 상면에 압접되어 여기를 수밀적으로 시일하여 기판의 상면(피도금면)에 공급된 도금액이 기판(W)의 끝부로부터 새어 나오는 것을 방지함과 동시에, 도금액이 캐소드전극(512)을 오염하는 것을 방지한다. As a result, when the substrate stage 504 rises, the cathode electrode 512 is energized by energizing the peripheral edge of the substrate W held by the substrate stage 504, and at the same time, the inner circumference of the sealing material 514. The end portion is pressed against the upper surface of the periphery of the substrate W to seal it tightly, thereby preventing the plating liquid supplied to the upper surface (plated surface) of the substrate from leaking out from the end of the substrate W, and at the same time, Contamination of the cathode electrode 512 is prevented.

또한 본 예에 있어서, 캐소드부(506)는 상하이동 불가능하고 기판 스테이지(504)와 일체로 회전하게 되어 있으나, 상하이동 자유롭고, 하강하였을 때에 시일재(514)가 기판(W)의 피도금면에 압접되게 구성하여도 좋다. In addition, in the present example, the cathode portion 506 is not movable and is integrally rotated with the substrate stage 504. However, the cathode portion 506 is free to move and the sealing member 514 is the plated surface of the substrate W when it is lowered. It may be configured to be pressed against.

상기 전극 헤드(502)는, 모두 아래쪽으로 개구된 바닥이 있는 원통형상이고, 동심형상으로 배치한 회전 하우징(520)과 상하이동 하우징(522)을 가지고 있다. 그리고 회전 하우징(520)은 요동암(500)의 자유단에 설치한 회전체(524)의 하면에 고착되어 상기 회전체(524)와 일체로 회전하도록 구성되어 있다. 한편, 상하이동 하우징(522)은, 그 상부에 있어서 회전 하우징(520)의 내부에 위치하여, 상기 회전 하우징(520)과 일체로 회전하여, 상대적으로 상하이동하도록 구성되어 있다. 상하이동 하우징(522)은, 하단 개구부를 다공질체(528)로 폐쇄함으로써, 내부에 원판형상의 애노드(526)를 배치하여 상기 애노드(526)를 침지시키는 도금액(Q)을 도입하는 애노드실(530)을 구획 형성하고 있다. The electrode head 502 has a cylindrical shape with a bottom opening all downward, and has a rotating housing 520 and a shandong housing 522 arranged concentrically. The rotating housing 520 is fixed to the lower surface of the rotating body 524 installed at the free end of the rocking arm 500 and is configured to rotate integrally with the rotating body 524. On the other hand, the shanghai-dong housing 522 is located inside the rotary housing 520 in the upper part, rotates integrally with the said rotary housing 520, and is comprised so that it may move relatively relatively. The shanghai-dong housing 522 has an anode chamber for introducing a plating solution Q for immersing the anode 526 by disposing the disk-shaped anode 526 therein by closing the lower end opening with the porous body 528 ( 530 is partitioned.

이 다공질체(528)는 본 예에서는 다공질재를 3층으로 적층한 다층 구조로 되어 있다. 즉, 다공질체(528)는 주로 도금액을 유지하는 역활을 하는 도금액 함침재(532)와, 이 도금액 함침재(532)의 하면에 설치된 다공질 패드(534)로 구성되고, 이 다공질 패드(534)는, 기판(W)에 직접 접촉하는 하층 패드(534a)와, 이 하층 패드(534a)와 도금액 함침재(532)와의 사이에 장착되는 상층 패드(534b)로 구성되어 있다. 그리고 도금액 함침재(532)와 상층 패드(534b)는, 상하이동 하우징(522)의 내부에 위치하고, 하층 패드(534a)로 상하이동 하우징(522)의 하단 개구부를 폐쇄하도록 되어 있다. In this example, the porous body 528 has a multilayer structure in which porous materials are laminated in three layers. That is, the porous body 528 is mainly composed of a plating liquid impregnation material 532 which plays a role of holding the plating liquid, and a porous pad 534 provided on the lower surface of the plating liquid impregnation material 532, and the porous pad 534 is used. Is composed of a lower pad 534a in direct contact with the substrate W, and an upper pad 534b mounted between the lower pad 534a and the plating liquid impregnation material 532. The plating liquid impregnation material 532 and the upper pad 534b are located inside the shandong housing 522, and the lower opening pad 534a is used to close the lower end opening of the shandong housing 522.

이와 같이 다공질체(528)를 다층 구조로 함으로써 예를 들면 기판과 접촉하는 다공질 패드(534)[하층 패드(534a)]로서, 기판의 피도금면상의 요철면을 평탄화하는 데 충분한 평탄성을 가지는 것을 사용하는 것이 가능하게 된다. Thus, by making the porous body 528 into a multilayer structure, for example, the porous pad 534 (lower layer pad 534a) in contact with the substrate has sufficient flatness to planarize the uneven surface on the plated surface of the substrate. It becomes possible to use.

이 하층 패드(534a)는, 기판(W)의 표면(피도금면)과 접촉하는 면(표면)의 평탄성이 어느 정도 높아, 도금액이 통과할 수 있는 미세 관통구멍을 가지고, 적어도 접촉면이 절연물 또는 절연성이 높은 물질로 형성되어 있는 것이 필요하다. 이 하층 패드(534a)에 요구되는 평탄성은, 예를 들면 최대 거칠기(RMS)가 수십 ㎛ 이하 정도이다. The lower layer pad 534a has a high degree of flatness of the surface (surface) in contact with the surface (plated surface) of the substrate W, has a fine through hole through which the plating liquid can pass, and at least the contact surface is an insulator or It is necessary to be formed of a highly insulating material. The flatness required for the lower pad 534a is, for example, about tens of micrometers or less in the maximum roughness RMS.

또, 하층 패드(534a)에 요구되는 미세 관통구멍은, 접촉면에서의 평탄성을 유지하기 위하여 둥근 구멍의 관통구멍이 바람직하고, 또한 미세 관통구멍의 구멍지름이나 단위 면적당의 갯수 등은 도금하는 막질이나 배선 패턴에 따라 최적값이 다르나, 양자 모두 작은 쪽이 오목부 내에 있어서의 도금성장의 선택성을 향상시키는 데에 있어서 바람직하다. 구체적인 미세 관통구멍의 구멍지름이나 단위 면적당의 갯수로서는, 예를 들면 구멍지름 30㎛ 이하, 바람직하게는 5 ∼ 20㎛의 미소 관통구멍이, 기공율로 50%, 이하의 상태로 존재하면 좋다. Further, the fine through hole required for the lower pad 534a is preferably a through hole of a round hole in order to maintain flatness at the contact surface, and the hole diameter of the fine through hole, the number per unit area, and the like are plated with Although the optimum value differs depending on the wiring pattern, the smaller one is preferable in improving the selectivity of the plating growth in the recess. As a specific hole diameter of the fine through-hole and the number per unit area, for example, a micro through-hole having a pore diameter of 30 μm or less, preferably 5 to 20 μm, may be present at a porosity of 50% or less.

또한, 하층 패드(534a)는, 어느 정도 견고한 것이 바람직하고, 예를 들면 그 인장강도가 5 ∼ 100 kg/㎠, 굽힘 탄성강도가 200 ~ 10000 kg/㎠ 정도이면 좋다.In addition, it is preferable that the lower layer pad 534a is somewhat firm, for example, its tensile strength is 5 to 100 kg / cm 2 and the bending elastic strength is about 200 to 10000 kg / cm 2.

이 하층 패드(534a)는, 또한 친수성의 재료인 것이 바람직하고, 예를 들면 하기에 나타내는 재료에 대하여 친수화처리 또는 친수기를 중합시킨 것이 사용된다. 이와 같은 재료의 예로서는, 다공 폴리에틸렌(PE), 다공 폴리프로필렌(PP), 다공 폴리아미드, 다공 폴리카보네이트 또는 다공 폴리이미드 등을 들 수 있다. 이중, 다공 폴리에틸렌, 다공 폴리프로필렌, 다공 폴리아미드 등은, 초고분자의 PE, PP, 폴리아미드 등의 미세한 가루를 원료로 하고, 이것을 눌러서 굳혀, 소결 성형함으로써 조제한 것이고, 플다스 S[미츠비시수지(주)제], 산파인 UF, 산파인 AQ[모두 아사히카세이(주)제], Spacy(스페이시케미컬사제) 등의 상품명으로 시판되고 있다. 또 다공 폴리카보네이트는, 예를 들면 폴리카보네이트필름에 엑셀레이터로 가속한 높은 에너지의 중금속(구리 등)을 관통시키고, 이에 의하여 생성되는 직선상의 트랙(궤적)을 선택적으로 에칭함으로써 조제되는 것이다. It is preferable that this lower layer pad 534a is a hydrophilic material, for example, the thing which polymerized the hydrophilization process or a hydrophilic group with respect to the material shown below is used. Examples of such a material include porous polyethylene (PE), porous polypropylene (PP), porous polyamide, porous polycarbonate, and porous polyimide. Of these, porous polyethylene, porous polypropylene, porous polyamide, and the like are made of fine powders such as PE, PP, and polyamide of ultra high molecular weight as raw materials, and are prepared by pressing and hardening them, followed by sintering molding, and Pallas S [Mitsubishi Resin ( Ltd.], midwife UF, midwife AQ (all manufactured by Asahi Kasei Co., Ltd.), and Spacy (manufactured by Space Chemical Co., Ltd.). The porous polycarbonate is prepared by, for example, penetrating a polycarbonate film through a high energy heavy metal (copper or the like) accelerated by an accelerator, and selectively etching a linear track (track) generated thereby.

하층 패드(534a)는, 기판(W)의 표면과 접촉하는 면(표면)을 압축가공, 기계가공 등에 의하여 평탄화 가공한 것이어도 좋고, 이에 의하여 미소 홈에서의 보다 높은 우선 석출을 기대할 수 있다. The lower layer pad 534a may be planarized by compression processing, machining, or the like in contact with the surface of the substrate W, whereby higher priority deposition in the micro grooves can be expected.

한편, 도금액 함침재(532)는, 알루미나, SiC, 뮬라이트, 지르코니아, 티타니아, 코지라이트 등의 다공질 세라믹스 또는 폴리프로필렌이나 폴리에틸렌의 소결체 등의 경질 다공질체, 또는 이들의 복합체, 나아가서는 직포나 부직포로 구성된다. 예를 들면 알루미나계 세라믹스에 있어서는, 포어지름 30 ∼ 200㎛, SiC에 있어서는 포어지름 30㎛ 이하, 기공율 20 ∼ 95%, 두께 1 ∼ 20 mm, 바람직하게는 5 ∼ 20 mm, 더욱 바람직하게는 8 ∼15 mm 정도의 것이 사용된다. 이 예에서는 예를 들면 기공율 30%, 평균 포어지름 100㎛으로 알루미나제의 다공질 세라믹스 판으로 구성되어 있다. 그리고 이 내부에 도금액을 함유시킴으로써, 즉 다공질 세라믹스 판 자체는 절연체이나, 이 내부로 도금액을 복잡하게 들어가게 하여 두께방향으로 상당히 긴 경로를 더듬어 가게 함으로써 도금액의 전기 전도율보다 작은 전기 전도율을 가지도록 구성되어 있다. On the other hand, the plating liquid impregnating material 532 is a porous ceramics such as alumina, SiC, mullite, zirconia, titania, kojilite, or a rigid porous body such as a sintered body of polypropylene or polyethylene, or a composite thereof, or even a woven or nonwoven fabric. It is composed. For example, in alumina-based ceramics, pore diameter of 30 to 200 m, pore diameter of 30 m or less in SiC, porosity of 20 to 95%, thickness of 1 to 20 mm, preferably 5 to 20 mm, more preferably 8 About 15 mm is used. In this example, it is comprised by the porous ceramic plate made from an alumina, for example with a porosity of 30% and an average pore diameter of 100 micrometers. In addition, by containing a plating liquid therein, that is, the porous ceramic plate itself is configured to have an electrical conductivity smaller than that of the plating liquid by insulator or by allowing the plating liquid to enter the inside in a complicated manner and to follow a considerably long path in the thickness direction. have.

이와 같이 도금액 함침재(532)를 애노드실(530)내에 배치하고, 이 도금액 함침재(532)에 의하여 큰 저항을 발생시킴으로써 시드층(6)(도 1a 참조)의 저항의 영향을 무시할 수 있을 정도로 하고, 기판(W) 표면의 전기저항에 의한 전류밀도의 면내 차를 작게 하여 도금막의 면내 균일성을 향상시킬 수 있다. Thus, by placing the plating liquid impregnation material 532 in the anode chamber 530 and generating a large resistance by the plating liquid impregnation material 532, it is possible to ignore the influence of the resistance of the seed layer 6 (see FIG. 1A). The in-plane difference of the current density by the electrical resistance of the surface of the board | substrate W can be made small, and the in-plane uniformity of a plating film can be improved.

전극 헤드(502)에는 기판 스테이지(504)로 유지한 기판(W)의 표면(피도금면) 에 하층 패드(534a)를 임의의 압력으로 가압하여 상기 표면으로부터 이간시키는, 본 예에서는 3개의 에어백을 가지는 가압 이간기구가 구비되어 있다. 즉, 본 예에서는 회전 하우징(520)의 천정벽의 하면과 상하이동 하우징(522)의 천정벽의 상면과의 사이에, 링형상의 제 1 에어백(540)이 배치되고, 상하이동 하우징(522)의 내부의 상기 상하이동 하우징(522)의 천정벽의 하면과 애노드(526) 상면과의 사이에, 링형상의 제2 에어백(542)이 배치되어 있다. 또한 상하이동 하우징(522)의 중앙부에는 윗쪽으로 돌출하여 회전 하우징(520)의 윗쪽에 도달하는 바닥이 있는 원통체(544)가 연접되고, 이 바닥이 있는 원통체(544)의 천정벽의 하면과 회전 하우징(520)의 천정벽의 상면과의 사이에, 원형상의 제 3 에어백(546)이 배치되어 있다. 또한 이들 에어백(540, 542, 546)은, 가압유체 도입관(550, 552, 554)을 거쳐, 가압유체 공급원(도시 생략) 에 접속되어 있다. 이들 에어백(540, 542, 546)에 의하여 가압 이간기구가 구성되어 있다. In the present example, three airbags are applied to the electrode head 502 by pressing the lower layer pad 534a at an arbitrary pressure on the surface (plating surface) of the substrate W held by the substrate stage 504. It is provided with a pressure separating mechanism having a. That is, in this example, the ring-shaped first airbag 540 is disposed between the lower surface of the ceiling wall of the rotary housing 520 and the upper surface of the ceiling wall of the shandong housing 522, and the shandong housing 522. A ring-shaped second airbag 542 is disposed between the lower surface of the ceiling wall of the shanghai-dong housing 522 and the upper surface of the anode 526 inside the crankcase. In addition, a bottomed cylindrical body 544 protrudes upward from the central portion of the shandong housing 522 to reach the top of the rotary housing 520, and a lower surface of the ceiling wall of the bottomed cylindrical body 544. The circular third airbag 546 is disposed between the upper surface of the ceiling wall of the rotary housing 520. These airbags 540, 542, 546 are connected to a pressurized fluid supply source (not shown) via the pressurized fluid introduction pipes 550, 552, 554. These airbags 540, 542, 546 constitute a pressurized separation mechanism.

즉, 요동암(500)을 소정의 위치(프로세스 위치)에 상하이동 불가능하게 고정한 상태에서 도 3에 나타내는 바와 같이, 제 1 에어백(540)의 내부를 압력 P1로, 제 2 에어백(542)의 내부를 압력 P2로, 제 3 에어백(546)의 내부를 압력 P4로 각각 가압 함으로써, 기판 스테이지(504)로 유지한 기판(W)의 표면(피도금면)에 하층 패드(534a)를 임의의 압력으로 가압한다. 그리고 상기 압력(P1, P2, P4)을 대기압으로 되돌림으로써 하층 패드(534a)를 기판(W)의 표면으로부터 이간시킨다. 이에 의하여 제 1 에어백(540) 및 제 3 에어백(546)을 거쳐 상하이동 하우징(522)을 그 수평방향의 전면에 걸쳐 더욱 균일하게 가압하고, 또 제 2 에어백(542)을 거쳐, 애노드실(530)내의 애노드(526)를 그 전면에 걸쳐 더욱 균일하게 가압하여 하층 패드(534a)를 그 전면에 걸쳐 더욱 균일하게 기판 스테이지(504)로 유지한 기판(W)의 전면에 밀착시킬 수 있다.That is, in the state in which the rocking arm 500 is not fixed to the predetermined position (process position), as shown in FIG. 3, the inside of the first airbag 540 is pressure P 1 and the second airbag 542. The lower layer pad 534a is applied to the surface (plated surface) of the substrate W held by the substrate stage 504 by pressurizing the inside of the substrate at a pressure P 2 and the inside of the third airbag 546 at a pressure P 4 , respectively. Press at any pressure. The lower pad 534a is separated from the surface of the substrate W by returning the pressures P 1 , P 2 , and P 4 to atmospheric pressure. Thereby, through the first airbag 540 and the third airbag 546, the shandong copper housing 522 is pressed evenly over the entire horizontal direction thereof, and also via the second airbag 542, the anode chamber ( The anode 526 in 530 can be pressed more evenly over its entire surface to bring the lower layer pad 534a into close contact with the front surface of the substrate W holding the substrate stage 504 more uniformly over its entire surface.

상하이동 하우징(522)에는 이 내부에 도금액을 도입하는 도금액도입관(556)과, 가압유체를 도입하는 가압유체 도입관(558)이 설치되어 있고, 애노드(526)의 내부에는 다수의 가는 구멍(526a)이 설치되어 있다. 이에 의하여 도금액(Q)은, 도금액도입관(556)으로부터 애노드실(530)내로 도입되고, 애노드실(530)의 내부를 압력 P3으로 가압함으로써, 애노드(526)의 가는 구멍(526a) 내를 통과하여 도금액 함침재(532)의 상면에 도달하고, 이 내부로부터 다공질 패드(534)[상층 패드(534b) 및 하층 패드(534a)]의 내부를 통과하여, 기판 스테이지(504)로 유지한 기판(W)의 상면에 도달한다.The shanghai-dong housing 522 is provided with a plating liquid introduction pipe 556 for introducing a plating liquid therein and a pressurized fluid introduction tube 558 for introducing a pressurized fluid, and a plurality of fine holes in the anode 526. 526a is provided. As a result, the plating liquid Q is introduced into the anode chamber 530 from the plating liquid introducing pipe 556, and pressurizes the inside of the anode chamber 530 with a pressure P 3 to thereby form a thin hole 526a of the anode 526. Passed through to reach the upper surface of the plating liquid impregnating material 532, passed through the interior of the porous pad 534 (upper pad 534b and lower pad 534a) and held by the substrate stage 504. The upper surface of the substrate W is reached.

또한 애노드실(530)의 내부는, 화학반응에 의하여 발생하는 가스도 포함하고, 이 때문에 압력이 변화되는 경우가 있다. 따라서 애노드실(530)내의 압력 P3은, 프로세스 중의 피드백제어에 의하여 소정의 설정값으로 제어되게 되어 있다.In addition, the inside of the anode chamber 530 also includes a gas generated by a chemical reaction, so that the pressure may change. Therefore, the pressure P 3 in the anode chamber 530 is controlled to a predetermined set value by feedback control in the process.

여기서, 애노드(526)는 예를 들면 구리도금을 행하는 경우에 있어서는, 슬라임의 생성을 억제하기 위하여, 함유량이 0.03 ∼ 0.05%의 인을 함유하는 구리(인함유 구리)로 구성되어 있다. 애노드(526)는 백금, 티탄 등의 불용해성 금속 또는 금속상에 백금 등을 도금한 불용해성 전극이어도 좋고, 교환 등이 불필요하기 때문에 불용해성 금속 또는 불용해성 전극인 것이 바람직하다. 또한 도금액의 유통의 용이성 등으로 부터 망형상이어도 좋다. Here, the anode 526 is made of copper (phosphorus-containing copper) containing 0.03 to 0.05% of phosphorus in order to suppress the formation of slime in the case of performing copper plating, for example. The anode 526 may be an insoluble metal such as platinum or titanium, or an insoluble electrode in which platinum or the like is plated on a metal. The anode 526 is preferably an insoluble metal or an insoluble electrode because replacement is unnecessary. In addition, it may be a mesh shape from the ease of distribution of a plating liquid.

캐소드전극(512)은 도금 전원(560)의 음극에, 애노드(526)는 도금 전원(560)의 양극에 각각 전기적으로 접속된다. 상하이동 하우징(522)에는 도금 전원(560)에 접속되어 애노드(526)에 급전하기 위한 급전 포트(562)가 설치되어 있다. The cathode electrode 512 is electrically connected to the cathode of the plating power supply 560, and the anode 526 is electrically connected to the anode of the plating power supply 560, respectively. The shanghai-dong housing 522 is provided with a feed port 562 connected to the plating power supply 560 to feed the anode 526.

다음에, 이 도금장치(18)로 도금을 행할 때의 조작에 대하여 도 4를 참조하여 더욱 설명한다. Next, the operation at the time of plating with this plating apparatus 18 is further demonstrated with reference to FIG.

먼저, 기판 스테이지(504)의 상면에 기판(W)을 흡착 유지한 상태에서 기판 스테이지(504)를 상승시켜 기판(W)의 둘레 가장자리부를 캐소드전극(512)에 접촉시켜 통전 가능한 상태로 하고, 다시 상승시켜 기판(W)의 둘레 가장자리부 상면에 시일재(514)를 압접시켜, 기판(W)의 둘레 자장자리부를 시일재(514)로 수밀적으로 시일한다. First, the substrate stage 504 is raised while the substrate W is adsorbed and held on the upper surface of the substrate stage 504, and the peripheral edge portion of the substrate W is brought into contact with the cathode electrode 512 to enable energization. The sealing member 514 is brought up again to be pressed against the upper surface of the peripheral edge portion of the substrate W, and the peripheral magnetic field portion of the substrate W is hermetically sealed with the sealing member 514.

한편, 전극 헤드(502)에 있어서는, 아이들링을 행하여 도금액의 치환 및 기포뽑기 등을 행하고 있는 위치(아이들링 위치)로부터, 도금액(Q)을 내부에 유지한 상태에서 소정의 위치(프로세스 위치)에 위치시킨다. 즉, 요동암(500)을 일단 상승시키고, 다시 선회시킴으로써 전극 헤드(502)를 기판 스테이지(504)의 바로 윗쪽 위치에 위치시키고, 그런 다음에 하강시켜 소정의 위치(프로세스 위치)에 도달하였을 때에 정지시킨다. 그리고 애노드실(530)내를 압력 P3으로 가압하여 전극 헤드(502)로 유지한 도금액(Q)을 다공질 패드(534)의 하면으로부터 토출시킨다.On the other hand, in the electrode head 502, it is located in a predetermined position (process position) in the state which held plating liquid Q inside from the position (idling position) where idling is performed, replacement of a plating liquid, bubble extraction, etc. is performed. Let's do it. That is, when the rocking arm 500 is once raised and then turned again, the electrode head 502 is positioned at the position immediately above the substrate stage 504, and then lowered to reach a predetermined position (process position). Stop it. Then, the inside of the anode chamber 530 is pressurized with a pressure P 3 to discharge the plating liquid Q held by the electrode head 502 from the lower surface of the porous pad 534.

다음에, 에어백(540, 542, 546) 내로 가압공기를 도입하고, 동시에 기판 스테이지(504)의 가압용 오목부(504c) 내에도 가압공기를 도입하여, 이것에 의하여 상하이동 하우징(522)을 하강시키고, 다시 하층 패드(534a)를 아래쪽으로 가압하고, 동시에 기판 스테이지(504)로 유지한 기판도 이 이면측으로부터 가압하여, 하층 패드(534a)를 기판의 표면(피도금면)에 소정의 압력으로 가압한다. 이에 의하여 기판(W)을 더욱 수평한 상태로 유지하고, 또한 기판(W)의 전면에 더욱여 균일한 압력으로 하층 패드(534a)를 가압할 수 있다. Next, pressurized air is introduced into the airbags 540, 542, and 546, and pressurized air is also introduced into the pressurizing recess 504c of the substrate stage 504, whereby the shanghai-dong housing 522 is formed. The lower layer pad 534a is pressed downward, and the substrate held at the substrate stage 504 is also pressed from this back side, and the lower layer pad 534a is applied to the surface (coated surface) of the substrate. Pressurize with pressure. Thereby, the board | substrate W can be hold | maintained more horizontally, and the lower layer pad 534a can be pressurized with a uniform pressure in addition to the front surface of the board | substrate W further.

이 상태에서 전극 헤드(502) 및 기판 스테이지(504)를 회전(자전)시킨다. 이에 의하여 도금에 앞서, 하층 패드(534a)를 기판 스테이지(504)로 유지한 기판(W)의 피도금면에 임의의 압력으로 가압하면서 양자를 상대 이동시킴으로써 하층 패드(534a)와 기판(W)과의 밀착성을 높인다. In this state, the electrode head 502 and the substrate stage 504 are rotated (rotated). Thus, prior to plating, the lower layer pad 534a and the substrate W are relatively moved by pressing the lower layer pad 534a to the plated surface of the substrate W held by the substrate stage 504 at an arbitrary pressure. Improves adhesiveness with

그리고 전극 헤드(502) 및 기판 스테이지(504)의 회전을 정지한 후, 캐소드전극(512)을 도금 전원(560)의 음극에, 애노드(526)를 도금 전원(560)의 양극에 각각 접속하고, 이것에 의하여 기판(W)의 피도금면에 도금을 실시한다. 이와 같이, 하층 패드(534a)를 기판 스테이지(504)로 유지한 기판(W)의 피도금면에 임의의 압력으로 가압하고, 또한 양자의 밀착성을 더욱 높인 상태에서 도금을 행함으로써, 하층 패드(534a)와 기판(W)의 피도금면의 트렌치 등의 배선용 미세 오목부 이외의 부분(패턴부 이외의 부분)과의 사이에 있어서의 간극을 가능한 한 작게 하여, 기판에 설치한 배선용 미세 오목부의 내부에 도금막을 선택적으로 석출시킬 수 있다. After the rotation of the electrode head 502 and the substrate stage 504 is stopped, the cathode 512 is connected to the cathode of the plating power supply 560, and the anode 526 is connected to the anode of the plating power supply 560, respectively. Thereby, plating is performed on the to-be-plated surface of the board | substrate W. FIG. In this way, the lower layer pad 534a is pressurized to a plated surface of the substrate W held by the substrate stage 504 at an arbitrary pressure, and plating is performed in a state where the adhesion between the two is further enhanced. 534a) and the micro recesses for wiring provided on the board | substrate with the clearance gap between parts (parts other than a pattern part), such as trenches of the to-be-plated surface of the board | substrate W, as small as possible, The plating film can be selectively deposited inside.

그리고, 소정시간 도금을 계속한 후, 캐소드전극(512) 및 애노드(526)의 도금 전원(560)과의 접속을 해제함과 동시에, 애노드실(530)내를 대기압으로 되돌리고, 다시 에어백(540, 542, 546) 내를 대기압에 되돌려, 하층 패드(534a)를 기판(W)으로부터 떼어낸다. 이것에 의하여 하층 패드(534a)와 기판(W)과의 사이의 도금액을 리프레시(교체)시킨다. After the plating is continued for a predetermined period of time, the cathode electrode 512 and the anode 526 are disconnected from the plating power supply 560, and the inside of the anode chamber 530 is returned to atmospheric pressure, and the airbag 540 is returned. , 542 and 546 are returned to atmospheric pressure, and the lower pad 534a is removed from the substrate W. As shown in FIG. As a result, the plating liquid between the lower pad 534a and the substrate W is refreshed (replaced).

다음에, 상기와 마찬가지로 에어백(540, 542, 546) 내에 가압유체를 도입하여 하층 패드(534a)를 기판에 소정의 압력으로 가압하고, 다시 애노드실(530)내에도 가압유체를 도입하여, 이 상태에서 전극 헤드(502) 및 기판 스테이지(504)를 회전시키고, 이 회전을 정지시킨 후, 캐소드전극(512) 및 애노드(526)를 도금 전원(560)에 접속하여 도금을 행한다. 이와 같이, 프로세스의 도중에 하층 패드(534a)를 기판 스테이지(504)로 유지한 기판(W)으로부터 떼어 내어, 하층 패드(534a)와 기판(W) 사이의 도금액을 리프레시(교체)시키고, 그런 다음, 다시 도금을 행함으로써 기판에 설치한 배선용 미세 오목부의 내부에 도금막을 선택적으로 효율좋게 석출시킬 수 있다. 또한 하층 패드(534a)를 기판(W)의 피도금면에 가압하는 압력을 임의로 조정함으로써, 기판(W)의 피도금면이나 성막 중의 도금막이 하층 패드(534a)에 의하여 손상을 입는 것을 방지할 수 있다. Next, a pressurized fluid is introduced into the airbags 540, 542, and 546 as described above to press the lower layer pad 534a to a substrate at a predetermined pressure, and a pressurized fluid is introduced into the anode chamber 530 again. After the electrode head 502 and the substrate stage 504 are rotated in this state and the rotation is stopped, the cathode electrode 512 and the anode 526 are connected to the plating power supply 560 to perform plating. In this manner, the lower layer pad 534a is removed from the substrate W held by the substrate stage 504 in the middle of the process to refresh (replace) the plating liquid between the lower layer pad 534a and the substrate W. By plating again, a plating film can be selectively and efficiently deposited inside the fine recess for wiring provided in the board | substrate. Further, by arbitrarily adjusting the pressure for pressing the lower layer pad 534a to the plated surface of the substrate W, the plated surface of the substrate W or the plated film during film formation can be prevented from being damaged by the lower layer pad 534a. Can be.

상기 조작을 필요에 따라 복수회에 걸쳐 반복하고(도 4는, 2회 반복한 상태를 나타내고 있다), 그런 다음에 에어백(540, 542, 546), 기판 스테이지(504)의 가압용오목부(504c), 또한 애노드실(530)을 대기압으로 되돌리고, 요동암(500)을 상승시켜 더욱 선회시켜 원래의 위치(아이들링 위치)로 되돌린다. The operation is repeated as many times as necessary (FIG. 4 shows a state where the operation is repeated twice). Then, the airbags 540, 542, 546, and the presser recesses for the substrate stage 504 ( 504c), and further, the anode chamber 530 is returned to atmospheric pressure, and the swing arm 500 is raised to further swing to return to the original position (idling position).

도 5는, 도금액의 조성이나 액온 등을 관리하여 도금장치에 공급하는 도금액관리 공급시스템을 나타낸다. 도 5에 나타내는 바와 같이 도금장치(18)의 전극 헤드(502)를 침지시켜 아이들링을 행하는 도금액 트레이(600)가 구비되고, 이 도금액 트레이(600)는, 도금액 배출관(602)을 거쳐 리저버(604)에 접속되어 있고, 도금액 배출관(602)을 통과하여 배출된 도금액은, 리저버(604)로 들어 간다. Fig. 5 shows a plating solution management supply system for managing the composition, liquid temperature, and the like of the plating solution and supplying it to the plating apparatus. As shown in FIG. 5, the plating liquid tray 600 which performs idling by immersing the electrode head 502 of the plating apparatus 18 is provided, This plating liquid tray 600 passes through the plating liquid discharge pipe 602, and the reservoir 604 is carried out. ), And the plating liquid discharged through the plating liquid discharge pipe 602 enters the reservoir 604.

그리고, 이 리저버(604)로 들어 간 도금액은, 펌프(606)의 구동에 따라, 도금액 조정탱크(608)로 들어 간다. 이 도금액 조정탱크(608)에는, 온도 제어기(610)나, 샘플액을 인출하여 분석하는 도금액 분석 유닛(612)이 부설되고, 또한 도금액 분석 유닛(612)의 분석에 의하여 부족되는 성분을 보급하는 성분 보급관(614)이 접속되어 있다. 도금액 조정탱크(608) 내의 도금액은, 펌프(616)의 구동에 따라 도금액공급관(618)을 따라 흐르고, 필터(620)를 통과하여 도금액 트레이(600)로 되돌아간다. The plating liquid entered into the reservoir 604 enters the plating liquid adjusting tank 608 in accordance with the driving of the pump 606. The plating liquid adjustment tank 608 is provided with a temperature controller 610 and a plating liquid analysis unit 612 for taking out and analyzing the sample liquid, and supplying a component insufficient by the analysis of the plating liquid analysis unit 612. The component supply pipe 614 is connected. The plating liquid in the plating liquid adjustment tank 608 flows along the plating liquid supply pipe 618 as the pump 616 is driven, and passes through the filter 620 to return to the plating liquid tray 600.

이와 같이 도금액 조정탱크(608)로 도금액의 조성 및 온도를 일정하게 조정하고, 이 조정한 도금액을 도금장치(18)의 전극 헤드(502)에 공급하여, 상기 전극 헤드(502)로 유지함으로써, 도금장치(18)의 전극 헤드(502)에 항상 일정한 조성 및 온도를 가지는 도금액을 공급할 수 있다. The composition and temperature of the plating liquid are constantly adjusted in the plating liquid adjusting tank 608 as described above, and the adjusted plating liquid is supplied to the electrode head 502 of the plating apparatus 18 and held by the electrode head 502. The plating liquid having a constant composition and temperature can be supplied to the electrode head 502 of the plating apparatus 18 at all times.

도 6 및 도 7은, 기판(W)을 세정(린스)하여 건조시키도록 한 세정·건조장치(20)의 일례를 나타낸다. 즉, 이 세정·건조장치(20)는, 먼저 화학세정 및 순수세정(린스)을 행하고, 그후 스핀들회전에 의하여 세정후의 기판(W)을 완전 건조시키도록 한 장치로서, 기판(W)의 에지부를 잡는 클램프기구(420)를 구비한 기판 스테이지(422)와, 이 클램프기구(420)의 개폐를 행하는 기판 착탈용 승강 플레이트(424)를 구비하고 있다. 6 and 7 show an example of the cleaning / drying apparatus 20 in which the substrate W is cleaned (rinsed) and dried. In other words, the cleaning and drying apparatus 20 is a device which performs chemical cleaning and pure water cleaning (rinse) first, and then completely drys the substrate W after cleaning by spindle rotation. The board | substrate stage 422 provided with the clamp mechanism 420 for holding parts, and the board | substrate attachment / detachment lifting plate 424 which open and close this clamp mechanism 420 are provided.

기판 스테이지(422)는, 스핀들회전용 모터(도시 생략)의 구동에 따라 고속회전하는 스핀들(426)의 상단에 연결되어 있다. 또 클램프기구(420)로 잡은 기판(W)의 주위에는 처리액의 비산을 방지하는 세정컵(428)이 배치되어 있고, 이 세정컵(428)은 도시 생략한 실린더의 작동에 따라 상하이동한다.The board | substrate stage 422 is connected to the upper end of the spindle 426 which rotates at high speed by the drive of a spindle rotational motor (not shown). In addition, a cleaning cup 428 is disposed around the substrate W held by the clamp mechanism 420 to prevent scattering of the processing liquid, and the cleaning cup 428 moves in accordance with the operation of a cylinder (not shown). .

또, 세정·건조장치(20)는 클램프기구(420)로 잡은 기판(W)의 표면에 처리액을 공급하는 약액용 노즐(430)과, 기판(W)의 이면에 순수를 공급하는 복수의 순수용 노즐(432)과, 클램프기구(420)로 잡은 기판(W)의 윗쪽에 배치된 회전 가능한 펜실형 세정 스펀지(434)를 구비하고 있다. 이 세정 스펀지(434)는 수평방향으로 요동 가능한 선회암(436)의 자유단에 설치되어 있다. 또한 세정·건조장치(20)의 상부에는 장치 내로 크린에어를 도입하기 위한 크린에어 도입구(438)가 설치되어 있다. Moreover, the washing | cleaning and drying apparatus 20 is a chemical liquid nozzle 430 which supplies a process liquid to the surface of the board | substrate W hold | maintained by the clamp mechanism 420, and the some which supplies pure water to the back surface of the board | substrate W. A pure water nozzle 432 and a rotatable pen-type cleaning sponge 434 disposed above the substrate W held by the clamp mechanism 420 are provided. This cleaning sponge 434 is provided at the free end of the swinging rock 436 which can rock in the horizontal direction. In addition, a clean air inlet 438 for introducing clean air into the apparatus is provided at the upper portion of the cleaning / drying apparatus 20.

이와 같은 구성의 세정·건조장치(20)에 있어서는, 기판(W)을 클램프기구(420)로 잡아 회전시키고, 선회암(436)을 선회시키면서 약액용 노즐(430)로부터 처리액을 세정 스펀지(434)를 향하여 공급하면서 기판(W)의 표면에 세정 스펀지(434)를 문질러 기판(W) 표면의 세정을 행한다. 그리고 순수용 노즐(432)로부터 기판(W)의 이면에 순수가 공급되고, 이 순수용 노즐(432)로부터 분사되는 순수로 기판(W)의 이면도 동시에 세정(린스)된다. 이와 같이 하여 세정된 기판(W)은 스핀들(426)을 고속회전시킴으로써 스핀 건조된다. In the cleaning / drying apparatus 20 having such a structure, the processing liquid is cleaned from the chemical liquid nozzle 430 while the substrate W is held by the clamp mechanism 420 and rotated, and the pivoting rock 436 is rotated. The cleaning sponge 434 is rubbed onto the surface of the substrate W while feeding toward the surface 434, and the surface of the substrate W is cleaned. Pure water is supplied from the pure water nozzle 432 to the back surface of the substrate W, and the back surface of the substrate W is also cleaned (rinsed) simultaneously with pure water injected from the pure water nozzle 432. The substrate W thus cleaned is spin-dried by rotating the spindle 426 at high speed.

도 8에 베벨 에칭·이면 세정장치(22)의 일례를 나타낸다. 이 베벨 에칭·이면 세정장치(22)는, 기판의 에지(베벨)부에 부착된 구리층(7)(도 1B 참조)의 에칭과 이면 세정을 동시에 행하고, 또한 기판 표면에 설치한 회로형성부에 있어서의 구리의 자연 산화막의 성장을 억제하도록 한 것으로, 바닥이 있는 통형상의 방수 커버(920)의 내부에 위치하여 기판(W)을 페이스업으로 그 둘레 가장자리부의 원주방향을 따른 복수부분에서 스핀척(921)에 의하여 수평으로 유지하여 고속 회전시키는 기판 스테이지(922)와, 이 기판 스테이지(922)로 유지된 기판(W)의 표면측의 대략 중앙부 윗쪽에 배치된 센터 노즐(924)과, 기판(W)의 둘레 가장자리부의 윗쪽에 배치된 에지 노즐(926)을 구비하고 있다. 센터 노즐(924) 및 에지 노즐(926)은 각각 하향으로 배치되어 있다. 또 기판(W)의 이면측의 대략 중앙부의 아래쪽에 위치하여, 백노즐(928)이 상향으로 배치되어 있다. 상기 에지 노즐(926)은, 기판(W)의 직경방향 및 높이방향을 이동 자유롭게 구성되어 있다. An example of the bevel etching back surface cleaning apparatus 22 is shown in FIG. This bevel etching and back surface cleaning apparatus 22 performs the etching and back surface cleaning of the copper layer 7 (refer FIG. 1B) adhering to the edge (bevel) part of a board | substrate simultaneously, and is the circuit formation part provided in the board | substrate surface. In order to suppress the growth of the natural oxide film of copper in the present invention, it is located inside the bottomed cylindrical waterproof cover 920, and the substrate W is face-up at a plurality of portions along the circumferential direction of the peripheral edge portion thereof. A substrate stage 922 held horizontally by the spin chuck 920 to rotate at a high speed, a center nozzle 924 disposed substantially above the central portion on the surface side of the substrate W held by the substrate stage 922; And an edge nozzle 926 disposed above the peripheral edge portion of the substrate W. The center nozzle 924 and the edge nozzle 926 are respectively disposed downward. Moreover, the back nozzle 928 is arrange | positioned upward under the substantially center part of the back surface side of the board | substrate W. As shown in FIG. The edge nozzle 926 is configured to move freely in the radial direction and the height direction of the substrate W. As shown in FIG.

이 에지 노즐(926)은, 기판의 바깥 둘레 끝면으로부터 중심부방향을 따른 임의의 위치에 위치결정 가능하게 되어 있고, 그 이동폭(L)은, 기판(W)의 크기나 사용목적 등에 맞추어 임의로 설정된다. 통상, 2 mm 내지 5 mm의 범위에서 에지 커트폭 (C)을 설정하여, 이면으로부터 표면으로의 액의 유입량이 문제가 되지 않는 회전속도 이상이면, 그 설정된 커트폭(C) 내의 구리층 등을 제거할 수 있다. The edge nozzle 926 is capable of positioning at an arbitrary position along the central direction from the outer peripheral end surface of the substrate, and the movement width L is arbitrarily set in accordance with the size and the purpose of use of the substrate W. FIG. do. Usually, the edge cut width C is set within the range of 2 mm to 5 mm, and if the flow rate of the liquid from the back surface to the surface is higher than the rotation speed which is not a problem, the copper layer in the set cut width C or the like is removed. Can be removed.

다음에 이 베벨 에칭·이면 세정장치(22)에 의한 세정방법에 대하여 설명한다. 먼저 스핀척(921)을 거쳐 기판(W)을 기판 스테이지(922)로 수평으로 유지한 상태에서 기판(W)을 기판 스테이지(922)와 일체로 수평 회전시킨다. 이 상태에서 센터 노즐(924)로부터 기판(W) 표면측의 중앙부에 산용액을 공급한다. 이 산용액으로서는 비산화성의 산이면 좋고, 예를 들면 플루오르화수소산, 염산, 황산, 구연산, 수산을 사용한다. 한편, 에지 노즐(926)로부터 기판(W)의 둘레 자장자리부에 산화제 용액을 연속적 또는 간헐적으로 공급한다. 이 산화제 용액으로서는, 오존수, 과산화수소수, 질산수, 차아염소산나트륨수 등의 어느 하나를 사용하거나, 또는 그것들의 조합을 사용한다. Next, the cleaning method by this bevel etching back surface cleaning apparatus 22 is demonstrated. First, the substrate W is horizontally rotated integrally with the substrate stage 922 while the substrate W is held horizontally with the substrate stage 922 via the spin chuck 921. In this state, the acid solution is supplied from the center nozzle 924 to the center portion of the substrate W surface side. As this acid solution, a non-oxidizing acid may be sufficient, for example, hydrofluoric acid, hydrochloric acid, sulfuric acid, citric acid, and hydroxyl acid are used. On the other hand, the oxidant solution is continuously or intermittently supplied from the edge nozzle 926 to the peripheral magnetic field of the substrate W. As this oxidant solution, any one of ozone water, hydrogen peroxide water, nitric acid water, sodium hypochlorite water, or the like, or a combination thereof is used.

이에 의하여 기판(W)의 둘레 자장자리부의 에지 커트폭(C)의 영역에서는 상면및 끝면에 성막된 구리층 등은 산화제 용액으로 급속하게 산화되고, 동시에 센터 노즐(924)로부터 공급되어 기판의 표면 전면으로 퍼지는 산용액에 의해서 에칭되어 용해제거된다. 이와 같이 기판 둘레 가장자리부에서 산용액과 산화제 용액을 혼합시킴 으로써 미리 그것들의 혼합수를 노즐로부터 공급하는 것에 비하여 급준한 에칭 프로필을 얻을 수 있다. 이때 그것들의 농도에 의하여 구리의 에칭레이트가 결정된다. 또, 기판 표면의 회로 형성부에 구리의 자연 산화막이 형성되어 있던 경우, 이 자연 산화물은 기판의 회전에 따라 기판의 표면 전면에 걸쳐 퍼지는 산용액으로 즉시 제거되어 성장하는 일은 없다. 또한 센터노즐(924)로부터의 산용액의 공급을 정지한 후, 에지 노즐(926)로부터의 산화제 용액의 공급을 정지함으로써 표면에 노출되어 있는 실리콘을 산화하여 구리의 부착을 억제할 수 있다.As a result, in the region of the edge cut width C of the peripheral magnetic field portion of the substrate W, the copper layer formed on the upper surface and the end surface is rapidly oxidized with the oxidant solution, and is simultaneously supplied from the center nozzle 924 to supply the surface of the substrate. It is etched and removed by an acid solution spreading to the front surface. Thus, by mixing the acid solution and the oxidant solution at the periphery of the substrate, a steep etching profile can be obtained as compared with the supply of the mixed water from the nozzle in advance. At this time, the etching rate of copper is determined by these concentrations. In the case where a natural oxide film of copper is formed on the circuit formation portion of the substrate surface, the natural oxide is not immediately removed and grown with an acid solution that spreads over the entire surface of the substrate as the substrate rotates. In addition, after the supply of the acid solution from the center nozzle 924 is stopped, the supply of the oxidant solution from the edge nozzle 926 is stopped to oxidize the silicon exposed on the surface to suppress the adhesion of copper.

한편, 백노즐(928)로부터 기판의 이면 중앙부에 산화제 용액과 실리콘 산화막에칭제를 동시 또는 교대로 공급한다. 이에 의하여 기판(W)의 이면측에 금속형상으로 부착되어 있는 구리 등을 기판의 실리콘마다 산화제 용액으로 산화하여 실리콘 산화막 에칭제로 에칭하여 제거할 수 있다. 또한 이 산화제 용액으로서는 표면에 공급하는 산화제 용액과 동일한 것으로 하는 쪽이 약품의 종류를 적게 하는 데에 있어서 바람직하다. 또 실리콘 산화막 에칭제로서는, 플루오르화수소산을 사용할 수 있고, 기판 표면측의 산용액도 플루오르화수소산을 사용하면 약품의 종류를 적게 할 수 있다. 이에 의하여 산화제 공급을 먼저 정지하면 소수면이 얻어지고, 에칭제 용액을 먼저 정지하면 포수면(친수면)이 얻어져, 그 후의 프로세스의 요구에 따른 이면으로 조정할 수도 있다. On the other hand, the oxidant solution and the silicon oxide film etch agent are simultaneously or alternately supplied from the back nozzle 928 to the center of the back surface of the substrate. Thereby, copper etc. which adhered to the back surface side of the board | substrate W in metal shape can be oxidized by the oxidizing agent solution for every silicon of a board | substrate, and can be etched and removed by the silicon oxide film etching agent. In addition, as this oxidant solution, it is preferable to make it the same as the oxidant solution supplied to a surface, in order to reduce the kind of chemical | medical agent. As the silicon oxide film etchant, hydrofluoric acid can be used, and if the acid solution on the substrate surface side also uses hydrofluoric acid, the kind of chemicals can be reduced. Thereby, a hydrophobic surface is obtained when the supply of the oxidant is stopped first, and a catcher surface (hydrophilic surface) is obtained by stopping the etchant solution first, and it can be adjusted to the back surface according to the requirements of subsequent processes.

이와 같이 산용액, 즉 에칭액을 기판(W)에 공급하여, 기판(W)의 표면에 잔류하는 금속이온을 제거한 후, 다시 순수를 공급하여 순수치환을 행하여 에칭액을 제거하고, 그후, 스핀건조를 행한다. 이와 같이 하여 기판 표면의 둘레 가장자리부의 에지 커트폭(C) 내의 구리층의 제거와 이면의 구리 오염 제거를 동시에 행하여, 이 처리를, 예를 들면 80초 이내에 완료시킬 수 있다. 또한 에지의 에지 커트폭을 임의(2∼5 mm)로 설정하는 것이 가능하나, 에칭에 요하는 시간은 커트폭에 의존하지 않는다. In this way, an acid solution, that is, an etching solution, is supplied to the substrate W to remove metal ions remaining on the surface of the substrate W, and then pure water is again supplied to perform pure replacement to remove the etching solution, followed by spin drying. Do it. In this manner, removal of the copper layer in the edge cut width C of the peripheral edge portion of the substrate surface and removal of copper contamination on the back surface can be simultaneously performed, and this process can be completed within 80 seconds, for example. It is also possible to set the edge cut width of the edge to arbitrary (2 to 5 mm), but the time required for etching does not depend on the cut width.

도 9 및 도 10은 열처리(어닐링)장치(26)를 나타낸다. 이 열처리장치(26)는 기판(W)을 출입하는 게이트(1000)를 가지는 챔버(1002)의 내부에 위치하여, 기판(W)을, 예를 들면 400℃로 가열하는 핫플레이트(1004)와, 예를 들면 냉각수를 흘려 기판(W)을 냉각하는 쿨플레이트(1006)가 상하에 배치되어 있다. 또 쿨플레이트(1006)의 내부를 관통하여 상하방향으로 연장되어, 상단에 기판(W)를 탑재하여 유지하는 복수의 승강핀(1008)이 승강 자유롭게 배치되어 있다. 또한 어닐링시에 기판(W)과 핫플레이트(1004)와의 사이에 산화방지용 가스를 도입하는 가스도입관(1010)과, 그 가스도입관(1010)으로부터 도입되어 기판(W)과 핫플레이트(1004)와의 사이를 흐른 가스를 배기하는 가스 배기관(1012)이 핫플레이트(1004)를 사이에 두고 서로 대치하는 위치에 배치되어 있다. 9 and 10 show a heat treatment (annealing) device 26. The heat treatment apparatus 26 is located inside a chamber 1002 having a gate 1000 entering and exiting the substrate W, and a hot plate 1004 for heating the substrate W to, for example, 400 ° C. For example, the cool plate 1006 which cools the board | substrate W by flowing cooling water is arrange | positioned up and down. In addition, a plurality of lifting pins 1008, which extends in the vertical direction through the inside of the cool plate 1006 and mounts and holds the substrate W on the upper end thereof, are freely lifted up and down. In addition, a gas introduction pipe 1010 for introducing an anti-oxidation gas between the substrate W and the hot plate 1004 during annealing, and a gas introduction pipe 1010 introduced from the gas introduction pipe 1010 to provide a substrate W and a hot plate 1004. The gas exhaust pipe 1012 which exhausts the gas which flowed through () is arrange | positioned in the position which mutually opposes across the hotplate 1004.

가스 도입관(1010)은, 내부에 필터(1014a)를 가지는 N2가스 도입로(1016) 내를 흐르는 N2가스와, 내부에 필터(1014b)를 가지는 H2가스 도입로(1018) 내를 흐르는 H2 가스를 혼합기(1020)로 혼합하고, 이 혼합기(1020)로 혼합한 가스가 흐르는 혼합가스 도입로(1022)에 접속되어 있다.Gas introduction pipe 1010, a N 2 gas inlet has a filter (1014a) on the inner (1016) and N 2 gas flowing through the inside, to the H 2 gas supply having a filter (1014b) to the interior (18) within The flowing H 2 gas is mixed with the mixer 1020, and the gas mixed with the mixer 1020 is connected to the mixed gas introduction path 1022.

이에 의하여 게이트(1000)를 통하여 챔버(1002)의 내부로 반입된 기판(W)을 승강핀(1008)으로 유지하고, 승강핀(1008)을 상기 승강핀(1008)으로 유지한 기판(W)과 핫플레이트(1004)와의 거리가, 예를 들면 0.1 ∼ 1.0 mm 정도가 될 때까지 상승시킨다. 이 상태에서 핫플레이트(1004)를 거쳐 기판(W)을, 예를 들면 400℃가 되도록 가열하고, 동시에 가스 도입관(1010)으로부터 산화방지용 가스를 도입하여 기판(W)과 핫플레이트(1004)와의 사이를 흘려 가스 배기관(1012)으로부터 배기한다. 이것에 의하여 산화를 방지하면서 기판(W)을 어닐링하고, 이 어닐링을 예를 들면 수십초 ∼ 60초 정도 계속하여 어닐링을 종료한다. 기판의 가열온도는 100 ∼ 600℃가 선택된다. As a result, the substrate W carried into the inside of the chamber 1002 through the gate 1000 is held by the lifting pin 1008, and the substrate W holding the lifting pin 1008 as the lifting pin 1008. And the distance between the hot plate 1004 becomes about 0.1-1.0 mm, for example. In this state, the substrate W is heated to a temperature of, for example, 400 ° C through the hot plate 1004, and at the same time, an anti-oxidation gas is introduced from the gas introduction pipe 1010 to provide the substrate W and the hot plate 1004. The gas is exhausted from the gas exhaust pipe 1012 by flowing through the gap. Thereby, the board | substrate W is annealed, preventing oxidation, and annealing is continued, for example for several tens of seconds-60 seconds. As for the heating temperature of a board | substrate, 100-600 degreeC is selected.

어닐링 종료후, 승강핀(1008)을 그 승강핀(1008)으로 유지한 기판(W)과 쿨플레이트(1006)와의 거리가, 예를 들면 0 ∼ 0.5 mm 정도가 될 때까지 하강시킨다. 이 상태에서 쿨플레이트(1006) 내에 냉각수를 도입함으로써, 기판(W)의 온도가 100℃이하가 될 때까지 예를 들면 10 ∼ 60초 정도 기판을 냉각하고, 이 냉각 종료후의 기판을 다음공정으로 반송한다. After completion of the annealing, the lifting pin 1008 is lowered until the distance between the substrate W held by the lifting pin 1008 and the cool plate 1006 is, for example, about 0 to 0.5 mm. In this state, by introducing the cooling water into the cool plate 1006, the substrate is cooled, for example, for about 10 to 60 seconds until the temperature of the substrate W becomes 100 degrees C or less, and the substrate after the completion of this cooling is moved to the next step. Return.

또한, 이 예에서는 산화방지용 가스로서, N2가스와 수%의 H2가스를 혼합한 혼합가스를 흘리도록 하고 있으나, N2가스만을 흘리게 하여도 좋다.In this example, a mixed gas obtained by mixing N 2 gas and several% H 2 gas is allowed to flow as the oxidation preventing gas, but only N 2 gas may be allowed to flow.

도 11 내지 도 17은 기판의 무전해 도금의 전처리를 행하는 전처리장치(28)를 나타낸다. 이 전처리장치(28)는, 프레임(50)의 상부에 설치한 고정 프레임(52)과, 이 고정 프레임(52)에 대하여 상대적으로 상하이동하는 이동 프레임(54)을 구비하고 있고, 이 이동 프레임(54)에, 아래쪽으로 개구한 바닥이 있는 원통형상의 하우징부(56)와 기판 홀더(58)를 가지는 처리 헤드(60)가 현가 지지되어 있다. 즉, 이동 프레임(54)에는 헤드회전용 서보모터(62)가 설치되고, 이 서보모터(62)의 아래쪽으로 연장되는 출력축(중간축)(64)의 하단에 처리 헤드(60)의 하우징부(56)가 연결되어 있다. 11 to 17 show a pretreatment apparatus 28 for pretreatment of electroless plating of a substrate. This pretreatment apparatus 28 is equipped with the fixed frame 52 provided in the upper part of the frame 50, and the moving frame 54 which moves relatively with respect to this fixed frame 52, This moving frame At 54, a suspension head is supported by a processing head 60 having a cylindrical housing portion 56 with a bottom opening downward and a substrate holder 58. That is, the moving frame 54 is provided with a head rotation servo motor 62, and the housing portion of the processing head 60 is disposed at the lower end of the output shaft (intermediate shaft) 64 extending downward of the servo motor 62. 56 is connected.

이 출력축(64)의 내부에는, 도 14에 나타내는 바와 같이 스플라인(66)을 거쳐 상기 출력축(64)과 일체로 회전하는 연직축(68)이 끼워 고정되고, 이 연직축(68)의 하단에, 볼조인트(70)를 거쳐 처리 헤드(60)의 기판 홀더(58)가 연결되어 있다. 이 기판 홀더(58)는, 하우징부(56)의 내부에 위치하고 있다. 또 연직축(68)의 상단은, 베어링(72) 및 브래킷을 거쳐, 이동 프레임(54)에 고정한 고정링 승강용 실린더(74)에 연결되어 있다. 이것에 의하여 이 승강용 실린더(74)의 작동에 따라, 연직축(68)이 출력축(64)과는 독립으로 상하이동하도록 되어 있다. As shown in FIG. 14, the vertical shaft 68 which is integrally rotated with the said output shaft 64 via the spline 66 is inserted in this output shaft 64, and is fixed to the lower end of this vertical shaft 68. The substrate holder 58 of the processing head 60 is connected via the joint 70. The substrate holder 58 is located inside the housing portion 56. Moreover, the upper end of the vertical shaft 68 is connected to the fixed ring lifting cylinder 74 fixed to the moving frame 54 via the bearing 72 and the bracket. As a result, the vertical shaft 68 swings independently of the output shaft 64 in accordance with the operation of the lifting cylinder 74.

또 고정 프레임(52)에는, 상하방향으로 연장되어 이동 프레임(54)의 승강의 안내가 되는 리니어 가이드(76)가 설치되어, 헤드 승강용 실린더(도시 생략)의 작동에 따라, 이동 프레임(54)이 리니어 가이드(76)를 안내로 하여 승강하도록 되어 있다. The fixed frame 52 is provided with a linear guide 76 that extends in the vertical direction and guides the lifting and lowering of the moving frame 54. The moving frame 54 is operated by the operation of the head lifting cylinder (not shown). ) Moves up and down with the linear guide 76 as a guide.

처리 헤드(60)의 하우징부(56)의 둘레 벽에는, 이 내부에 기판(W)을 삽입하는 기판 삽입창(56a)이 설치되어 있다. 또 처리 헤드(60)의 하우징부(56)의 하부에는, 도 15 및 도 16에 나타내는 바와 같이, 예를 들면 PEEK 제의 메인 프레임(80)과, 예를 들면 폴리에틸렌제의 가이드 프레임(82)과의 사이에 둘레 가장자리부를 끼워 유지하여 시일링(84)이 배치되어 있다. 이 시일링(84)은, 기판(W) 하면의 둘레 자장자리부에 맞닿아 여기를 시일하기 위한 것이다. The peripheral wall of the housing part 56 of the processing head 60 is provided with the board | substrate insertion window 56a which inserts the board | substrate W in this inside. 15 and 16, the main frame 80 made of PEEK and the guide frame 82 made of polyethylene, for example, are located below the housing portion 56 of the processing head 60. The sealing ring 84 is arrange | positioned, holding the circumferential edge part between and. This sealing ring 84 is for contacting the peripheral magnetic field portion of the lower surface of the substrate W to seal the excitation.

한편, 기판 홀더(58)의 하면 둘레 가장자리부에는, 기판 고정링(86)이 고정되고, 이 기판 홀더(58)의 기판 고정링(86)의 내부에 배치한 스프링(88)의 탄성력을 거쳐, 원주형상의 푸셔(90)가 기판 고정링(86)의 하면으로부터 아래쪽으로 돌출하게 되어 있다. 또한 기판 홀더(58)의 상면과 하우징부(56)의 상벽부와의 사이에는, 내부를 기밀적으로 시일하는, 예를 들면 테프론(등록상표)제로 굴곡 자유로운 원통형상의 주름상자판(92)이 배치되어 있다.On the other hand, the substrate holding ring 86 is fixed to the peripheral edge of the lower surface of the substrate holder 58, and is subjected to the elastic force of the spring 88 disposed inside the substrate holding ring 86 of the substrate holder 58. The cylindrical pusher 90 protrudes downward from the lower surface of the substrate holding ring 86. Further, between the upper surface of the substrate holder 58 and the upper wall portion of the housing portion 56, a cylindrical corrugated box 92 freely bent by eg Teflon (registered trademark) is sealed. It is arranged.

이것에 의하여, 기판 홀더(58)를 상승시킨 상태에서 기판(W)을 기판 삽입창(56a)으로부터 하우징부(56)의 내부에 삽입한다. 그렇게 하면, 이 기판(W)은 가이드 프레임(82)의 안 둘레면에 설치한 테이퍼면(82a)으로 안내되고, 위치 결정되어 시일링(84) 상면의 소정의 위치에 탑재된다. 이 상태에서 기판 홀더(58)를 하강시키고, 이 기판 고정링(86)의 푸셔(90)를 기판(W)의 상면에 접촉시킨다. 그리고 기판 홀더(58)를 더욱 하강시킴으로써 기판(W)을 스프링(88)의 탄성력으로 아래쪽으로 가압하고, 이것에 의하여 기판(W) 표면(하면)의 둘레 가장자리부에 시일링(84)으로 압접시켜 여기를 시일하면서, 기판(W)을 하우징부(56)와 기판 홀더(58)와의 사이에서 끼워 유지하도록 되어 있다. Thereby, the board | substrate W is inserted in the housing part 56 from the board | substrate insertion window 56a in the state which raised the board | substrate holder 58. As shown in FIG. In this case, this board | substrate W is guided to the taper surface 82a provided in the inner peripheral surface of the guide frame 82, and is positioned and mounted in the predetermined position on the upper surface of the sealing ring 84. As shown in FIG. In this state, the substrate holder 58 is lowered, and the pusher 90 of the substrate fixing ring 86 is brought into contact with the upper surface of the substrate W. As shown in FIG. By further lowering the substrate holder 58, the substrate W is pressed downward by the elastic force of the spring 88, whereby the pressure welding is performed by the sealing ring 84 at the peripheral edge of the surface (lower surface) of the substrate W. The substrate W is sandwiched and held between the housing portion 56 and the substrate holder 58 while sealing the excitation.

또한 이와 같이 기판(W)을 기판 홀더(58)로 유지한 상태에서 헤드 회전용 서보모터(62)를 구동하면, 이 출력축(64)과 그 출력축(64)의 내부에 끼워 고정한 연직축(68)이 스플라인(66)을 거쳐 일체로 회전하고, 이것에 의하여 하우징부(56)와 기판홀더(58)도 일체로 회전한다. In addition, when the head rotation servomotor 62 is driven while the substrate W is held by the substrate holder 58 in this manner, the vertical shaft 68 inserted into the output shaft 64 and the output shaft 64 is fixed. It rotates integrally via this spline 66, by which the housing part 56 and the board | substrate holder 58 also rotate integrally.

처리 헤드(60)의 아래쪽에 위치하여, 그 처리 헤드(60)의 외경보다도 약간 큰 내경을 가지고, 위쪽으로 개구한 바깥 탱크(槽)(100a)와 안쪽 탱크(100b)를 가지는 처리탱크(100)가 구비되어 있다. 처리탱크(100)의 바깥 둘레부에는 덮개체(102)에 설치한 1쌍의 다리부(104)가 회전 자유롭게 지지되어 있다. 또한 다리부(104)에 크랭크(106)가 일체로 연결되고, 이 크랭크(106)의 자유단은, 덮개체 이용용 실린더(108)의 로드(110)에 회전 자유롭게 연결되어 있다. 이에 의하여 덮개체 이동용 실린더(108)의 작동에 따라 덮개체(102)는, 처리탱크(100)의 상단 개구부를 덮는 처리위치와, 옆쪽의 대피위치와의 사이를 이동하도록 구성되어 있다. 이 덮개체(102)의 표면(상면)에는, 하기와 같이 예를 들면 환원력을 가지는 전해이온수를 바깥쪽(윗쪽)을 향하여 분사하는 다수의 분사노즐(112a)을 가지는 노즐판(112)이 구비되어 있다. The processing tank 100, which is located below the processing head 60, has an inner diameter slightly larger than the outer diameter of the processing head 60, and has an outer tank 100a and an inner tank 100b opened upward. ) Is provided. On the outer circumferential portion of the processing tank 100, a pair of leg portions 104 provided on the lid 102 are rotatably supported. Moreover, the crank 106 is integrally connected to the leg part 104, and the free end of this crank 106 is rotatably connected to the rod 110 of the cover body use cylinder 108. As shown in FIG. Thereby, the cover body 102 is comprised so that the cover body 102 may move between the process position which covers the upper opening part of the process tank 100, and the side evacuation position according to operation | movement of the cover body movement cylinder 108. FIG. On the surface (upper surface) of the cover body 102, a nozzle plate 112 having a plurality of injection nozzles 112a for injecting electrolytic ion water having a reducing power toward the outside (upper side) is provided as follows. It is.

또한, 도 17에 나타내는 바와 같이, 처리탱크(100)의 안쪽 탱크(100b)의 내부에는, 약액 탱크(120)로부터 약액 펌프(122)의 구동에 따라 공급된 약액을 윗쪽을 향하여 분사하는 복수의 분사노즐(124a)을 가지는 노즐판(124)이, 그 분사노즐(124a)이 안쪽 탱크(100b)의 횡단면의 전면에 걸쳐 더욱 균등하게 분포된 상태로 배치되어 있다. 이 안쪽 탱크(100b)의 바닥면에는 약액(배액)을 외부로 배출하는 배수관(126)이 접속되어 있다. 이 배수관(126)의 도중에는, 삼방밸브(128)가 장착되고, 이 삼방밸브(128)의 하나의 출구 포트에 접속된 리턴관(130)을 거쳐, 필요에 따라 이 약액(배액)을 약액 탱크(120)로 되돌려 재이용할 수 있게 되어 있다. 또한 이 예에서는 덮개체(102)의 표면(상면)에 설치된 노즐판(112)은, 예를 들면 순수 등의 린스액을 공급하는 린스액 공급원(132)에 접속되어 있다. 또 바깥 탱크(100a)의 바닥면에도 배수관(127)이 접속되어 있다. In addition, as shown in FIG. 17, inside the inner tank 100b of the process tank 100, the some chemical | medical agent which injects the chemical | medical solution supplied from the chemical | medical solution tank 120 according to the drive of the chemical | medical agent pump 122 upwards is carried out. The nozzle plate 124 having the injection nozzle 124a is arranged in such a state that the injection nozzle 124a is more evenly distributed over the entire surface of the cross section of the inner tank 100b. A drain pipe 126 for discharging the chemical liquid (drain) to the outside is connected to the bottom surface of the inner tank 100b. In the middle of the drain pipe 126, a three-way valve 128 is mounted, and via the return pipe 130 connected to one outlet port of the three-way valve 128, the chemical liquid (drainage) is transferred to the chemical liquid tank if necessary. It returns to 120 and can reuse. In this example, the nozzle plate 112 provided on the surface (upper surface) of the lid 102 is connected to a rinse liquid supply source 132 for supplying a rinse liquid such as pure water. The drain pipe 127 is also connected to the bottom surface of the outer tank 100a.

이것에 의하여 기판을 유지한 처리 헤드(60)를 하강시켜, 처리탱크(100)의 상단 개구부를 처리 헤드(60)로 막도록 덮고, 이 상태에서 처리탱크(100)의 안쪽 탱크(100b)의 내부에 배치한 노즐판(124)의 분사노즐(124a)로부터 약액을 기판(W)을 향하여 분사함으로써, 기판(W) 하면(처리면)의 전면에 걸쳐 약액을 균일하게 분사하고, 또한 약액의 외부로의 비산을 방지하면서 약액을 배수관(126)으로부터 외부로 배출할 수 있다. 또한 처리 헤드(60)를 상승시켜 처리탱크(100)의 상단 개구부를 덮개체(102)로 폐쇄한 상태에서 처리 헤드(60)로 유지한 기판(W)을 향하여 덮개체(102)의 상면에 배치한 노즐판(112)의 분사노즐(112a)로부터 린스액을 분사함으로써, 기판 표면에 남은 약액의 린스처리(세정처리)를 행하고, 또한 이 린스액은 바깥 탱크(100a)와 안쪽 탱크(100b)의 사이를 통하여 배수관(127)을 거쳐 배출되기 때문에, 안쪽 탱크(100b)의 내부로 유입되는 것이 방지되어, 린스액이 약액에 섞이지 않게 되어 있다. As a result, the processing head 60 holding the substrate is lowered to cover the upper end opening of the processing tank 100 with the processing head 60 so that the inner tank 100b of the processing tank 100 is in this state. By spraying the chemical liquid toward the substrate W from the injection nozzle 124a of the nozzle plate 124 disposed therein, the chemical liquid is uniformly sprayed over the entire surface of the lower surface (processing surface) of the substrate W, The chemical liquid can be discharged from the drain pipe 126 to the outside while preventing scattering to the outside. In addition, the processing head 60 is raised to the upper surface of the lid 102 toward the substrate W held by the treatment head 60 while the upper opening of the treatment tank 100 is closed with the lid 102. By rinsing the rinse liquid from the injection nozzles 112a of the nozzle plates 112 arranged, the rinse treatment (cleaning treatment) of the remaining chemical liquid on the substrate surface is performed, and the rinse liquid is supplied to the outer tank 100a and the inner tank 100b. Since the liquid is discharged through the drain pipe 127 through the space between them, it is prevented from flowing into the inner tank 100b, and the rinse liquid is not mixed with the chemical liquid.

이 전처리장치(28)에 의하면, 도 11에 나타내는 바와 같이 처리 헤드(60)를 상승시킨 상태에서, 이 내부로 기판(W)을 삽입하여 유지하고, 그런 다음에 도 12에 나타내는 바와 같이, 처리 헤드(60)를 하강시켜 처리탱크(100)의 상단 개구부를 덮는 위치에 위치시킨다. 그리고 처리 헤드(60)를 회전시켜 처리 헤드(60)로 유지한 기판(W)을 회전시키면서, 처리탱크(100)의 내부에 배치한 노즐판(124)의 분사노즐(124a)로부터 약액을 기판(W)을 향하여 분사함으로써, 기판(W)의 전면에 걸쳐 약액을 균일하게 분사한다. 또, 처리 헤드(60)를 상승시켜 소정위치에서 정지시키고, 도 13에 나타내는 바와 같이, 대피위치에 있던 덮개체(102)를 처리탱크(100)의 상단 개구부를 덮는 위치까지 이동시킨다. 그리고 이 상태에서 처리 헤드(60)로 유지하여 회전시킨 기판(W)을 향하여, 덮개체(102)의 상면에 배치한 노즐판(112)의 분사노즐(112a)로부터 린스액을 분사한다. 이에 의하여 기판(W)의 약액에 의한 처리와, 린스액에 의한 린스처리를, 2개의 액체가 섞이지 않게 하면서 행할 수 있다. According to this pretreatment apparatus 28, in the state which raised the processing head 60, as shown in FIG. 11, the board | substrate W is inserted and hold | maintained in this inside, and as shown in FIG. The head 60 is lowered and positioned at a position covering the upper opening of the treatment tank 100. Then, the chemical liquid is transferred from the spray nozzle 124a of the nozzle plate 124 disposed inside the processing tank 100 while rotating the substrate W held by the processing head 60 by rotating the processing head 60. By spraying toward (W), the chemical liquid is uniformly sprayed over the entire surface of the substrate (W). Moreover, the process head 60 is raised and stopped at a predetermined position, and as shown in FIG. 13, the cover body 102 which was in the evacuation position is moved to the position which covers the upper opening part of the process tank 100. Moreover, as shown in FIG. In this state, the rinse liquid is injected from the injection nozzle 112a of the nozzle plate 112 disposed on the upper surface of the lid body 102 toward the substrate W rotated while being held by the processing head 60. Thereby, the process by the chemical liquid of the board | substrate W and the rinse process by the rinse liquid can be performed, without making two liquids mix.

또한 처리 헤드(60)의 하강위치를 조정하여, 이 처리 헤드(60)로 유지한 기판(W)과 노즐판(124)과의 거리를 조정함으로써 노즐판(124)의 분사노즐(124a)로부터 분사된 약액이 기판(W)에 닿는 영역이나 분사압을 임의로 조정할 수 있다. 여기서, 약액 등의 전처리액을 순환시켜 사용하면, 처리에 따라 유효성분이 감소됨과 동시에, 기판에 부착됨에 의한 전처리액(약액)의 유출이 있기 때문에, 전처리액의 조성을 분석하여 부족한 것을 첨가하기 위한 전처리액 관리유닛(도시 생략)을 병치하는 것이 바람직하다. 구체적으로는 청정화에 사용되는 약액은, 산 내지 알칼리가 주체이기 때문에, 예를 들면 pH를 측정하여 소정의 값과의 차로부터 감소분을 보급함과 동시에, 약액 저장탱크에 설치한 액면계에 의하여 감소량을 보급할 수 있다. 또 촉매액 에 대해서는, 예를 들면 산성의 팔라듐용액의 경우에는, pH에 의하여 산의 양을, 또적정법 내지 비탁법에 의하여 팔라듐의 양을 측정하고, 상기와 동일하게 하여 감소량을 보급할 수 있다. Further, by adjusting the lowering position of the processing head 60 and adjusting the distance between the substrate W held by the processing head 60 and the nozzle plate 124, the spray nozzle 124a of the nozzle plate 124 is adjusted. The area | region and injection pressure which the injected chemical | medical solution contacts the board | substrate W can be adjusted arbitrarily. Here, when circulating and using a pretreatment liquid such as a chemical solution, the active ingredient is reduced along with the treatment, and at the same time, the pretreatment liquid (chemical liquid) is leaked by adhering to the substrate. It is preferable to juxtapose a liquid management unit (not shown). Specifically, the chemical liquid used for the cleansing is mainly acid or alkali, so for example, the pH is measured to supply the decrease from the difference from the predetermined value, and the decrease is supplied by the liquid level meter installed in the chemical storage tank. can do. For the catalyst solution, for example, in the case of an acidic palladium solution, the amount of acid can be measured by pH, and the amount of palladium can be determined by titration or turbidity, and the reduction amount can be supplied in the same manner as described above. .

도 18 내지 도 24에 무전해 도금장치(30)를 나타낸다. 이 무전해 도금장치(30)는, 도 1d에 나타내는 보호막(9)을 형성하기 위한 것으로, 도금탱크(200)(도 22 및 도 24 참조)와, 이 도금탱크(200)의 윗쪽에 배치되어 기판(W)을 착탈 자유롭게 유지하는 기판 헤드(204)를 가지고 있다. 18 to 24 show an electroless plating apparatus 30. The electroless plating apparatus 30 is for forming the protective film 9 shown in FIG. 1D, and is disposed above the plating tank 200 (see FIGS. 22 and 24) and the plating tank 200. The board | substrate head 204 which hold | maintains the board | substrate W detachably is provided.

기판 헤드(204)는 도 18에 상세하게 나타내는 바와 같이, 하우징부(230)와 헤드부(232)를 가지고, 이 헤드부(232)는 흡착 헤드(234)와 그 흡착 헤드(234)의 주위를 둘러 싸는 기판 받이(236)로 주로 구성되어 있다. 그리고 하우징부(230)의 내부에는 기판 회전용 모터(238)와 기판 받이 구동용 실린더(240)가 수납되고, 이 기판 회전용 모터(238)의 출력축(중간축)(242)의 상단은 로터리 조인트(244)에, 하단은 헤드부(232)의 흡착 헤드(234)에 각각 연결되고, 기판 받이 구동용 실린더(240)의 로드는 헤드부(232)의 기판 받이(236)에 연결되어 있다. 또한 하우징부(230)의 내부에는 기판 받이(236)의 상승을 기계적으로 규제하는 스토퍼(246)가 설치되어 있다. As shown in detail in FIG. 18, the substrate head 204 has a housing portion 230 and a head portion 232, and the head portion 232 surrounds the suction head 234 and the suction head 234. It consists mainly of the board | substrate support 236 which surrounds. The substrate rotating motor 238 and the substrate receiving driving cylinder 240 are housed in the housing 230, and an upper end of the output shaft (intermediate shaft) 242 of the substrate rotating motor 238 is rotated. In the joint 244, the lower end is connected to the suction head 234 of the head portion 232, and the rod of the substrate receiving driving cylinder 240 is connected to the substrate receiving portion 236 of the head portion 232. . In addition, a stopper 246 is provided inside the housing 230 to mechanically restrict the rise of the substrate support 236.

여기서, 흡착 헤드(234)와 기판 받이(236)와의 사이에는 상기와 동일한 스플라인구조가 채용되고, 기판 받이 구동용 실린더(240)의 작동에 따라 기판 받이(236)는 흡착 헤드(234)와 상대적으로 상하이동하나, 기판 회전용 모터(238)의 구동에 의하여 출력축(242)이 회전하면, 이 출력축(242)의 회전에 따라 흡착 헤드(234)와 기판 받이(236)가 일체로 회전하도록 구성되어 있다. Here, the same spline structure is employed between the adsorption head 234 and the substrate support 236, and the substrate support 236 is relative to the adsorption head 234 according to the operation of the substrate support driving cylinder 240. However, when the output shaft 242 is rotated by the drive of the substrate rotation motor 238, the suction head 234 and the substrate support 236 rotates integrally as the output shaft 242 rotates. It is.

흡착 헤드(234)의 하면 둘레 가장자리부에는, 도 19 내지 도 21에 상세하게 나타내는 바와 같이 하면을 시일면으로 하여 기판(W)을 흡착 유지하는 흡착링(250)이 가압링(251)을 거쳐 설치되고, 이 흡착링(250)의 하면에 원주방향으로 연속시켜 설치한 오목형상부(250a)와 흡착 헤드(234) 내를 연장하는 진공라인(252)이 흡착링(250)에 설치한 연통구멍(250b)을 거쳐 서로 연통하도록 되어 있다. 이에 의하여 오목형상부(250a) 내를 진공뽑기함으로써, 기판(W)을 흡착 유지하는 것으로, 이와 같이 작은 폭(지름방향)에서 원주형상으로 진공뽑기하여 기판(W)을 유지함으로써, 진공에 의한 기판(W)에 대한 영향(휘어짐 등)을 최소한으로 억제하고, 또한 흡착링(250)을 도금액(처리액) 중에 침지함으로써, 기판(W)의 표면(하면)뿐만 아니라, 에지에 대해서도 모두 도금액에 침지하는 것이 가능하게 된다. 기판(W)의 릴리스는 진공라인(252)에 N2를 공급하여 행한다.19 to 21, an adsorption ring 250 for adsorbing and holding the substrate W with the lower surface as the seal surface is provided at the peripheral edge of the lower surface of the adsorption head 234 via the pressure ring 251. And a concave portion 250a provided continuously in the circumferential direction on the lower surface of the suction ring 250 and a vacuum line 252 extending in the suction head 234 to communicate with the suction ring 250. It communicates with each other via the hole 250b. As a result, the inside of the concave portion 250a is vacuumed to suck and hold the substrate W. The vacuum is drawn in the circumferential shape in such a small width (diameter direction) to hold the substrate W. By suppressing the influence (curvature etc.) to the board | substrate W to the minimum and immersing the adsorption ring 250 in the plating liquid (processing liquid), plating liquid is performed not only on the surface (lower surface) of the board | substrate W but also on the edge. It is possible to immerse in. Release of the substrate W is performed by supplying N 2 to the vacuum line 252.

한편, 기판 받이(236)는 아래쪽으로 개구한 바닥이 있는 원통형상으로 형성되고, 그 둘레 벽에는 기판(W)을 내부로 삽입하는 기판 삽입창(236a)이 설치되며, 하단에는 안쪽으로 돌출하는 원판형상의 포올부(254)가 설치되어 있다. 또한 이 포올부(254)의 상부에는, 기판(W)의 안내가 되는 테이퍼면(256a)을 안 둘레면에 가지는 돌기편(256)이 구비되어 있다. On the other hand, the substrate support 236 is formed in a cylindrical shape with a bottom opening downward, the peripheral wall is provided with a substrate insertion window 236a for inserting the substrate (W) inwards, the bottom protruding inward A disk-shaped pole portion 254 is provided. Moreover, the projection piece 256 which has the taper surface 256a which guides the board | substrate W in an inner peripheral surface is provided in the upper part of this pole part 254.

이에 의하여 도 19에 나타내는 바와 같이, 기판 받이(236)를 하강시킨 상태에서 기판(W)을 기판 삽입창(236a)으로부터 기판 받이(236)의 내부에 삽입한다. 그렇게 하면, 이 기판(W)은 돌기편(256)의 테이퍼면(256a)으로 안내되고 위치 결정되어 포올부(254)의 상면의 소정위치에 탑재 유지된다. 이 상태에서 기판 받이(236)를 상승시켜 도 20에 나타내는 바와 같이 이 기판 받이(236)의 포올부(254)상에 탑재 유지 한 기판(W)의 상면을 흡착 헤드(234)의 흡착링(250)에 맞닿게 한다. 다음에 진공 라인(252)을 통하여 흡착링(250)의 오목형상부(250a)를 진공뽑기함으로써 기판(W)의 상면의 둘레 자장자리부를 상기 흡착링(250)의 하면에 시일하면서 기판(W)을 흡착 유지한다. 그리고 도금처리를 행할 때에는, 도 21에 나타내는 바와 같이, 기판 받이(236)를 수 mm 하강시켜 기판(W)을 포올부(254)로부터 떼어 내어 흡착링(250)만으로 흡착 유지한 상태로 한다. 이에 의하여 기판(W) 표면(하면)의 둘레 가장자리부가, 포올부(254)의 존재에 의하여 도금되지 않게 되는 것을 방지할 수 있다.Thereby, as shown in FIG. 19, the board | substrate W is inserted in the board | substrate base 236 from the board | substrate insertion window 236a in the state which lowered the board | substrate case 236. As shown in FIG. In this case, this board | substrate W is guided and positioned in the tapered surface 256a of the projection piece 256, and is mounted and hold | maintained in the predetermined position of the upper surface of the pole part 254. In this state, the substrate support 236 is lifted up, and as shown in FIG. 20, the upper surface of the substrate W mounted on the pole portion 254 of the substrate support 236 is held by the adsorption ring of the adsorption head 234 ( 250). Subsequently, by vacuuming the concave portion 250a of the adsorption ring 250 through the vacuum line 252, the peripheral magnetic field portion of the upper surface of the substrate W is sealed on the lower surface of the adsorption ring 250. Adsorption). In the plating process, as shown in FIG. 21, the substrate support 236 is lowered by several mm, and the substrate W is removed from the pole portion 254 to be adsorbed and held only by the adsorption ring 250. Thereby, the peripheral part of the surface (lower surface) of the board | substrate W can be prevented from becoming plated by the presence of the pole part 254.

도 22는, 도금탱크(200)의 상세를 나타낸다. 이 도금탱크(200)는, 바닥부에 있어서 도금액공급관(308)(도 24 참조)에 접속되고, 둘레 벽부에 도금액 회수홈(260)이 설치되어 있다. 도금탱크(200)의 내부에는, 여기를 윗쪽을 향하여 흐르는 도금액의 흐름을 안정시키는 2매의 정류판(262, 264)이 배치되고, 다시 바닥부에는 도금탱크(200)의 내부로 도입되는 도금액의 액온을 측정하는 온도 측정기(266)가 설치되어 있다. 또 도금탱크(200)의 둘레 벽 바깥 둘레면의 도금탱크(200)에서 유지한 도금액의 액면보다 약간 윗쪽에 위치하고, 직경방향의 약간 비스듬하게 윗쪽을 향하여 도금탱크(200)의 내부에, pH가 6 ∼ 7.5의 중성액으로 이루어지는 정지액, 예를 들면 순수를 분사하는 분사노즐(268)이 설치되어 있다. 이에 의하여 도금 종료후, 헤드부(232)로 유지한 기판(W)을 도금액의 액면보다 약간 윗쪽까지 끌어 올려 일단 정지시키고, 이 상태에서 기판(W)을 향하여 분사노즐(268)로부터 순수(정지액)를 분사하여 기판(W)을 즉시 냉각하고, 이것에 의하여 기판(W)에 남은 도금액에 의하여 도금이 진행되는 것을 방지할 수 있다. 22 shows the details of the plating tank 200. This plating tank 200 is connected to the plating liquid supply pipe 308 (refer FIG. 24) in the bottom part, and the plating liquid collection groove 260 is provided in the peripheral wall part. In the plating tank 200, two rectifying plates 262 and 264 for stabilizing the flow of the plating liquid flowing upwards are disposed, and the plating liquid introduced into the plating tank 200 at the bottom thereof. The temperature measuring device 266 which measures the liquid temperature of is provided. In addition, the pH is located slightly above the liquid level of the plating liquid held by the plating tank 200 on the outer circumferential surface of the circumferential wall of the plating tank 200, and the pH inside the plating tank 200 is slightly obliquely upward. The stopper which consists of 6-7.5 neutral liquids, for example, the injection nozzle 268 which injects pure water is provided. Thus, after the plating is completed, the substrate W held by the head portion 232 is pulled up slightly above the liquid level of the plating liquid and stopped once, and in this state, the pure water (still) is stopped from the spray nozzle 268 toward the substrate W. Liquid) is sprayed to immediately cool the substrate W, whereby plating can be prevented from proceeding with the plating liquid remaining on the substrate W.

또한 도금탱크(200)의 상단 개구부에는, 아이들링시 등의 도금처리가 행하여져 있지 않을 때에, 도금탱크(200)의 상단 개구부를 폐쇄하여 상기 도금탱크(200)로부터의 도금액의 쓸데 없는 증발을 방지하는 도금탱크 커버(270)가 개폐 자유롭게 설치되어 있다.In addition, when the plating process such as idling is not performed at the upper end of the plating tank 200, the upper end of the plating tank 200 is closed to prevent unnecessary evaporation of the plating liquid from the plating tank 200. The plating tank cover 270 is provided to open and close freely.

이 도금탱크(200)는, 도 24에 나타내는 바와 같이 바닥부에 있어서, 도금액 저장탱크(302)로부터 연장되고, 도중에 도금액 공급펌프(304)와 삼방밸브(306)를 장착한 도금액공급관(308)에 접속되어 있다. 이에 의하여 도금처리 중에 있어서는, 도금탱크(200)의 내부로 이 바닥부로부터 도금액을 공급하고, 넘치는 도금액을 도금액 회수홈(260)으로부터 도금액 저장탱크(302)로 회수함으로써, 도금액을 순환할 수 있게 되어 있다. 또 삼방밸브(306)의 하나의 출구포트에는 도금액 저장탱크(302)로 되돌아가는 도금액 리턴관(312)이 접속되어 있다. 이에 의하여 도금 대기시에 있어서도 도금액을 순환시킬 수 있게 되어 있고, 이것에 의하여 도금액 순환계가 구성되어 있다. 이와 같이 도금액 순환계를 거쳐, 도금액 저장탱크(302) 내의 도금액을 항시 순환시킴으로써, 단순하게 도금액을 저장하여 두는 경우와 비교하여 도금액의 농도의 저하율을 감소시켜, 기판(W)의 처리 가능수를 증대시킬 수 있다.As shown in FIG. 24, the plating tank 200 extends from the plating liquid storage tank 302 at the bottom thereof, and the plating liquid supply pipe 308 provided with the plating liquid supply pump 304 and the three-way valve 306 on the way. Is connected to. Thus, during the plating process, the plating liquid is supplied into the plating tank 200 from the bottom portion, and the excess plating liquid is recovered from the plating liquid recovery groove 260 to the plating liquid storage tank 302 so that the plating liquid can be circulated. It is. Further, a plating liquid return pipe 312 which returns to the plating liquid storage tank 302 is connected to one outlet port of the three-way valve 306. As a result, the plating liquid can be circulated even during the waiting for plating, whereby the plating liquid circulation system is configured. As such, the plating liquid in the plating liquid storage tank 302 is always circulated through the plating liquid circulation system, thereby reducing the decrease in the concentration of the plating liquid compared to the case where the plating liquid is simply stored, thereby increasing the processable number of the substrates W. You can.

특히, 본예에서는 도금액 공급펌프(304)를 제어함으로써, 도금 대기시 및 도금 처리시에 순환하는 도금액의 유량을 개별로 설정할 수 있게 되어 있다. 즉, 도금대기시의 도금액의 순환유량은 예를 들면 2 ∼ 20 L/min이고, 도금처리시의 도금액의 순환유량은 예를 들면 0 ∼ 10 L/min 로 설정된다. 이에 의하여 도금 대기시에 도금액의 큰 순환유량을 확보하여 셀 내의 도금욕의 액온을 일정하게 유지하고, 도금 처리시에는 도금액의 순환유량을 작게 하여 더욱 균일한 막두께의 보호막(도금막)을 성막할 수 있다.In particular, in the present embodiment, by controlling the plating liquid supply pump 304, the flow rate of the plating liquid circulated at the time of the plating standby and the plating process can be set individually. That is, the circulation flow rate of the plating liquid at the time of a plating waiting is 2-20 L / min, for example, and the circulation flow rate of the plating liquid at the time of a plating process is set, for example at 0-10 L / min. As a result, a large circulating flow rate of the plating liquid is ensured during plating standby to maintain a constant liquid temperature of the plating bath in the cell, and a circulating flow rate of the plating liquid is reduced during the plating process to form a more uniform film protective film (plating film). can do.

도금탱크(200)의 바닥부 부근에 설치된 온도측정기(266)는 도금탱크(200)의 내부로 도입되는 도금액의 액온을 측정하여, 이 측정결과를 기초로 하기의 히터(316) 및 유량계(318)를 제어한다.The temperature measuring device 266 installed near the bottom of the plating tank 200 measures the liquid temperature of the plating liquid introduced into the plating tank 200, and based on this measurement result, the heater 316 and the flow meter 318 described below. ).

즉, 이 예에서는 따로 설치한 히터(316)를 사용하여 승온시켜 유량계(318)를 통과시킨 물을 열매체에 사용하고, 열교환기(320)를 도금액 저장탱크(302) 내의 도금액 중에 설치하여 그 도금액을 간접적으로 가열하는 가열장치(322)와, 도금액 저장탱크(302) 내의 도금액을 순환시켜 교반하는 교반펌프(324)가 구비되어 있다. 이것은 무전해 도금에 있어서는 도금액을 고온(약 80℃정도)으로 하여 사용하는 경우가 있어, 이것에 대응하기 위함이며, 이 방법에 의하면, 인라인·히팅방식에 비하여 매우 델리킷한 도금액에 불필요물 등이 혼입되는 것을 방지할 수 있다.In other words, in this example, water is heated using a heater 316 provided separately, and the water passed through the flow meter 318 is used for the heat medium, and the heat exchanger 320 is installed in the plating liquid in the plating liquid storage tank 302 to form the plating liquid. Heating device 322 for indirectly heating the liquid, and a stirring pump 324 for circulating and stirring the plating liquid in the plating liquid storage tank 302 is provided. In electroless plating, the plating solution may be used at a high temperature (about 80 ° C.), and this is to cope with this. According to this method, it is unnecessary to the plating solution which is much more delicate than the inline heating method. This mixing can be prevented.

도 23은 도금탱크(200)의 옆쪽에 부설되어 있는 세정탱크(202)의 상세를 나타낸다. 이 세정탱크(202)의 바닥부에는, 순수 등의 린스액을 윗쪽을 향하여 분사하는 복수의 분사노즐(280)이 노즐판(282)에 설치되어 배치되고, 이 노즐판(282)은 노즐 상하축(284)의 상단에 연결되어 있다. 또한 이 노즐 상하축(284)은, 노즐위치 조정용 나사(287)와 그 나사(287)와 나사 결합하는 너트(288)와의 나사 결합위치를 바꿈으로써 상하이동하고, 이것에 의하여 분사노즐(280)과 그 분사노즐(280)의 윗쪽에 배치되는 기판(W)과의 거리를 알맞게 조정할 수 있게 되어 있다.23 shows the details of the cleaning tank 202 attached to the side of the plating tank 200. At the bottom of the cleaning tank 202, a plurality of spray nozzles 280 for spraying a rinse liquid such as pure water upwards are provided on the nozzle plate 282, and the nozzle plate 282 is disposed above and below the nozzle. It is connected to the upper end of the shaft 284. In addition, the nozzle upper and lower shafts 284 are moved by changing the screwing positions of the nozzles 287 for adjusting the nozzle position and the nuts 288 for screwing the screws 287 and thereby the spray nozzles 280. And the distance between the substrate W disposed above the injection nozzle 280 can be adjusted accordingly.

또한 세정탱크(202)의 둘레 벽 바깥 둘레면의 분사노즐(280)보다 윗쪽에 위치하여, 직경방향의 약간 비스듬하게 아래쪽을 향하여 세정탱크(202)의 내부에 순수 등의 세정액을 분사하여 기판 헤드(204)의 헤드부(232)의 적어도 도금액에 접액하는 부분에 세정액을 내뿜는 헤드 세정 노즐(286)이 설치되어 있다.In addition, it is located above the injection nozzle 280 on the outer circumferential surface of the circumferential wall of the cleaning tank 202, and sprays a cleaning liquid such as pure water into the cleaning tank 202 in a direction that is slightly obliquely downward in the radial direction. At least a portion of the head portion 232 of the head portion 232 which contacts the plating liquid is provided with a head cleaning nozzle 286 for flushing the cleaning liquid.

이 세정탱크(202)에 있어서는, 기판 헤드(204)의 헤드부(232)로 유지한 기판(W)을 세정탱크(202) 내의 소정의 위치에 배치하고, 분사노즐(280)로부터 순수 등의 세정액(린스액)을 분사하여 기판(W)을 세정(린스)하는 것으로, 이 때, 헤드세정 노즐(286)로부터 순수 등의 세정액을 동시에 분사하여 기판 헤드(204)의 헤드부(232)의 적어도 도금액에 접액하는 부분을 상기 세정액으로 세정함으로써, 도금액에 침지된 부분에 석출물이 축적되는 것을 방지할 수 있다.In the cleaning tank 202, the substrate W held by the head portion 232 of the substrate head 204 is disposed at a predetermined position in the cleaning tank 202, and the pure water or the like is injected from the spray nozzle 280. The cleaning liquid (rinse liquid) is sprayed to clean (rinse) the substrate W. At this time, the cleaning liquid, such as pure water, is simultaneously sprayed from the head cleaning nozzle 286 to provide the head portion 232 of the substrate head 204. By washing at least a part of the liquid contacting the plating liquid with the cleaning liquid, it is possible to prevent the accumulation of precipitates in the portion immersed in the plating liquid.

이 무전해 도금장치(30)에 있어서는, 기판 헤드(204)를 상승시킨 위치에서 상기한 바와 같이 하여 기판 헤드(204)의 헤드부(232)에서 기판(W)을 흡착 유지하고, 동시에 도금탱크(200)의 도금액을 순환시켜 둔다.In this electroless plating apparatus 30, the substrate W is sucked and held by the head portion 232 of the substrate head 204 as described above at the position where the substrate head 204 is raised, and at the same time, the plating tank. The plating liquid 200 is circulated.

그리고, 도금처리를 행할 때에는, 도금탱크(200)의 도금탱크 커버(270)를 개방하여 기판 헤드(204)를 회전시키면서 하강시켜 헤드부(232)로 유지한 기판(W)을 도금탱크(200) 내의 도금액에 침지시킨다.In the plating process, the plating tank cover 270 of the plating tank 200 is opened to rotate the substrate head 204 while lowering the substrate head 204 to hold the substrate W held by the head portion 232. Is dipped in a plating solution in

그리고, 기판(W)을 소정시간 도금액 중에 침지시킨 후, 기판 헤드(204)를 상승시켜 기판(W)을 도금탱크(200) 내의 도금액으로부터 끌어 올려 필요에 따라 상기한 바와 같이 기판(W)을 향하여 분사노즐(268)로부터 순수(정지액)를 분사하여 기판(W)을 즉시 냉각하고, 다시 기판 헤드(204)를 상승시켜 기판(W)을 도금탱크(200)의 윗쪽위치까지 끌어 올려 기판 헤드(204)의 회전을 정지시킨다.Subsequently, after the substrate W is immersed in the plating liquid for a predetermined time, the substrate head 204 is raised to pull the substrate W out of the plating liquid in the plating tank 200, as described above. Pure water (stopping liquid) is injected from the spray nozzle 268 to immediately cool the substrate W. Then, the substrate head 204 is raised again, and the substrate W is pulled up to the upper position of the plating tank 200. The rotation of the head 204 is stopped.

다음에, 기판 헤드(204)의 헤드부(232)로 기판(W)을 흡착 유지한 채로, 기판 헤드(204)를 세정탱크(202)의 바로 윗쪽위치로 이동시킨다. 그리고 기판 헤드(204)를 회전시키면서 세정탱크(202) 내의 소정의 위치까지 하강시켜, 분사노즐(280)로부터 순수 등의 세정액(린스액) 을 분사하여 기판(W)을 세정(린스)하고, 동시에 헤드세정 노즐(286)로부터 순수 등의 세정액을 분사하여, 기판 헤드(204)의 헤드부(232)의 적어도 도금액에 접액하는 부분을 상기 세정액으로 세정한다. Next, the substrate head 204 is moved to the position immediately above the cleaning tank 202 while the substrate W is held by the head portion 232 of the substrate head 204. Then, the substrate head 204 is rotated to a predetermined position in the cleaning tank 202, and a cleaning liquid (rinse liquid) such as pure water is sprayed from the injection nozzle 280 to clean (rinse) the substrate W. At the same time, a cleaning liquid such as pure water is sprayed from the head cleaning nozzle 286 to clean at least a portion of the head portion 232 of the substrate head 204 in contact with the plating liquid with the cleaning liquid.

이 기판(W)의 세정이 종료된 후, 기판 헤드(204)의 회전을 정지시키고, 기판 헤드(204)를 상승시켜 기판(W)을 세정탱크(202)의 윗쪽위치까지 끌어 올리고, 다시 기판 헤드(204)를 반송 로봇(16)과의 주고 받음위치까지 이동시켜, 이 반송 로봇(16)에 기판(W)를 주고 받아 다음공정으로 반송한다. After the cleaning of the substrate W is completed, the rotation of the substrate head 204 is stopped, the substrate head 204 is raised, the substrate W is pulled up to the upper position of the cleaning tank 202, and the substrate is again. The head 204 is moved to the transfer position with the transfer robot 16, and the board | substrate W is exchanged with this transfer robot 16, and it transfers to the next process.

이 무전해 도금장치(30)에는, 도 24에 나타내는 바와 같이, 무전해 도금장치(30)가 보유하는 도금액의 액량을 계측함과 동시에, 예를 들면 흡광 광도법, 적정법, 전기화학적 측정 등으로 도금액의 조성을 분석하여, 도금액 중의 부족되는 성분을 보급하는 도금액 관리 유닛(330)이 구비되어 있다. 그리고 이것들의 분석결과를 신호처리하여 도금액 중의 부족되는 성분을, 도시 생략한 보급탱크로부터 정량 펌프 등을 사용하여 도금액 저장탱크(302)에 보급하여 도금액의 액량과 조성을 관리하도록 되어 있고, 이것에 의하여 박막도금을 재현성 좋게 실현할 수 있다. As shown in Fig. 24, the electroless plating apparatus 30 measures the liquid amount of the plating liquid held by the electroless plating apparatus 30, and, for example, the plating liquid by absorbance photometry, titration method, electrochemical measurement, or the like. The plating liquid management unit 330 is provided to analyze the composition of the liquid crystal and to supply a component that is insufficient in the plating liquid. The analysis results are then signal-processed to supply the insufficient components in the plating liquid to the plating liquid storage tank 302 using a metering pump or the like from a supply tank (not shown) to manage the liquid amount and composition of the plating liquid. Thin film plating can be realized with high reproducibility.

이 도금액 관리유닛(330)은, 무전해 도금장치(30)가 보유하는 도금액의 용존산소를 예를 들면 전기화학적 방법 등에 의하여 측정하는 용존산소 농도계(332)를 가지고 있고, 이 용존산소 농도계(332)의 지시에 의하여 예를 들면 탈기, 질소흡입 그밖의 방법으로 도금액 중의 용존산소 농도를 일정하게 관리할 수 있게 되어 있다. 이와 같이 도금액 중의 용존산소 농도를 일정하게 관리함으로써, 도금 반응을 재현성좋게 실현할 수 있다. The plating liquid management unit 330 has a dissolved oxygen concentration meter 332 which measures the dissolved oxygen of the plating liquid held by the electroless plating apparatus 30 by, for example, an electrochemical method or the like, and the dissolved oxygen concentration meter 332 ), The dissolved oxygen concentration in the plating liquid can be managed constantly by, for example, degassing, nitrogen inhalation or the like. As described above, by constantly controlling the dissolved oxygen concentration in the plating liquid, the plating reaction can be realized with high reproducibility.

또한 도금액을 반복하여 이용하면, 외부로부터의 유입이나 그것 자신의 분해에 의하여 어느 특정성분이 축적되어, 도금의 재현성이나 막질의 열화로 이어지는 경우가 있다. 이와 같은 특정성분을 선택적으로 제거하는 기구를 추가함으로써, 액 수명의 연장과 재현성의 향상을 도모할 수 있다. When the plating solution is used repeatedly, certain specific components may accumulate due to inflow from the outside or its own decomposition, leading to reproducibility of plating and deterioration of film quality. By adding a mechanism for selectively removing such a specific component, the life of the liquid can be extended and the reproducibility can be improved.

도 25는, 연마장치(CMP 장치)(32)의 일례를 나타낸다. 이 연마장치(32)는 상면에 연마포(연마패드)(820)를 부착하여 연마면을 구성하는 연마 테이블(822)과, 기판(W)을 그 피연마면을 연마 테이블(822)을 향하여 유지하는 톱링(824)을 구비하고 있다. 그리고 연마 테이블(822)과 톱링(824)을 각각 자전시켜, 연마 테이블(822)의 윗쪽에 설치된 숫돌액 노즐(826)로부터 숫돌액을 공급하면서, 톱링(824)에 의하여 기판(W)을 일정한 압력으로 연마 테이블(822)의 연마포(820)에 가압함으로써, 기판(W)의 표면을 연마하도록 되어 있다. 또한 연마패드로서, 미리 숫돌입자를 넣은 고정 숫돌입자방식을 채용한 것을 사용하여도 좋다.25 shows an example of the polishing apparatus (CMP apparatus) 32. The polishing apparatus 32 has a polishing table 822 which attaches a polishing cloth (polishing pad) 820 to the upper surface to form a polishing surface, and the substrate W facing the polishing surface toward the polishing table 822. A top ring 824 is provided. The substrate W is fixed by the top ring 824 while rotating the polishing table 822 and the top ring 824, respectively, and supplying the grinding liquid from the grinding liquid nozzle 826 provided above the polishing table 822. The surface of the substrate W is polished by pressing the polishing cloth 820 of the polishing table 822 with pressure. As the polishing pad, one that employs a fixed whetstone particle method in which whetstone particles are put in advance may be used.

이와 같은 CMP 장치를 사용하여 연마작업을 계속하면 연마포(820)의 연마면의 연마힘이 저하되나, 이 연마력을 회복시키기 위하여, 드레서(828)를 설치하고, 이 드레서(823)에 의하여 연마하는 기판(W)의 교환시 등에 연마포(820)의 날 세움(드레싱) 이 행하여지고 있다. 이 드레싱처리에 있어서는, 드레서(328)의 드레싱면(드레싱부재)을 연마 테이블(822)의 연마포(820)에 가압하면서 이들을 자전시킴으로써 연마면에 부착된 숫돌액이나 절삭 찌꺼기를 제거함과 동시에, 연마면의 평탄화 및 날 세움이 행하여져 연마면이 재생된다. 또 연마 테이블(822)에 기판의 표면상태를 감시하는 모니터를 설치하여, 그곳(In-situ)에서 연마의 종점(엔드 포인트)을 검출하여도 좋고, 또 그곳(In-situ)에서 기판의 마무리상태를 검사하는 모니터를 설치하여도 좋다. If the polishing operation is continued using such a CMP apparatus, the polishing force of the polishing surface of the polishing cloth 820 is lowered. However, in order to restore the polishing force, a dresser 828 is provided and polishing is performed by the dresser 823. At the time of exchanging the substrate W to be made, the grinding cloth (dressing) of the polishing cloth 820 is performed. In this dressing process, the dressing surface (dressing member) of the dresser 328 is rotated while pressing them to the polishing cloth 820 of the polishing table 822 to remove the grindstone liquid and cutting debris attached to the polishing surface, The polishing surface is planarized and sharpened to reproduce the polishing surface. Further, a monitor for monitoring the surface state of the substrate may be provided on the polishing table 822 to detect the end point (end point) of polishing therein (in-situ), and finish the substrate therein (in-situ). You may install a monitor that checks the condition.

도 26 및 도 27은, 반전기를 구비한 막두께 측정기(24)를 나타낸다. 도 26 및 도 27에 나타내는 바와 같이, 이 막두께 측정기(24)는 반전기(339)를 구비하고, 이 반전기(339)는, 반전암(353, 353)을 구비하고 있다. 이 반전암(353, 353)은, 기판(W)의 바깥 둘레를 그 좌우 양측에서 끼워 유지하고, 이것을 180°회동함으로써 반전시키는 기능을 가진다. 그리고 이 반전암(353, 353)(반전 스테이지)의 바로 밑에 원형의 설치대(355)를 설치하여, 설치대(355)상에 복수의 막두께 센서(S)를 설치한다. 설치대(355)는 구동기구(357)에 의하여 상하이동 자유롭게 구성되어 있다. 26 and 27 show a film thickness meter 24 provided with an inverter. As shown to FIG. 26 and FIG. 27, this film thickness measuring device 24 is equipped with the inverter 339, and this inverter 339 is equipped with the inversion arms 353 and 353. As shown in FIG. These inversion arms 353 and 353 hold | maintain the outer periphery of the board | substrate W in the left and right both sides, and have a function which inverts this by rotating 180 degrees. A circular mounting table 355 is provided directly under the inverting arms 353 and 353 (inverting stage), and a plurality of film thickness sensors S are provided on the mounting table 355. The mounting table 355 is freely constructed by the drive mechanism 357.

그리고 기판(W)의 반전시에는 설치대(355)는, 기판(W) 아래쪽의 실선의 위치에 대기하고 있어, 반전의 전 또는 후에 점선으로 나타내는 위치까지 상승하여 막두께 센서(S)를 반전암(353, 353)에 잡힌 기판(W)에 접근시켜 그 막두께를 측정한다. At the time of inversion of the substrate W, the mounting table 355 stands by at the position of the solid line under the substrate W, and ascends to the position indicated by the dotted line before or after the inversion, thereby turning the film thickness sensor S into the inversion arm. The film thickness is measured by approaching the substrate W held by (353, 353).

본예에 의하면, 반송 로봇의 암 등의 제약이 없기 때문에, 설치대(355)상의 임의의 위치에 막두께 센서(S)를 설치할 수 있다. 또 설치대(355)는 상하 자유로운 구성으로 되어 있기 때문에, 측정시에 기판(W)과 센서 사이의 거리를 조정할 수도 있다. 또, 검출목적에 따른 복수종류의 센서를 설치하여, 각각의 센서의 측정마다 기판(W)과 각 센서 사이의 거리를 변경하는 것도 가능하다. 단 설치대(355)가 상하이동하기 때문에, 측정시간을 약간 요하게 된다. According to this embodiment, since there are no restrictions, such as an arm of a carrier robot, the film thickness sensor S can be provided in arbitrary positions on the mounting table 355. In addition, since the mounting table 355 has a vertical configuration, the distance between the substrate W and the sensor can be adjusted at the time of measurement. It is also possible to provide a plurality of types of sensors in accordance with the detection purpose, and to change the distance between the substrate W and each sensor for each measurement of each sensor. However, since the mounting table 355 moves east and west, it takes a little time for measurement.

여기서, 막두께 센서(S)로서, 예를 들면 과전류 센서가 사용된다. 과전류 센서는 와전류를 발생시켜, 기판(W)을 도통하여 돌아온 전류의 주파수나 손실을 검출함으로써, 막두께를 측정하는 것으로, 비접촉으로 사용된다. 또한 막두께 센서(S)로서는, 광학적 센서도 적합하다. 광학적 센서는, 시료에 빛을 조사하여 반사되는 빛의 정보로부터 막두께를 직접적으로 측정할 수 있는 것으로, 금속막 뿐만 아니라 산화막 등의 절연막의 막두께 측정도 가능하다. 막두께 센서(S)의 설치위치는 도시한 것에 한정되지 않고, 측정하고 싶은 곳에 임의의 갯수를 설치한다.Here, as the film thickness sensor S, for example, an overcurrent sensor is used. The overcurrent sensor generates an eddy current and measures the film thickness by detecting the frequency or loss of the current returned by conducting the substrate W, and is used in a noncontact manner. Moreover, as a film thickness sensor S, an optical sensor is also suitable. An optical sensor can directly measure the film thickness from the information of the light reflected by irradiating light to a sample, and can measure not only the metal film but also the film thickness of insulating films, such as an oxide film. The installation position of the film thickness sensor S is not limited to what is shown in figure, Any number is provided in the place to be measured.

다음에, 이와 같이 구성된 기판처리장치에 의하여 도 1a에 나타내는 시드층(6)을 형성한 기판(W)에 구리배선을 형성하는 일련의 처리를, 도 28을 더 참조하여 설명한다.Next, a series of processes for forming copper wiring on the substrate W on which the seed layer 6 shown in FIG. 1A is formed by the substrate processing apparatus configured as described above will be described further with reference to FIG. 28.

먼저, 표면에 시드층(6)을 형성한 기판(W)을 반송 박스(10)로부터 1매씩 인출하여 로드·언로드 스테이션(14)으로 반입한다. 그리고 이 로드·언로드 스테이션(14)으로 반입한 기판(W)을 반송 로봇(16)으로 막두께 측정기(24)로 반송하고, 이 막두께측정기(24)로 이니셜 막두께[시드층(6)의 막두께]를 측정한다. 그런 다음에 필요에 따라 기판을 반전시켜 도금장치(18)로 반송하고, 이 도금장치(18)로 도 1b에 나타내는 바와 같이 기판(W)의 표면에 구리층(7)을 퇴적시켜 구리의 매립을 행한다.First, the board | substrate W in which the seed layer 6 was formed in the surface is taken out from the conveyance box 10 one by one, and is carried in to the load unloading station 14. And the board | substrate W carried in this loading / unloading station 14 is conveyed by the transfer robot 16 to the film thickness measuring device 24, and the initial film thickness (seed layer 6) by this film thickness measuring device 24. Film thickness] is measured. Then, if necessary, the substrate is inverted and conveyed to the plating apparatus 18. The plating apparatus 18 deposits the copper layer 7 on the surface of the substrate W as shown in FIG. 1B to bury the copper. Is done.

그리고, 이 구리층(7)을 형성한 기판을, 반송 로봇(16)으로 세정·건조장치(20)로 반송하여 기판(W)의 순수에 의한 세정을 행하여 건조시키거나, 또는 도금장치(18)로 스핀 건조기능이 구비되어 있는 경우에는 이 도금장치(18)로 기판(W)의 스핀 건조(액 떨굼)를 행하고, 이 건조후의 기판을 베벨 에칭·이면 세정장치(22)로 반송한다. And the board | substrate which formed this copper layer 7 is conveyed to the washing | cleaning and drying apparatus 20 with the transfer robot 16, and it wash | cleans with the pure water of the board | substrate W, and dries, or the plating apparatus 18 is carried out. In the case where the spin drying function is provided, the spin drying (liquid drop) of the substrate W is performed by the plating apparatus 18, and the substrate after the drying is conveyed to the bevel etching and the back surface cleaning apparatus 22.

이 베벨 에칭·이면 세정장치(22)에서는, 기판(W)의 베벨(에지)부에 부착된 불필요한 구리를 에칭제거함과 동시에, 기판의 이면을 순수 등으로 세정하고, 또한 상기와 마찬가지로 반송 로봇(16)으로 세정·건조장치(20)로 반송하여 기판(W)의 순수에 의한 세정을 행하여 스핀 건조시키거나, 또는 베벨 에칭·이면 세정장치(22)에 스핀 건조기능이 구비되어 있는 경우에는, 이 베벨 에칭·이면 세정장치(22)로 기판(W)의 스핀 건조를 행하고, 이 건조후의 기판을 반송 로봇(16)으로 열처리장치(26)로 반송한다. In this bevel etching and back surface cleaning apparatus 22, the unnecessary copper adhering to the bevel (edge) part of the board | substrate W is etched away, the back surface of a board | substrate is wash | cleaned with pure water, etc., and a conveyance robot ( 16), the substrate is conveyed to the washing and drying apparatus 20, and the substrate W is washed with pure water to spin-dry, or when the bevel etching and back washing apparatus 22 is equipped with a spin drying function, This bevel etching and back surface are spin-dried by the washing | cleaning apparatus 22, and the board | substrate after this drying is conveyed to the heat processing apparatus 26 by the transfer robot 16.

이 열처리장치(26)에서 기판(W)의 열처리(어닐링)를 행한다. 그리고, 이 열처리후의 기판(W)을 반송 로봇(16)으로 막두께 측정기(24)로 반송하여, 여기서 구리의 막두께를 측정하고, 이 측정결과와 상기한 이니셜 막두께의 측정결과와의 차로부터 구리층(7)(도 1b 참조)의 막두께를 구하고, 이 측정후의 막두께에 의하여 예를 들면 다음에 기판에 대한 도금시간을 조정하고, 또 막두께가 부족되는 경우에는 다시 도금에 의한 구리의 추가의 성막을 행한다. 그리고 이 막두께 측정후의 기판(W)을, 반송 로봇(16)에 의하여 연마장치(32)로 반송한다. In this heat treatment apparatus 26, heat treatment (annealing) of the substrate W is performed. Then, the substrate W after the heat treatment is conveyed to the film thickness meter 24 by the transfer robot 16, and the copper film thickness is measured here, and the difference between the measurement result and the measurement result of the initial film thickness described above. The film thickness of the copper layer 7 (refer to FIG. 1B) was obtained from the above. For example, the plating time for the substrate was next adjusted by the film thickness after the measurement. Further film formation of copper is performed. And the board | substrate W after this film thickness measurement is conveyed to the grinding | polishing apparatus 32 by the conveyance robot 16. As shown in FIG.

이 연마장치(32)에서 도 1c에 나타내는 바와 같이, 기판(W)의 표면에 퇴적된 불필요한 구리층(7) 및 시드층(6)을 연마제거하여, 기판(W)의 표면을 평탄화한다. 이때 예를 들면 막두께나 기판의 마무리 정도를 모니터로 검사하여, 이 모니터로 종점(엔드 포인트)을 검지하였을 때에, 연마를 종료한다. 그리고 이 연마후의 기판(W)을 반송 로봇(16)으로 세정·건조장치(20)로 반송하여 이 세정·건조장치(20)로 기판 표면을 약액으로 세정하고, 다시 순수로 세정(린스)한 후, 고속회전시켜 스핀 건조시킨다. 그리고 이 스핀 건조후의 기판(W)을 반송 로봇(16)으로 전처리장치(28)로 반송한다. In this polishing apparatus 32, as shown in FIG. 1C, the unnecessary copper layer 7 and seed layer 6 deposited on the surface of the substrate W are polished and removed to planarize the surface of the substrate W. FIG. At this time, for example, when the film thickness and the finish of the substrate are inspected by the monitor and the end point (end point) is detected by the monitor, polishing is finished. The substrate W after the polishing is transferred to the cleaning and drying apparatus 20 by the transfer robot 16, and the substrate surface is washed with the chemical liquid by the cleaning and drying apparatus 20, and then washed (rinsed) with pure water again. Then, it is spin-dried at high speed. And the board | substrate W after this spin drying is conveyed to the preprocessing apparatus 28 by the transfer robot 16.

이 전처리장치(28)에서, 예를 들면 기판 표면에 대한 Pd 촉매의 부착이나, 기판의 노출 표면에 부착된 산화막의 제거 등의 적어도 한쪽의 도금 전처리를 행한다. 그리고 이 도금 전처리후의 기판을, 상기한 바와 같이 반송 로봇(16)으로 세정·건조장치(20)로 반송하여, 기판(W)의 순수에 의한 세정을 행하여 스핀 건조시키거나, 또는 전처리장치(28)에 스핀 건조기능이 구비되어 있는 경우에는, 이 전처리장치(28)에서 기판(W)의 스핀 건조(액떨굼)를 행하고, 이 건조후의 기판을 반송 로봇(16)으로 무전해 도금장치(30)로 반송한다. In this pretreatment apparatus 28, at least one plating pretreatment is performed, for example, adhesion of a Pd catalyst to the substrate surface, removal of an oxide film adhered to the exposed surface of the substrate, and the like. Then, the substrate after the plating pretreatment is transferred to the cleaning and drying apparatus 20 by the transfer robot 16 as described above, and the substrate W is washed with pure water to spin-dry or the pretreatment apparatus 28 ) Is equipped with a spin drying function, spin drying (liquid dripping) of the substrate W in this pretreatment apparatus 28, and transfers the substrate after the drying to the electroless plating apparatus 30 by the transfer robot 16. Return to).

이 무전해 도금장치(30)에서 도 1d에 나타내는 바와 같이, 노출된 배선(8)의 표면에, 예를 들면 무전해 CoWP 도금을 실시하여 배선(8)의 외부로의 노출 표면에, CoWP 합금막으로 이루어지는 보호막(도금막)(9)을 선택적으로 형성하여 배선(8)을 보호한다. 이 보호막(9)의 막두께는, 0.1 ∼ 500 nm, 바람직하게는, 1 ∼ 200 nm, 더욱 바람직하게는 10 ∼ 100 nm 정도이다. 이때 예를 들면, 보호막(9)의 막두께를 모니터하여 이 막두께가 소정의 값에 도달하였을 때, 즉 종점(엔드 포인트)을 검지하였을 때에 무전해 도금을 종료한다. In this electroless plating apparatus 30, as shown in FIG. 1D, the surface of the exposed wiring 8 is subjected to, for example, electroless CoWP plating, and a CoWP alloy is applied to the exposed surface of the wiring 8 to the outside. A protective film (plating film) 9 made of a film is selectively formed to protect the wiring 8. The film thickness of this protective film 9 is 0.1-500 nm, Preferably it is 1-200 nm, More preferably, it is about 10-100 nm. At this time, for example, when the film thickness of the protective film 9 is monitored and the film thickness reaches a predetermined value, that is, when the end point (end point) is detected, the electroless plating is terminated.

그리고 무전해 도금이 종료된 기판을, 반송 로봇(16)으로 세정·건조장치(20)로 반송하여 이 세정·건조장치(20)에서 기판 표면을 약액으로 세정하고, 다시 순수로 세정(린스)한 후, 고속 회전시켜 스핀 건조시킨다. 그리고 이 스핀 건조후의 기판(W)을 반송 로봇(16)으로 로드·언로드 스테이션(14)을 경유하여 반송 박스(10) 내로 되돌린다. Then, the substrate on which the electroless plating is completed is conveyed to the cleaning and drying apparatus 20 by the transfer robot 16, and the surface of the substrate is cleaned with the chemical liquid by the cleaning and drying apparatus 20, and then washed with pure water (rinse). Then, it is spin-dried at high speed. And the board | substrate W after this spin drying is returned to the conveyance box 10 by the conveyance robot 16 via the load and unload station 14.

도 29는 본 발명의 다른 실시형태의 도금장치를 나타낸다. 이 도 29에 나타내는 실시형태의 도금장치의 도 3에 나타내는 도금장치와 다른 점은, 기판 스테이지(504)로서, 그 표면에 평탄화한 기판 탑재면(504e)을 설치한 것을 사용하여, 이 기판탑재면(504e)의 표면에 기판(W)을 직접 맞닿게 하여 탑재 유지하도록 한 점에 있다. 그 밖의 구성은, 도 3에 나타내는 것과 동일하다. Fig. 29 shows a plating apparatus of another embodiment of the present invention. The plating apparatus of the embodiment shown in FIG. 29 differs from the plating apparatus shown in FIG. 3 as the substrate stage 504 by using a substrate mounting surface 504e flattened on the surface thereof. The substrate W is brought into direct contact with the surface of the surface 504e so as to be mounted and held. The other structure is the same as that shown in FIG.

도 30은, 본 발명의 또 다른 실시형태의 도금장치를 나타낸다. 이 실시형태의 도금장치의 도 3에 나타내는 도금장치와 다른 점은, 기판 스테이지(504)로서 그 표면에 오목부(504f)를 형성하고, 이 오목부(504f) 내에 백킹 필름(564)을 접착한 것을 사용하여, 이 백킹 필름(564)의 표면에 기판(W)을 맞닿게 하여 탑재 유지하게 한 점이다. 그 밖의 구성은, 도 3에 나타내는 것과 동일하다. 30 shows a plating apparatus according to still another embodiment of the present invention. The plating apparatus of this embodiment differs from the plating apparatus shown in FIG. 3 by forming a recess 504f on its surface as the substrate stage 504, and bonding the backing film 564 into the recess 504f. The board | substrate W was made to abut on the surface of this backing film 564 using what was used, and it was the point of mounting holding. The other structure is the same as that shown in FIG.

도 31은 본 발명의 또 다른 실시형태의 도금장치를 나타낸다. 이 도 31에 나타내는 실시형태의 도금장치의 도 30에 나타내는 도금장치와 다른 점은, 전극 헤드(502)로서, 그 지름이 기판 스테이지(504)의 지름과 비교하여 작은 지름의 것을 사용한 점에 있다. 이 예에 있어서는 전극 헤드(502)의 지름이 기판 스테이지(504)의 지름과 비교하여 작은 지름이기 때문에, 전극 헤드(502)와 기판 스테이지(504)를 고정한 상태에서 도금을 행하면, 기판 스테이지(504)로 유지한 기판(W)의 전면에 걸쳐 도금을 행할 수 없다. 따라서 이 예에 있어서는 캐소드전극(512) 및 애노드(526)를 도금전원(560)에 접속하여 도금을 행할 때에, 요동암(500)을 거쳐 전극 헤드(502)를 요동시키고, 동시에 전극 헤드(502) 또는 기판 스테이지(504)의 적어도 한쪽을 회전시키도록 하고 있다. 그 밖의 구성은, 도 30에 나타내는 것과 동일하다. Fig. 31 shows a plating apparatus according to still another embodiment of the present invention. The plating apparatus of the embodiment shown in FIG. 31 differs from the plating apparatus shown in FIG. 30 in that the electrode head 502 has a diameter smaller than that of the substrate stage 504. . In this example, since the diameter of the electrode head 502 is smaller than the diameter of the substrate stage 504, when the plating is performed while the electrode head 502 and the substrate stage 504 are fixed, the substrate stage 504 Plating cannot be carried out over the entire surface of the substrate W held by). Therefore, in this example, when the cathode electrode 512 and the anode 526 are connected to the plating power source 560 to perform plating, the electrode head 502 is rocked via the swinging arm 500, and at the same time, the electrode head 502 ) Or at least one of the substrate stage 504 is rotated. The other structure is the same as that shown in FIG.

도 32는, 본 발명의 또 다른 실시형태의 도금장치를 나타낸다. 이 실시형태의 도금장치의 도 29에 나타내는 실시형태의 도금장치와 다른 점은, 요동암(500)의 자유단에, 회전 자유롭고, 또한 요동암(500)과는 독립으로 상하 이동함으로써 가압이간기구로서의 역활을 하는 구동체(580)를 설치하고 있다. 그리고 이 구동체(580)와, 내부에 애노드(526)를 수납하여, 하단 개구를 다공질체(528)로 폐쇄하여 애노드실(530)을 구획 형성한 상하 이동 하우징(522)을, 상기 상하 이동 하우징(522) 내에 배치한 지지체(582)를 거쳐 볼 베어링(584)으로 연결하고, 구동체(580)의 상하 이동에 따라 이 볼 베어링(584)을 거쳐 하중을 일점에 집중하여 상하 이동 하우징(522)을 가압하도록 한 점에 있다. 32 shows a plating apparatus according to still another embodiment of the present invention. The plating apparatus of this embodiment differs from the plating apparatus of the embodiment shown in FIG. 29 by being free to rotate at the free end of the rocking arm 500 and moving up and down independently of the rocking arm 500. The drive body 580 which functions as a mechanism is provided. The drive member 580 and the up-and-down moving housing 522 in which the anode 526 is housed therein, the lower opening is closed by the porous body 528, and the anode chamber 530 is partitioned, are moved up and down. It connects to the ball bearing 584 via the support body 582 arrange | positioned in the housing 522, and concentrates a load to one point via this ball bearing 584 according to the vertical movement of the drive body 580 ( 522 is pressurized.

이 예에서는 구동체(580)에 플랜지(580a)를, 지지체(582)에 스토퍼로서의 역할을 하는 플랜지(582a)를 각각 설치하고 있다. 그리고 구동체(580)의 플랜지(580a)에 압축코일스프링(586)으로 탄성력을 부여한 상태에서 아래쪽으로 돌출하는 스토퍼 핀(588)을 설치하고, 이 스토퍼 핀(588)의 하단을 지지체(582)의 플랜지(스토퍼) (582a)에 탄성적으로 맞닿게 함으로써, 지지체(582) 및 상하 이동 하우징(522)을 수평으로 유지하도록 하고 있다. 그 밖의 구성은, 도 29에 나타내는 것과 동일하다.In this example, the flange 580a is provided in the drive body 580, and the flange 582a which serves as a stopper is provided in the support body 582, respectively. In addition, a stopper pin 588 protruding downward in a state in which elastic force is applied to the flange 580a of the driving body 580 by the compression coil spring 586 is provided, and a lower end of the stopper pin 588 is supported by a support 582. By elastically contacting the flange (stopper) 582a, the support body 582 and the up-and-down moving housing 522 are held horizontally. The other structure is the same as that shown in FIG.

또한 상기한 예는, 배선재료로서 구리를 사용한 예를 나타내고 있으나, 이 구리 외에, 구리합금, 은 및 은합금 등을 사용하여도 좋다. 이것은 이하의 예에 있어서도 동일하다. In addition, although the said example shows the example which used copper as a wiring material, you may use copper alloy, silver, silver alloy, etc. other than this copper. This is the same also in the following example.

본 발명에 의하면, 트렌치나 비어홀의 내부에 우선적으로 도금을 행하여 배선재료(금속막)를 매립함으로써 도금후의 표면의 평탄성을 향상시킬 수 있다. 이것에 의하여 CMP와 같은 볼록부의 선택적 에칭 프로세스의 부하를 삭감 또는 생략하여 비용절감 뿐만 아니라, 디싱이나 옥사이드 에로젼 등의 CMP 특유의 문제도 해결할 수 있다. According to the present invention, it is possible to improve the flatness of the surface after plating by first plating the inside of the trench or via hole to fill the wiring material (metal film). This reduces or eliminates the load on the selective etching process of the convex portion such as CMP, and can solve not only the cost but also problems specific to CMP such as dishing and oxide erosion.

도 33 및 도 34는, 본 발명의 또 다른 실시형태의 도금장치의 주요부 개요를 나타낸다. 이 도금장치는, 다공질 접촉체(702), 도금액 함침재(703) 및 애노드(704)를 하우징(707) 내의 애노드실(706)에 수용한 전극 헤드(701)를 구비하고, 이 전극 헤드(701)는 지지부재(711) 및 에어백(709)을 거쳐 주축(710)에 설치되어 있다. 하우징(707)의 하단에는 시일링(708) 및 캐소드전극(712)이 설치되어 있다. 이 도면에는 표면에 시드층(6)을 설치한 기판(W)이 기재되어 있다. 33 and 34 show an outline of main parts of a plating apparatus according to still another embodiment of the present invention. This plating apparatus is provided with the electrode head 701 which accommodated the porous contact body 702, the plating liquid impregnation material 703, and the anode 704 in the anode chamber 706 in the housing 707, and this electrode head ( 701 is installed on main shaft 710 via support member 711 and airbag 709. The lower end of the housing 707 is provided with a sealing ring 708 and a cathode electrode 712. In this figure, the board | substrate W which provided the seed layer 6 in the surface is described.

전극 헤드(701)는 애노드(704), 도금액 함침재(703) 및 다공질 접촉체(702)를 이 순서로 하우징(707) 내에 설치함으로써 구성되어 있다. The electrode head 701 is configured by providing the anode 704, the plating liquid impregnation material 703, and the porous contact body 702 in the housing 707 in this order.

이 전극 헤드(701)의 최하부에 설치된 다공질 접촉체(702)는, 상기한 각 예에 있어서의 다공질 패드(534)의 하층 패드(534a)와 대략 동일한 구성을 가지고 있어, 여기서는 그 설명을 생략한다. The porous contact body 702 provided at the lowermost part of the electrode head 701 has a structure substantially the same as that of the lower layer pad 534a of the porous pad 534 in the above-described examples, and the description thereof is omitted here. .

또한 다공질 접촉체(702)는, 그 두께를 예를 들면 중심으로부터 바깥쪽을 향하여 서서히 두꺼워지도록 변화시킨 것이어도 좋고, 다공질 접촉체(702)의 미세 관통구멍의 구멍지름을, 예를 들면 중심으로부터 바깥쪽을 향하여 서서히 작아지도록 변화시킨 것이어도 좋다. 이들은 예를 들면 분체원료의 입자지름을 중심으로부터 바깥쪽을 향하여 서서히 작게 함으로써 실시 가능하다. 또 다공질 접촉체(702)의 미세 관통구멍의 구멍지름 자체도, 애노드(704)측으로부터 기판(W)측을 향하여 서서히 구멍지름이 작아지게 하여도 좋다. 이것은 예를 들면 분체원료의 입자지름을 기판에 접하는 면을 향하여 서서히 작게 함으로써 실시 가능하다. Further, the porous contact 702 may be changed in thickness so as to gradually become thicker, for example, from the center to the outside, and the pore diameter of the fine through hole of the porous contact 702 may be, for example, from the center. It may be changed so as to gradually decrease toward the outside. These can be implemented by, for example, gradually decreasing the particle diameter of the powder raw material from the center toward the outside. In addition, the hole diameter itself of the minute through hole of the porous contact body 702 may gradually decrease from the anode 704 side toward the substrate W side. This can be done by, for example, gradually decreasing the particle diameter of the powder raw material toward the surface in contact with the substrate.

또한, 상대적으로 단단한 다공질체와 상대적으로 유연한 다공질체를 겹쳐서 다공질 접촉체(702)로 하여도 좋고, 다공질 접촉체(702)를 중심이 밑으로 볼록형상으로 하여도 좋다. The relatively hard porous body and the relatively flexible porous body may be superimposed on the porous contact body 702, or the porous contact body 702 may be convex downward.

한편, 도금액 함침재(703)는, 도금액(Q)을 유지하여 다공질 접촉체(702)의 표면과 기판(W)의 시드층(6)과의 사이에 보내는 작용을 가지는 것으로, 상기한 각 예에 있어서의 도금액 함침재(532)와 대략 동일한 구성을 가지고 있기 때문에, 여기서는 그 설명을 생략한다. On the other hand, the plating liquid impregnating material 703 has the effect of holding the plating liquid Q and sending it between the surface of the porous contact body 702 and the seed layer 6 of the substrate W. Since it has substantially the same structure as the plating liquid impregnation material 532 in this description, the description is abbreviate | omitted here.

또, 애노드(704)는 도금해야 할 금속이더라도 백금, 티탄 등의 불용해성 금속 또는 금속상에 백금 등을 도금한 불용해성 전극이어도 좋은 것은 상기와 동일하다.The anode 704 may be a metal to be plated, but may be an insoluble metal such as platinum or titanium, or an insoluble electrode in which platinum or the like is plated on a metal.

애노드(704)는, 그 상부까지가 도금액(Q)에 침지되어 있는 것이 바람직하고, 또한 그 상부에는 공간부가 설치되어 있는 것이 바람직하다. 이 공간부는, 불용해성의 전극을 사용한 경우에 생기는 산소가스 등의 기체를 모음과 동시에, 외부로부터 밸브(도시 생략)를 거쳐 공기 등을 도입함으로써, 전극 헤드(701) 전체의 압력을 높이고, 또한 도금액 자중으로 가공질 접촉체(702)의 미소 관통구멍으로부터 유출되는 도금액의 양을 제어할 수도 있다. As for the anode 704, it is preferable that the upper part is immersed in plating liquid Q, and it is preferable that the space part is provided in the upper part. The space portion collects gases such as oxygen gas generated when an insoluble electrode is used, and simultaneously introduces air or the like through a valve (not shown) from the outside, thereby increasing the pressure of the entire electrode head 701, and It is also possible to control the amount of the plating liquid flowing out from the micro through hole of the workpiece contact body 702 by the plating liquid own weight.

전극 헤드(701)는, 어느 정도의 탄성을 가지는 지지부재(711)에 의하여 주축(710)에 설치되어 있다. 또 전극 헤드(701)와 주축(710)의 사이에는 에어백(709)이 설치되어 있다. 그리고 이 에어백(709) 중의 공기를 증감시킴으로써, 전극 헤드(701) 전체가 상하로 움직여, 기판(W)의 시드층(6)에 대한 압력을 증감시킬 수 있다. The electrode head 701 is provided on the main shaft 710 by a supporting member 711 having some elasticity. An air bag 709 is provided between the electrode head 701 and the main shaft 710. By increasing and decreasing the air in this airbag 709, the whole electrode head 701 moves up and down, and the pressure with respect to the seed layer 6 of the board | substrate W can be increased and decreased.

하우징(707)의 바닥부 원주에 설치된 시일링(708)은, 탄성과 액 누출성을 가지는 재료, 예를 들면 고무나 플라스틱으로 형성된 것으로, 도금시의 다공질 접촉체(702) 측면으로부터의 도금액의 누출을 방지한다. 또 다공질 접촉체(702)와 기판(W)의 시드층(6)을 비접촉으로 한 상태에서도, 이 시일링(708)은 기판(W)의 시드층(6)으로부터 떨어지지 않아 도금액의 누출을 방지하는 구조로 하여도 좋다. 또 시일링(708)의 바깥쪽에, 기판(W)의 시드층(6)에 접촉하여 급전하는 캐소드전극(712)이 설치되어 있다. The seal ring 708 provided on the bottom circumference of the housing 707 is formed of a material having elasticity and liquid leaking property, for example, rubber or plastic, to seal the plating solution from the side of the porous contact 702 at the time of plating. Prevent leakage. In addition, even when the porous contact 702 and the seed layer 6 of the substrate W are brought into non-contact, the sealing ring 708 does not fall from the seed layer 6 of the substrate W to prevent leakage of the plating liquid. It is good also as a structure to make. A cathode electrode 712 is provided outside the sealing ring 708 in contact with the seed layer 6 of the substrate W.

또한 도 33에서는 다공질 접촉체(702)와 도금액 함침재(703)의 사이에 간극을 설치하여, 이 간극에 도금액(Q)이 존재하도록 하고 있으나, 이 간극에 연질의 스펀지 등을 설치하도록 하여도 좋다. 또 간극을 설치하지 않고, 다공질 접촉체(702)와 도금액 함침재(703)가 직접 접촉하도록 하여도 좋다. 후자의 경우, 도금액 함침재(703)의 형상에 의하여 전장의 균일화가 필요한 경우에는 도금액 함침재(703)의 형상에 적합하도록 다공질 접촉체(702)의 형상을 형성하여도 좋다. 또한 전극 헤드(701)는, 지지부재(711)에 의하여 주축(710)에 설치되고, 전극 헤드(701)와 주축(710)과의 사이에 에어백(709)이 장착되어 있으나, 주축(710)에 전극 헤드(701)를 직접 설치하여 주축(710) 전체를 엑츄에이터 등으로 움직이도록 하여도 좋다. In FIG. 33, a gap is provided between the porous contact body 702 and the plating liquid impregnation material 703 so that the plating liquid Q is present in the gap, but a soft sponge or the like may be provided in this gap. good. In addition, the porous contact body 702 and the plating liquid impregnating material 703 may be in direct contact without providing a gap. In the latter case, when uniformity of the overall length is required by the shape of the plating liquid impregnating material 703, the shape of the porous contact body 702 may be formed so as to conform to the shape of the plating liquid impregnating material 703. The electrode head 701 is installed on the main shaft 710 by the supporting member 711, and the airbag 709 is mounted between the electrode head 701 and the main shaft 710, but the main shaft 710 is provided. The electrode head 701 may be directly installed on the main shaft 710 so that the entire main shaft 710 may be moved by an actuator or the like.

도 34는 도금장치의 전체구성을 나타낸다. 이 도금장치에는 통합제어부(721), 인가전압제어부(722), 도금전원(723), 운동제어부(724), 가압펌프(725), 엑츄에이터(726) 및 기판 스테이지(730)가 구비되어 있다. 34 shows the overall configuration of a plating apparatus. The plating apparatus includes an integrated controller 721, an applied voltage controller 722, a plating power source 723, a motion controller 724, a pressure pump 725, an actuator 726, and a substrate stage 730.

이 도금장치는, 이른바 페이스업방식을 채용한 전해도금장치이고, 기판(W)은 표면을 상향으로 하여 기판 스테이지(730)상에 탑재되어 있다. 도금에 있어서는 이 표면을 상향으로 한 기판(W)에 대하여, 전극 헤드(701)가 하강하여 다공질 접촉체(702)의 표면이 기판(W)의 시드층(6)과 접촉한다. 그리고 캐소드전극(712)이, 기판(W) 표면의 시드층(6)에 접촉하여 통전 가능하게 된다. 또한 이 예에서는 표면을 상향(페이스업)으로 하여 기판을 유지하도록 하고 있으나, 표면을 하향(페이스다운)으로 하여 기판을 유지하거나, 기판을 수직방향으로 유지하거나 하여도 좋다. This plating apparatus is an electroplating apparatus employing a so-called face up method, and the substrate W is mounted on the substrate stage 730 with its surface upward. In plating, the electrode head 701 is lowered with respect to the substrate W having this surface upward, and the surface of the porous contact body 702 is in contact with the seed layer 6 of the substrate W. As shown in FIG. The cathode electrode 712 is in contact with the seed layer 6 on the surface of the substrate W to enable energization. In this example, the substrate is held with the surface up (face up). However, the substrate may be held with the surface down (face down), or the substrate may be held vertically.

한편, 전극 헤드(701) 중의 도금액(Q)은, 애노드(704)의 내부에 설치한 가는 구멍 중, 도금액 함침재(703) 및 다공질 접촉체(702)의 내부에 채워져 있고, 기판(W)의 시드층(6)의 상면(표면)에 공급된다. 도금액이 공급되는 타이밍은, 다공질 접촉체(702)와 시드층(6)이 접촉하기 전이나, 접촉한 후이어도 좋으나, 에어뽑기를 생각하면 접촉하기 직전부터의 공급이 바람직하다. On the other hand, the plating liquid Q in the electrode head 701 is filled in the plating liquid impregnation material 703 and the porous contact body 702 among the thin holes provided in the inside of the anode 704, and the board | substrate W It is supplied to the upper surface (surface) of the seed layer 6 of. The timing at which the plating liquid is supplied may be before or after the porous contact body 702 and the seed layer 6 are in contact with each other. However, in consideration of air extraction, supply from immediately before contact is preferable.

이 상태에서 애노드(704)와 기판(W)상의 시드층(6)과의 사이에 도금전압을 인가하여 전류를 흘리면, 시드층(6)의 표면에 도금(예를 들면 구리도금)이 행하여져 간다. 그렇게 하면 애노드(704)와 기판(W)의 시드층(6)과 사이에 도금액 함침재(703) 및 다공질 접촉체(702)가 있고, 또한 다공질 접촉체(702)는 기판(W)의 볼록부에 접촉하고 있기 때문에, 도금액이 공급되기 쉬운 기판(W)의 미세 오목부의 내부에 우선적으로 금속이 석출되어, 이 트렌치 등을 우선적으로 메워 가게 된다. In this state, when a plating voltage is applied between the anode 704 and the seed layer 6 on the substrate W to flow a current, plating (for example, copper plating) is performed on the surface of the seed layer 6. . The plating liquid impregnating material 703 and the porous contact 702 are then interposed between the anode 704 and the seed layer 6 of the substrate W, and the porous contact 702 is convex of the substrate W. Since the parts are in contact with the portions, metal is preferentially precipitated inside the fine concave portions of the substrate W to which the plating liquid is easily supplied, so that the trenches and the like are preferentially filled.

또 도금액으로서 첨가제, 특히 전류밀도가 높아지는 볼록부에 흡착하여 그 부분의 도금석출을 억제하는 성분을 함유하는 첨가제를 사용한 경우에는, 첨가제가 볼록부가 되는 기판의 미세 오목부 이외의 부분에 작용하여, 미세 오목부 내부에서의 우선적인 도금석출을 더욱 높인다. In addition, in the case of using an additive containing a component which adsorbs an additive, particularly a convex portion having a high current density and suppresses plating precipitation of the portion, the additive acts on portions other than the fine concave portion of the substrate which becomes the convex portion, Preferred plating precipitation inside the fine recess is further increased.

그리고, 어느 정도의 도금이 행하여졌을 때에, 통합제어부(721)로부터의 정보에 의하여 인가전압제어부(722)에 의하여 도금전압의 인가상태를 변화시킴과 동시에, 운동제어부(724)에 의하여 엑츄에이터(726)나 가압펌프(725)를 기판(W)과 전극 헤드(701)의 가압상태가 변화되도록 도금전압의 인가상태를 변화와 관련시켜 운동시킨다. When a certain amount of plating is performed, the application voltage of the plating voltage is changed by the applied voltage controller 722 based on the information from the integrated controller 721, and the actuator 726 is operated by the motion controller 724. Or the pressure pump 725 is moved in association with the change in the applied state of the plating voltage so that the pressurized state of the substrate W and the electrode head 701 is changed.

예를 들면, 도금액 중의 성분이 감소되었을 때에, 인가전압제어부(722)에 의하여 도금전압의 인가를 정지시키고, 이것과 동시에 운동제어부(724)에 의하여 기판(W)상의 시드층(6)과 전극 헤드(701)의 다공질 접촉체(702)의 위치를 이동시킴으로써 새롭게 도금액이 공급됨과 동시에, 도금조건이 불충분한 곳에서도 도금이 행하여지게 되어 균질한 도금막이 얻어진다. For example, when the component in the plating liquid is reduced, the application of the plating voltage is stopped by the applied voltage control unit 722, and simultaneously with the seed layer 6 and the electrode on the substrate W by the motion control unit 724. By moving the position of the porous contact body 702 of the head 701, the plating liquid is newly supplied, and plating is performed even where plating conditions are insufficient, thereby obtaining a homogeneous plating film.

상기한 바와 같이 통합제어부(721), 인가전압제어부(722) 및 운동제어부(724)에 의하여 전압의 인가상태의 변화와, 다공질 접촉체(702)의 시드층(6)에 대한 가압상태의 변화를 서로 관련시키면서 소정시간 도금을 행한 후는, 전극 헤드(701)를 상승시켜 다공질 접촉체(702)와, 기판(W)의 도금면을 분리시킨다. As described above, the change of the applied state of the voltage by the integrated control unit 721, the applied voltage control unit 722 and the motion control unit 724, and the change of the pressing state of the seed layer 6 of the porous contact 702 After the plating for a predetermined time while correlated with each other, the electrode head 701 is raised to separate the porous contact 702 and the plated surface of the substrate W. As shown in FIG.

이때, 다공질 접촉체(702)의 빈 구멍에 금속 석출물이 잔존하는 경우가 있으나, 이것은 다공질 접촉체(702)의 표면을 따로 준비한 에칭탱크(도시 생략)에 침지함으로써 용이하게 제거할 수 있다. At this time, although the metal precipitate may remain in the empty hole of the porous contact 702, this can be easily removed by immersing the surface of the porous contact 702 in an etching tank (not shown) prepared separately.

본 발명에 의하면, 트렌치 등의 미세 오목부 내에 우선적으로 도금을 행하는 것이 가능해지기 때문에, 도금액의 소비량이 적어도 되고, 또한 기판과 다공질 접촉체로 둘러싸인 용적으로의 도금탱크를 구성하는 것이어도 도금액의 사용량을 대폭으로 저감할 수 있다. 또한 예를 들면 도금 정지시의 이동운동이나 가압운동에 의하여 미세 오목부 내로의 도금액의 보충이 촉진되고 있기 때문에, 보이드의 발생 등의 억제에도 효과가 있다. According to the present invention, since plating can be preferentially performed in fine recesses such as trenches, the amount of plating liquid consumed is minimized, and the amount of the plating liquid used can be reduced even if a plating tank is formed in a volume surrounded by the substrate and the porous contact. It can greatly reduce. In addition, since the replenishment of the plating liquid into the fine recesses is promoted, for example, by the movement movement or the pressing movement during the plating stop, it is also effective in suppressing the generation of voids and the like.

이와 같이 본 발명은 특히 기판상에 구리 등의 금속을 사용하여 매립하여 도금을 행하는 상감 공정에 있어서 유리하게 이용할 수 있다. As described above, the present invention can be advantageously used particularly in a damascene process in which plating is performed by embedding a metal such as copper on a substrate.

다음에 실시예를 들어, 본 발명을 더욱 상세하게 설명하나, 본 발명은 이들 실시예에 의하여 조금도 제약되는 것이 아니다. The present invention will be described in more detail with reference to the following Examples, but the present invention is not at all limited by these Examples.

실시예Example

도 35에 나타내는 바와 같은 폭이 좁은 트렌치(깊이 1 ㎛ ; 폭 0.18 ㎛)(4a)와 이것보다 폭이 넓은 광폭 트렌치(폭 100 ㎛)(4b)를 가지는 기판(W)에 대하여, 상법에 따라 배리어메탈처리를 행하였다. 이어서 스퍼티링으로 80 nm 두께의 시드층(6)을 형성하여 시험용 샘플로 하였다. With respect to the substrate W having a narrow trench (1 μm deep; 0.18 μm wide) 4a and a wide trench (100 μm wide) 4b wider than this, as shown in FIG. The barrier metal treatment was performed. Subsequently, an 80 nm thick seed layer 6 was formed by sputtering to prepare a test sample.

이 시험용 샘플을 도 34에 나타내는 구성의 전극 헤드[애노드(704)는 구멍을 가지는 인함유 구리](701)를 가지는 도금장치를 사용하고, 표 1에 나타내는 조성의 산성구리 도금액을 사용하여 도금을 행하였다. 도금조건은 도 36에 나타내나, 통전패턴으로서는, 시드층(6)과 다공질 접촉체(702)가 최초 비접촉 상태에서 1 V의 도금전압으로 도금을 개시하여, 10초후에 통전을 정지하였다. 그후 시드층(6)과 다공질 접촉체(702)를 접촉시켜, 1초간 스며들기 운동(미소한 상하운동)을 하고, 그후 5초간 도금전압을 인가하였다. 이어서 도금전압의 인가의 정지와 동시에 시드층(6)과 다공질 접촉체(702)를 비접촉의 상태로 하였다. 또한 이 비접촉의 상태에서 기판(W)의 회전운동을 행한 후, 시드층(6)과 다공질 접촉체(702)를 접촉시켜 5초간 전압을 인가하였다. 이와 같은 도금전압의 인가와, 시드층(6)과 다공질 접촉체(702)의 접촉, 비접촉의 상태를 8분간 행한 후, 도금을 종료하였다. 또 그 사이에 애노드실(706)내의 압력(P6)과 에어백(709) 내의 압력(P7)을 도 36에 나타내는 바와 같이 조정하였다.This test sample was plated using an acidic copper plating solution having the composition shown in Table 1 using a plating apparatus having an electrode head (copper containing anode having a hole in the anode 704) 701 having the configuration shown in FIG. It was done. The plating conditions are shown in Fig. 36, but as the energization pattern, the seed layer 6 and the porous contact member 702 started plating at a plating voltage of 1 V in the first non-contact state, and the energization was stopped after 10 seconds. Thereafter, the seed layer 6 and the porous contact body 702 were brought into contact with each other, soaking motion (small vertical movement) was performed for 1 second, and then a plating voltage was applied for 5 seconds. Subsequently, the seed layer 6 and the porous contact body 702 were brought into a non-contact state at the same time as the application of the plating voltage was stopped. Further, after the substrate W was rotated in this non-contact state, the seed layer 6 was brought into contact with the porous contact body 702 and a voltage was applied for 5 seconds. After such application of the plating voltage, the contact between the seed layer 6 and the porous contact body 702, and the non-contact state were performed for 8 minutes, the plating was finished. Also the pressure in the anode chamber (706) therebetween (P 6) and the pressure (P 7) in the airbag (709) was adjusted as shown in Figure 36.

이 도금에 의하여 도 37의 모식도에 나타내는 강철층(7)이 얻어졌다. By this plating, the steel layer 7 shown in the schematic diagram of FIG. 37 was obtained.

(산성구리 도금욕 조성)(Acid copper plating bath composition)

황산구리(5수염으로써) 225 g/L Copper sulfate (as pentahydrate) 225 g / L

황산 55 g/L Sulfuric acid 55 g / L

염소 60 ppm Chlorine 60 ppm

폴리에틸렌글리콜(MW Ca. 10000) 500 mg/L Polyethylene glycol (MW Ca. 10000) 500 mg / L

비스(3-술포프로필)디술피드(SPS) 20 mg/LBis (3-sulfopropyl) disulfide (SPS) 20 mg / L

야누스그린 1 mg/L Janus green 1 mg / L

도 37로부터 분명한 바와 같이, 본 발명에 의하면 폭이 좁은 트렌치(4a)나 폭이 넓은 트렌치(4b) 등의 미세 오목부의 내부에 우선적으로 도금석출이 일어나고, 볼록부에서의 도금석출이 억제되는 결과, 전체 구리층(7)의 막두께를 두껍게 하지 않은 상태에서 폭이 좁은 트렌치(4a)나 폭이 넓은 트렌치(4b) 등의 미세 오목부의 내부에 완전하게 구리를 매립할 수 있다. As is apparent from FIG. 37, according to the present invention, plating precipitation occurs preferentially in the fine concave portions such as narrow trenches 4a and wide trenches 4b, and plating precipitation on the convex portions is suppressed. The copper can be completely embedded in the fine concave portions such as the narrow trench 4a and the wide trench 4b without making the entire copper layer 7 thick.

이 기구는 도 38에 나타낼 수 있다. 즉, 최초의 단계에서 오목부의 금속 표면은, a1의 높이인 데 대하여, 볼록부의 금속 표면은, 오목부의 높이에서 보아 a2의 높이이다. 그리고 본 발명에 의하여 오목부에 우선적으로 도금석출이 일어나고, 볼록부에서의 도금석출이 억제되는 결과, 오목부에서의 도금속도는, h로 나타내는 것에 대하여, 볼록부에서의 도금속도는 H가 된다. 그리고, 이 속도차의 결과, 볼록부와 오목부의 높이가 같아(hl)지면, 도금속도에는 차가 없어져 동일한 속도로 도금이 행하여진다.This mechanism can be shown in FIG. That is, in the first step, the metal surface of the concave portion is at the height of a 1 , whereas the metal surface of the convex portion is the height of a 2 as seen from the height of the concave portion. As a result of the present invention, plating deposition preferentially occurs in the concave portions, and plating precipitation in the convex portions is suppressed. As a result, the plating speed in the concave portions is represented by h, whereas the plating speed in the convex portions becomes H. . As a result of this speed difference, when the heights of the convex portions and the concave portions are the same (h l ), the plating speed is not different, and plating is performed at the same speed.

도 39는 본 발명의 또 다른 실시형태에 있어서의 도금장치의 개요를 나타낸다. 이 도 39에 나타내는 도금장치의 상기한 도 29에 나타내는 도금장치와 다른 점은, 이하와 같다. Fig. 39 shows an outline of a plating apparatus according to still another embodiment of the present invention. The difference from the plating apparatus shown in FIG. 29 mentioned above of this plating apparatus shown in FIG. 39 is as follows.

즉, 전극 헤드(502)에는 기판 스테이지(504)로 유지한 기판(W)의 표면(피도금 면)에 하층 패드(534a)를 임의의 압력으로 가압하는, 이 예에서는 에어백(548)으로 이루어지는 가압기구가 구비되어 있다. 즉, 이 예에서는 회전 하우징(520)의 천정벽의 하면과 상하이동 하우징(522)의 천정벽의 상면과의 사이에, 링형상의 에어백(가압기구)(548)이 배치되고, 이 에어백(548)은 가압유체도입관(549)을 거쳐, 가압유체공급원(도시 생략)에 접속되어 있다. That is, the electrode head 502 consists of an air bag 548 in this example, which presses the lower layer pad 534a at an arbitrary pressure on the surface (plating surface) of the substrate W held by the substrate stage 504. Pressing mechanism is provided. That is, in this example, a ring-shaped airbag (pressure mechanism) 548 is disposed between the lower surface of the ceiling wall of the rotary housing 520 and the upper surface of the ceiling wall of the shanghai-dong housing 522. 548 is connected to a pressurized fluid supply source (not shown) via a pressurized fluid introduction pipe 549.

이에 의하여 요동암(500)을 소정의 위치(프로세스위치)에 상하이동 불가능하게 고정한 상태에서 에어백(548)의 내부를 압력 P8으로 가압함으로써, 기판 스테이지(504)로 유지한 기판(W)의 표면(피도금면)에 하층 패드(534a)를 임의의 압력으로 더욱 균일하게 가압하고, 상기 압력 P8을 대기압으로 되돌림으로써 하층 패드(534a)의 가압을 해제할 수 있다.As a result, the inside of the airbag 548 is pressurized to a pressure P 8 in a state in which the rocking arm 500 is fixed to a predetermined position (process switch) so as not to move, thereby maintaining the substrate W held by the substrate stage 504. The lower layer pad 534a can be pressurized more uniformly to a surface (coated surface) at an arbitrary pressure, and the pressure of the lower layer pad 534a can be released by returning the pressure P 8 to atmospheric pressure.

캐소드전극(512)은 도금전원(560)의 음극에, 애노드(526)는 도금전원(560)의 양극에 각각 전기적으로 접속된다. The cathode electrode 512 is electrically connected to the cathode of the plating power supply 560, and the anode 526 is electrically connected to the anode of the plating power supply 560, respectively.

다음에, 이 도금장치로 도금을 행할 때의 조작에 대하여 설명한다. 먼저 기판 스테이지(504)의 상면에 기판(W)을 흡착 유지한 상태에서 기판 스테이지(504)를 상승시켜 기판(W)의 둘레 가장자리부를 캐소드전극(512)에 접촉시켜 통전 가능한 상태로 하고, 다시 상승시켜 기판(W)의 둘레 가장자리부 상면에 시일재(514)를 압접시켜 기판(W)의 둘레 자장자리부를 수밀적으로 시일한다. Next, the operation at the time of plating with this plating apparatus will be described. First, the substrate stage 504 is raised while the substrate W is adsorbed and held on the upper surface of the substrate stage 504, and the peripheral edge portion of the substrate W is brought into contact with the cathode electrode 512 to enable energization. The sealing member 514 is pressed against the upper surface of the peripheral edge portion of the substrate W to seal the peripheral magnetic field portion of the substrate W in a watertight manner.

한편, 전극 헤드(502)에 있어서는, 아이들링을 행하여 도금액의 치환 및 기포뽑기 등을 행하고 있는 위치(아이들링위치)로부터, 도금액(Q)을 내부에 유지한 상태에서 소정의 위치(프로세스위치)에 위치시킨다. 즉, 요동암(500)을 일단 상승시키고, 또한 선회시킴으로써 전극 헤드(502)를 기판 스테이지(504)의 바로 윗쪽위치에 위치시키고, 그런 다음에 하강시켜 소정의 위치(프로세스위치)에 도달하였을 때에 정지시킨다. 그리고 애노드실(530)내를 가압하여 전극 헤드(502)로 유지한 도금액(Q)을 다공질 패드(534)의 하면으로부터 토출시킨다. 다음에 에어백(548) 내로 가압공기를 도입하여 하층 패드(534a)를 아래쪽으로 가압한다. On the other hand, in the electrode head 502, it is located at a predetermined position (process switch) in a state where the plating liquid Q is kept inside from the position (idling position) where idling is performed to replace the plating liquid, extract bubbles, and the like. Let's do it. That is, when the rocking arm 500 is once raised and rotated, the electrode head 502 is positioned immediately above the substrate stage 504, and then lowered to reach a predetermined position (process switch). Stop it. The inside of the anode chamber 530 is pressed to discharge the plating liquid Q held by the electrode head 502 from the lower surface of the porous pad 534. Next, pressurized air is introduced into the airbag 548 to press the lower pad 534a downward.

이 상태에서 전극 헤드(502) 및 기판 스테이지(504)를 각각 회전(자전)시킨다. 이것에 의하여 다공질체(528)[하층 패드(534a)] 표면의 표면 거칠기나 다공질체 (528)[하층 패드(534a)]를 기판(W)의 피도금면을 향하여 가압하였을 때에 상기 다공질체(523)[하층 패드(534a)]에 발생하는 굴곡이나 휘어짐 등에 의하여 도 40에 나타내는 바와 같이 다공질체(528)[하층 패드(534a)]와 기판(W)의 피도금면(P)과의 사이에 국소적으로 간극(S)이 생겨 그 간극(S)에 도금액(Q)이 존재하고 있어도 이 간극(S)에 존재하는 도금액(Q)을, 이 회전에 따르는 원심력에 의하여 바깥쪽으로 배제한다. 이와 같이 도금액(Q)을 배제함으로써, 다공질체(528)[하층 패드(534a)]의 전면을 기판(W)의 피도금면(S)에 균일하게 가압하여 밀착시킬 수 있다. In this state, the electrode head 502 and the substrate stage 504 are rotated (rotated), respectively. As a result, when the surface roughness of the surface of the porous body 528 (lower layer pad 534a) or the porous body 528 (lower layer pad 534a) is pressed toward the surface to be plated of the substrate W, the porous body ( 523) between the porous body 528 (lower layer pad 534a) and the to-be-plated surface P of the board | substrate W, as shown in FIG. Even if a gap S is formed locally in the gap S and the plating liquid Q is present in the gap S, the plating liquid Q present in the gap S is removed outward by the centrifugal force due to this rotation. By removing the plating liquid Q in this manner, the entire surface of the porous body 528 (lower layer pad 534a) can be uniformly pressed against and adhered to the plated surface S of the substrate W.

또한 이 예에서는 하층 패드(534a)를 아래쪽으로 가압한 후, 전극 헤드(502)및 기판 스테이지(504)를 각각 회전시키도록 한 예를 나타내고 있으나, 에어백(548) 내로 가압공기를 도입하여 하층 패드(534a)를 아래쪽으로 가압할 때에, 전극 헤드(502) 및 기판 스테이지(504)를 미리 회전시켜 두고, 가압한 후에도 이 회전을 소정시간 계속하도록 하여도 좋다. In this example, the lower layer pad 534a is pressed downward, and then the electrode head 502 and the substrate stage 504 are rotated, respectively. However, the lower layer pad is introduced by introducing pressurized air into the air bag 548. When pressing 534a downward, the electrode head 502 and the substrate stage 504 may be rotated in advance, and the rotation may continue for a predetermined time even after pressing.

그리고 다공질체(528)[하층 패드(534a)]와 기판(W)의 피도금면(P)과의 사이에 국소적으로 생긴 간극(S)에 존재하는 도금액(Q)이 배제되어, 다공질체(528)[하층 패드(534a)]의 전면을 기판(W)의 피도금면(S)에 균일하게 가압하여 밀착시키는 데 충분한 시간, 전극 헤드(502) 및 기판 스테이지(504)를 회전시킨 후, 이 회전을 정지한다. Then, the plating liquid Q present in the gap S locally generated between the porous body 528 (lower layer pad 534a) and the surface to be plated P of the substrate W is excluded, thereby removing the porous body. (528) After the electrode head 502 and the substrate stage 504 are rotated for a time sufficient to uniformly press the entire surface of the lower layer pad 534a to the surface to be plated S of the substrate W to be in close contact. , Stop this rotation.

다음에 캐소드전극(512)을 도금전원(560)의 음극에, 애노드(526)를 도금전원(560)의 양극에 각각 접속하고, 이것에 의하여 기판(W)의 피도금면에 도금을 실시한다. 이와 같이 하층 패드(534a)를 기판 스테이지(504)로 유지한 기판(W)의 피도금 면에 임의의 압력으로 가압하고, 또한 양자의 밀착성을 높인 상태에서 도금을 행함으로써, 하층 패드(534a)와 기판(W)의 피도금면의 트렌치 등의 배선용 미세 오목부 이외의 부분(패턴부 이외의 부분)과의 사이에 있어서의 간극을 없애어, 기판에 설치한 배선용 미세 오목부의 내부에 도금막을 선택적으로 석출시킬 수 있다.Next, the cathode electrode 512 is connected to the cathode of the plating power supply 560, and the anode 526 is connected to the anode of the plating power supply 560, thereby plating the plated surface of the substrate W. . Thus, the lower layer pad 534a is pressurized by the arbitrary pressure to the to-be-plated surface of the board | substrate W hold | maintained by the board | substrate stage 504, and plating is performed in the state which raised both adhesiveness. And a gap between the parts other than the wiring micro recesses (parts other than the pattern portion) such as trenches on the surface to be plated of the substrate W are removed, and a plating film is provided inside the wiring micro recesses provided on the substrate. It can be precipitated selectively.

그리고 소정시간 도금을 계속한 후, 캐소드전극(512) 및 애노드(526)의 도금전원(560)과의 접속을 해제함과 동시에, 애노드실(530)내를 대기압으로 되돌리고, 다시 에어백(548) 내를 대기압으로 되돌려 하층 패드(534a)의 기판(W)에 대한 가압을 해제한다. 그리고 전극 헤드(502)를 상승시킨다. After the plating is continued for a predetermined time, the cathode electrode 512 and the anode 526 are disconnected from the plating power supply 560, and the inside of the anode chamber 530 is returned to atmospheric pressure, and the airbag 548 is returned. The pressure is returned to the atmospheric pressure to release the pressure on the substrate W of the lower pad 534a. And the electrode head 502 is raised.

상기 조작을 필요에 따라 소정횟수 반복하여 기판(W)의 표면(피도금면)에, 배선용 미세 오목부를 메우는 데 충분한 막두께의 구리층(7)(도 1b 참조)을 성막한 후, 전극 헤드(502)를 선회시켜 원래의 위치(아이들링위치)로 되돌린다. After repeating the above operation as many times as necessary, the electrode head is formed on the surface (plated surface) of the substrate W by depositing a copper layer 7 (see Fig. 1B) having a sufficient film thickness to fill the fine recesses for wiring. Rotate 502 to return to the original position (idling position).

도 41은 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타낸다. 이 예의 도 39에 나타내는 예와 다른 점은, 기판 스테이지(504)의 상면의 기판탑재부에, 피에조진동자(590)를 설치하여 기판 스테이지(504)에서 탑재한 기판(W)에, 이 피에조진동자(590)에 의하여 기판(W)의 피도금면에 대하여 수직한 상하방향의 진동을 주도록 하고 있는 점에 있다. Fig. 41 shows a main part of a plating apparatus according to still another embodiment of the present invention. The difference from the example shown in FIG. 39 of this example is that this piezoelectric vibrator (for example) is provided in the board | substrate W which provided the piezoelectric vibrator 590 and mounted in the board | substrate stage 504 in the board | substrate mounting part of the upper surface of the board | substrate stage 504. 590 is used to apply vertical and vertical vibration to the surface to be plated of the substrate W. As shown in FIG.

이 예는 상기와 마찬가지로, 기판 스테이지(504)로 유지한 기판(W)을 향하여 하층 패드(534a)를 가압한 후, 피에조진동자(590)를 거쳐 기판(W)을 상하방향으로 소정시간 진동시키거나, 또는 가압할 때에 미리 피에조진동자(590)를 거쳐 기판(W)을 상하방향으로 진동시켜 두고, 하층 패드(534a)를 가압한 후에도 이 진동을 소정시간계속하는 것으로, 이에 의하여 도 40에 나타내는 바와 같이 다공질체(528)[하층 패드(534a)]와 기판(W)의 피도금면(P)과의 사이에 국소적으로 간극(S)이 생겨 그 간극(S)에 도금액(Q)이 존재하고 있어도, 이 간극(S)에 존재하는 도금액(Q)을 이 진동에 따라 바깥쪽으로 배제할 수 있다. 특히 이 예와 같이 기판(W)을 피도금면에 대하여 수직방향으로 진동시켜 다공질체와 기판의 피도금면이 서로 미끄럼 접촉하지 않게 함으로써 도금 표면이 손상되는 것을 방지할 수 있다. 또한 진동자로서 피에조진동자(590)를 사용함으로써 기구의 컴팩트화를 도모할 수 있다.In this example, as described above, the lower layer pad 534a is pressed against the substrate W held by the substrate stage 504, and then the substrate W is vibrated up and down for a predetermined time via the piezoelectric vibrator 590. Alternatively, the substrate W is vibrated up and down via the piezoelectric vibrator 590 in advance, and the vibration is continued for a predetermined time even after the lower pad 534a is pressed. As described above, a gap S is locally formed between the porous body 528 (lower layer pad 534a) and the plated surface P of the substrate W, and the plating solution Q is formed in the gap S. Even if it exists, the plating liquid Q which exists in this clearance gap S can be excluded outside according to this vibration. In particular, by vibrating the substrate W in the vertical direction with respect to the surface to be plated as in this example, it is possible to prevent the plating surface from being damaged by preventing the porous body and the surface to be plated from sliding between each other. In addition, by using the piezoelectric vibrator 590 as the vibrator, the mechanism can be made compact.

도 42는 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타낸다. 이예의 도 39에 나타내는 예와 다른 점은, 기판 스테이지(504)의 상면에 예를 들면 순수 등의 액체를 유지하는 저장탱크(504g)를 형성함과 동시에, 이 저장탱크(504g)의 내부에, 그 저장탱크(504g) 내의 액체에 초음파를 주어 그 액체를 고주파로 진동시키는 초음파 발진자(592)를 설치한 점에 있다. 42 shows the main part of a plating apparatus according to still another embodiment of the present invention. The difference from the example shown in FIG. 39 of this example is that the storage tank 504g for holding a liquid such as pure water is formed on the upper surface of the substrate stage 504, and inside the storage tank 504g. And an ultrasonic oscillator 592 which gives ultrasonic waves to the liquid in the storage tank 504g and vibrates the liquid at high frequency.

이 예는, 기판 스테이지(504)의 저장탱크(504g) 내에 순수 등의 액체를 채워 두고, 상기와 동일하게 하여 기판 스테이지(504)의 상면에 기판(W)을 흡착 유지한다. 이때 기판 스테이지(504)로 유지한 기판(W)과 기판 스테이지(504)의 저장탱크(504g) 내의 액체가 접하게 한다. 그리고 기판 스테이지(504)로 유지한 기판(W)을 향하여 하층 패드(534a)를 가압한 후, 초음파 발진자(592)를 거쳐 기판 스테이지(504)의 저장탱크(504g) 내의 액체에 초음파 진동을 준다. 그렇게 하면 액체의 초음파 진동은 기판(W)에 전해져 기판을 진동시키고, 다시 도금액(Q)으로부터 다공질체(528)로 전해 져, 이들을 진동시킨다. 이것에 의하여 상기와 마찬가지로 다공질체(528)[하층 패드(534a)]와 기판(W)의 피도금면(P)과의 사이에 국소적으로 생긴 간극(S)에 존재하는 도금액(Q)을, 이 진동에 따라 바깥쪽으로 배제할 수 있다. In this example, a liquid such as pure water is filled in the storage tank 504g of the substrate stage 504, and the substrate W is adsorbed and held on the upper surface of the substrate stage 504 in the same manner as described above. At this time, the substrate W held by the substrate stage 504 and the liquid in the storage tank 504g of the substrate stage 504 are brought into contact with each other. After pressing the lower layer pad 534a toward the substrate W held by the substrate stage 504, ultrasonic vibration is applied to the liquid in the storage tank 504g of the substrate stage 504 via the ultrasonic oscillator 592. . As a result, ultrasonic vibration of the liquid is transmitted to the substrate W to vibrate the substrate, and is then transferred from the plating liquid Q to the porous body 528 to vibrate them. Thereby, similarly to the above, the plating liquid Q which exists in the clearance gap S which arises locally between the porous body 528 (lower layer pad 534a) and the to-be-plated surface P of the board | substrate W is removed. This vibration can be excluded from the outside.

또한 상기와 마찬가지로, 하층 패드(534a)를 가압할 때에, 미리 초음파 발진자(592)를 거쳐, 기판 스테이지(504)의 저장탱크(504g) 내의 액체에 초음파 진동을 주도록 하여도 좋다. Similarly to the above, when pressing the lower layer pad 534a, the ultrasonic vibration may be applied to the liquid in the storage tank 504g of the substrate stage 504 in advance via the ultrasonic oscillator 592.

도 43은 본 발명의 또 다른 실시형태에 있어서의 도금장치의 주요부를 나타낸다. 이 예의 도 39에 나타내는 예와 다른 점은, 애노드실(530)을 구획 형성하는 상하이동 하우징(522)의 정점벽에 압력포트(594)를 설치하고, 이 압력포트(594)에, 개폐 밸브(596)를 거쳐 압력제어부로서의 진공펌프(598)를 접속한 점에 있다. 43 shows the main part of a plating apparatus according to still another embodiment of the present invention. The difference from the example shown in FIG. 39 of this example is that the pressure port 594 is provided in the apex wall of the shanghai-dong housing 522 which forms the anode chamber 530, and this pressure port 594 is a switching valve. A vacuum pump 598 serving as a pressure control unit is connected via 596.

이 예에 의하면, 진공 펌프(598)를 구동하여 애노드실(530)내를 진공배기하여, 애노드실(530)내의 압력을 대기압보다 낮은 압력(음압)으로 함으로써 도 44에 나타내는 바와 같이, 다공질체[528(534a)]와 기판(W)의 피도금면(P)과의 사이의 간극(S)에 존재하는 도금액(Q)을 흡인하여, 도금액(Q)이 다공질체[528(534a)]의 내부를 통하여 애노드실(530)내로 유입하는 것을 촉진하여 간극(S)으로부터 도금액(Q)을 배제할 수 있다.According to this example, the porous body is driven by driving the vacuum pump 598 to evacuate the inside of the anode chamber 530 and setting the pressure in the anode chamber 530 to a pressure lower than atmospheric pressure (negative pressure). [528 (534a)] and the plating liquid Q present in the gap S between the surface to be plated P of the substrate W are sucked, so that the plating liquid Q is made of a porous body [528 (534a)]. The plating liquid Q may be excluded from the gap S by promoting the flow into the anode chamber 530 through the interior of the gap.

또한, 이 도금액의 흡인 배제작업은, 상기한 각 예와 동일하게 기판 스테이지(504)로 유지한 기판(W)을 향하여 하층 패드(534a)를 가압한 후, 또는 가압할 때에 미리 행하는 것이나, 도금 중에도 계속하여 행하게 하여도 좋다. In addition, the suction removal operation of this plating liquid is performed in advance when pressurizing the lower layer pad 534a toward the board | substrate W hold | maintained by the board | substrate stage 504 similarly to each said example, or when pressurizing, or plating You may continue to perform in the middle.

또, 도 43에 나타내는 예에서는 압력포트(594)에 개폐밸브(596)를 거쳐 진공펌프(598)를 접속한 예를 나타내고 있으나, 이 진공펌프(598) 대신에 가압펌프를 접속하고, 다시 상하이동 하우징에 배기포트를 설치하여, 애노드실(530)내를 가압펌프에 의한 가압과, 배기포트로부터의 배기에 의한 감압하는 것으로 반복함에 의한 압력진동을 이용하여 애노드실(530)내의 도금액(Q), 나아가서는 다공질체(528)를 진동시키도록 하여도 좋다. In addition, although the example shown in FIG. 43 has shown the example which connected the vacuum pump 598 to the pressure port 594 via the opening-closing valve 596, the pressure pump was connected instead of this vacuum pump 598, and again the upper and lower sides were connected. A plating liquid Q in the anode chamber 530 is provided by using an exhaust port provided in the movable housing to pressurize the inside of the anode chamber 530 by the pressure pump and to reduce the pressure by the exhaust gas from the exhaust port. Further, the porous body 528 may be vibrated.

이상 상세하게 설명한 바와 같이, 본 발명에 의하면 다공질체를 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압할 때에 다공질체와 피도금면과의 사이의 간극에 존재하는 도금액을 배제함으로써, 하중을 크게 하는 일 없이, 다공질체의 전면을 기판의 피도금면에 균일하게 밀착시킨 상태에서 도금을 행할 수 있다. 이것에 의하여 트렌치나 비어홀의 내부에 우선적으로 도금을 행하여 배선재료(금속막)를 매립하여 도금후의 표면의 평탄성을 향상시킬 수 있다. 따라서 CMP와 같은 볼록부의 선택적 에칭공정의 부하를 줄이거나 또는 생략하여, 비용절감 뿐만 아니라, 디싱이나 옥사이드 에로젼 등의 CMP 특유의 문제도 해결할 수 있다.As described in detail above, according to the present invention, when the porous body is pressurized to a plated surface of the substrate held by the substrate stage at an arbitrary pressure, the plating solution existing in the gap between the porous body and the plated surface is removed. The plating can be performed in a state where the entire surface of the porous body is brought into uniform contact with the plated surface of the substrate without increasing the load. In this way, the inside of the trench or via hole is preferentially plated to fill the wiring material (metal film), thereby improving the flatness of the surface after plating. Therefore, by reducing or eliminating the load of the selective etching process of the convex portion such as CMP, not only the cost can be reduced, but also problems specific to CMP such as dishing and oxide erosion can be solved.

도 46 내지 도 49는, 본 발명의 또 다른 실시형태의 도금장치를 나타낸다. 이 도금장치와 상기한 도 29에 나타내는 도금장치와 다른 점은 이하와 같다. 46 to 49 show a plating apparatus according to still another embodiment of the present invention. The difference from this plating apparatus and the plating apparatus shown in FIG. 29 is as follows.

즉, 도 46에 나타내는 바와 같이 이 도금장치에는 도금처리 및 그 부대처리를 행하는 도금처리부(630)가 구비되고, 이 도금처리부(630)에 인접하여 아이들링 스테이지(632)가 배치되어 있다. 또 회전축(634)을 중심으로 요동하는 요동암(500)의 선단에 유지되어 도금처리부(630)와 아이들링 스테이지(632)와의 사이를 이동하는 전극 헤드(502)를 가지는 전극암부(636)가 구비되어 있다. 또한 도금처리부(630)의 옆쪽에 위치하여 프리코팅·회수암(638)과, 순수나 이온수 등의 약액, 또한 기체 등을 기판을 향하여 분사하는 고정 노즐(640)이 배치되어 있다. 이 실시형태에 있어서는 3개의 고정 노즐(640)이 구비되고, 그 중의 하나를 순수의 공급용으로 사용하고 있다. That is, as shown in FIG. 46, this plating apparatus is provided with the plating process part 630 which performs a plating process and its subsidiary process, and the idling stage 632 is arrange | positioned adjacent to this plating process part 630. As shown in FIG. In addition, an electrode arm 636 having an electrode head 502 which is held at the front end of the rocking arm 500 oscillating about the rotating shaft 634 and moves between the plating treatment part 630 and the idling stage 632 is provided. It is. In addition, a precoating / recovery arm 638, which is located next to the plating treatment unit 630, and a fixed nozzle 640 for spraying chemicals such as pure water and ionized water, gas, and the like toward the substrate, are disposed. In this embodiment, three fixed nozzles 640 are provided, and one of them is used for supply of pure water.

또한 도 46에 나타내는 바와 같이, 애노드실(530)내에 배치되는 다공질체(528)는, 다공질재를 3층으로 적층하여 각 층 사이에 공간을 설치한 다층 구조로 되어 있다. 즉, 다공질체(528)는 도금액 함침재(532)와, 하층 패드(534a) 및 상층 패드(534b)로 이루어지는 다공질 패드(534)로 구성되고, 하층 패드(534a)와 상층 패드(534b)와의 사이에는 제 1 공간(642a)이, 상층 패드(534b)와 도금액 함침재(532)와의 사이에는 제 2 공간(642b)이 각각 설치되어 있다. 46, the porous body 528 arrange | positioned in the anode chamber 530 has a multilayered structure which laminated | stacked porous material in three layers, and provided the space between each layer. That is, the porous body 528 is composed of a plating liquid impregnating material 532, a porous pad 534 consisting of a lower pad 534a and an upper pad 534b, and the lower pad 534a and the upper pad 534b. The 1st space 642a is provided in between, and the 2nd space 642b is provided between the upper pad 534b and the plating liquid impregnation material 532, respectively.

하층 패드(534a)와 상층 패드(534b)와의 사이에 제 1 공간(642a)을 설치하고, 제 1 공간(642a)의 내부, 또는 이 아래쪽에 위치하는 하층 패드(534a)의 내부에, 주로 신선한 도금액을 공급하여 미리 유지하여 두고, 이 신선한 도금액을 도금 직전에 하층 패드(534a)를 거쳐 기판(W)에 공급함으로써, 보다 소량의 도금액의 공급에 의하여 항상 신선한 도금액을 사용한 도금을 행할 수 있다. 즉, 이 예에서는 하기와 같이 애노드실(530)의 내부(상부)에 가압유체를 도입하여 애노드실(530)을 압력 P10으로 가압함으로써, 애노드실(530)내의 도금액을 기판에 공급하도록 하고 있고, 이 때, 주로 제 1 공간(642a)의 내부, 또는 이 아래쪽에 위치하는 하층 패드(534a)의 내부에 신선한 도금액을 미리 유지하여 둠으로써, 애노드실(530) 내에 위치하여 애노드(526)를 침지시키고 있던 도금액이, 이 기판에 공급되는 신선한 도금액에 혼입되는 것을 방지할 수 있다.The first space 642a is provided between the lower pad 534a and the upper pad 534b, and is mainly fresh in the interior of the first space 642a or in the lower pad 534a positioned below it. The plating solution is supplied and held in advance, and the fresh plating solution is supplied to the substrate W via the lower pad 534a immediately before plating, so that plating using the fresh plating solution can always be performed by supplying a smaller amount of plating solution. That is, in this example, a pressurized fluid is introduced into the inside (upper part) of the anode chamber 530 to pressurize the anode chamber 530 at a pressure P 10 so that the plating liquid in the anode chamber 530 is supplied to the substrate. At this time, the fresh plating solution is preliminarily maintained in the interior of the first space 642a or in the lower pad 534a located below the anode 526 so as to be located in the anode chamber 530. The plating liquid immersed in can be prevented from mixing in the fresh plating liquid supplied to this substrate.

또, 상층 패드(534b)와 도금액 함침재(532)와의 사이에 제 2 공간(642b)을 설치함으로써, 이 제 2 공간(642b)을 주로 신선한 도금액을 유지하는 공간으로서 이용할 수 있고, 또 이 제 2 공간(642b) 내의 도금액에, 애노드실(530)내에 위치하여 애노드(526)를 침지시키고 있던 도금액의 신선한 도금액 중으로의 혼입을 차단하는 바와 같은 효과를 발휘시킬 수 있다.In addition, by providing the second space 642b between the upper pad 534b and the plating liquid impregnation material 532, the second space 642b can be used mainly as a space for holding a fresh plating solution. The plating liquid in the two spaces 642b can have the same effect as blocking the plating liquid in the fresh plating liquid, which is located in the anode chamber 530 and the anode 526 is immersed.

제 1 공간(642a) 내에 신선한 도금액을 도입하고, 또 제 1 공간(642a) 내의 오래된 도금액을 신선한 도금액과 치환하기 위하여, 상하이동 하우징(522)에는 제 1 공간(642a)을 향하여 도금액을 토출하여 공급하는 도금액공급부(652)와, 제 1 공간(642a) 내의 도금액을 흡인하여 배출하는 도금액배출부(654)가 상하이동 하우징(522)의 서로 직경방향으로 대향하는 위치에 설치되어 있다. 도금액공급부(652)는 도 47에 나타내는 바와 같이, 상하이동 하우징(522)의 제 1 공간(642a)에 대향하는 위치에 설치한 복수의 토출구(656)와 이 토출구(656)에 연통되어 상하이동 하우징(522)을 관통하는 접속구(658)를 가지고 있고, 이 접속구(658)에 연통되는 도금액공급포트(660)를 설치하여 구성되어 있다. 또 도금액배출부(654)는, 상하이동 하우징(522)의 제 1 공간(642a)에 대향하는 위치에 설치한 복수의 흡인구멍(662)과 그 흡인구멍(662)에 연통하여 상하이동 하우징(522)을 관통하는 접속구(664)를 가지고 있고, 이 접속구(664)에 연통되는 도금액배출포트(666)를 설치하여 구성되어 있다.In order to introduce a fresh plating liquid into the first space 642a and replace the old plating liquid in the first space 642a with the fresh plating liquid, the plating liquid is discharged to the first space 642a in the Shanghai-dong housing 522. The plating liquid supplying part 652 to supply and the plating liquid discharge part 654 which attracts and discharges the plating liquid in the 1st space 642a are provided in the radially opposing position of the shanghai-dong housing 522 mutually. As shown in FIG. 47, the plating liquid supply unit 652 communicates with the plurality of discharge ports 656 provided at positions facing the first space 642a of the Shanghai-dong housing 522, and the discharge holes 656. It has the connection port 658 which penetrates the housing 522, and is comprised by providing the plating liquid supply port 660 which communicates with this connection port 658. In addition, the plating liquid discharge part 654 communicates with the plurality of suction holes 662 and the suction holes 662 provided at a position facing the first space 642a of the shanghai copper housing 522, and the shanghai copper housing ( It has the connection port 664 which penetrates 522, and is provided by providing the plating liquid discharge port 666 which communicates with this connection port 664. As shown in FIG.

이것에 의하여 제 1 공간(642a) 내에 도금액공급부(652)로부터 신선한 도금액을 공급하면서 도금액배출부(654)를 거쳐, 이 제 1 공간(642a)으로부터 도금액을 뽑아 냄으로써 제 1 공간(642a) 내를 신선한 도금액으로 치환할 수 있게 되어 있다. As a result, the plating solution is extracted from the first space 642a via the plating liquid discharge part 654 while supplying a fresh plating liquid from the plating liquid supply part 652 into the first space 642a. It is possible to substitute a fresh plating solution.

전극 헤드(502)에는 에어백(570)을 가지고, 기판 스테이지(504)로 유지한 기판(W)의 표면(피도금면)에 하층 패드(534a)를 임의의 압력으로 가압하는 가압기구가 구비되어 있다. 즉, 이 예에서는 회전 하우징(520)의 천정벽의 하면과 상하이동 하우징(522)의 천정벽의 상면과의 사이에 링형상의 에어백(570)이 배치되고, 이 에어백(570)은 가압유체도입관(572)을 거쳐 가압유체공급원(도시 생략)에 접속되어 있다. 이에 의하여 요동암(500)을 도금처리부(630)상의 소정의 위치(프로세스위치)에 상하이동 불가능하게 고정한 상태에서 에어백(570)의 내부를 압력 P9으로 가압함으로써, 기판 스테이지(504)로 유지한 기판(W)의 표면(피도금면)에 하층 패드(534a)를 임의의 압력으로 균일하게 가압하고, 상기 압력 P9을 대기압으로 되돌림으로써 하층 패드(534a)의 가압을 해제하도록 되어 있다.The electrode head 502 is provided with a pressurizing mechanism which has an air bag 570 and presses the lower layer pad 534a to an arbitrary pressure on the surface (plated surface) of the substrate W held by the substrate stage 504. have. That is, in this example, a ring-shaped airbag 570 is disposed between the lower surface of the ceiling wall of the rotary housing 520 and the upper surface of the ceiling wall of the shandong housing 522, which is a pressurized fluid. It is connected to a pressurized fluid supply source (not shown) via the introduction pipe 572. As a result, the inside of the airbag 570 is pressurized to a pressure P 9 while the rocking arm 500 is fixed to a predetermined position (process switch) on the plating processing unit 630 to maintain the substrate stage 504. The lower layer pad 534a is uniformly pressurized to a surface (coated surface) of one substrate W at an arbitrary pressure, and the pressure of the lower layer pad 534a is released by returning the pressure P 9 to atmospheric pressure.

상하이동 하우징(522)에는, 애노드실(530)내의 도금액을 흡인하는 도금액흡인관(574)과, 가압유체를 도입하는 가압유체도입관(576)이 설치되어 있고, 애노드(526)의 내부에는, 다수의 가는 구멍(526a)이 설치되어 있다. 이에 의하여 도금액은 다공질체(528)를 도금액에 침지시켜 애노드실(530)을 기밀적으로 밀봉한 상태에서, 도금액흡인관(574)을 거쳐 애노드실(530)내의 도금액을 흡인함으로써 다공질체(528)로부터 애노드실(530)을 향하여 빨아 올려져 애노드실(530)의 내부를 압력 P10으로 가압함으로써 기판(W)의 상면에 공급된다.The shanghai-dong housing 522 is provided with a plating liquid suction pipe 574 for sucking the plating liquid in the anode chamber 530 and a pressurized fluid introduction pipe 576 for introducing a pressurized fluid, and inside the anode 526, Many thin holes 526a are provided. As a result, the plating liquid sucks the plating liquid in the anode chamber 530 through the plating liquid suction pipe 574 while the porous liquid 528 is immersed in the plating liquid to seal the anode chamber 530 in an airtight manner. It is sucked up from the anode chamber 530 toward the anode chamber 530, and is supplied to the upper surface of the substrate W by pressing the inside of the anode chamber 530 at a pressure P 10 .

도 48은 전극 헤드(502)를 아이들링 스테이지(632)의 바로 윗쪽으로 이동시키고, 다시 하강시켜 신선한 도금액을 전극 헤드(502)의 애노드실(530)에 공급하고 있는 상태를 나타낸다. 아이들링 스테이지(632)는, 예를 들면 신선한 도금액을 저장하는 도금액 트레이(600)를 가지고 있다. 그리고 이 도금액 트레이(600)내에 모인 도금액 중에 다공질체(528)를 침지시켜 애노드실(530)내를 기밀적으로 밀봉하고, 이 상태에서 도금액흡인관(574)을 거쳐 애노드실(530)내의 도금액을 흡인함으로써, 도금액 트레이(600) 내의 신선한 도금액을 다공질체(528)로부터 애노드실(530)을 향하여 빨아 올린다. 그리고 이와 같이하여 빨아 올려진 신선한 도금액의 액면이, 제 1 공간(642a)의 윗쪽, 더욱 바람직하게는 제 2 공간(642b)의 윗쪽까지 위치하였을 때에 도금액의 흡인을 정지한다. 이에 의하여 제 1 공간(642a)의 내부, 및 이 아래쪽에 위치하는 하층 패드(534a)의 내부, 더욱 바람직하게는 제 2 공간(642b)의 내부 및 이 아래쪽에 위치하는 상층 패드(534b)의 내부에, 주로 신선한 도금액이 유지된다. 이 때의 도금액의 흡인은, 스루풋이 떨어지지 않을 정도의 범위에서 느리게 한 속도로 행하는 것이 바람직하다. FIG. 48 shows a state in which the electrode head 502 is moved directly above the idling stage 632 and lowered again to supply a fresh plating liquid to the anode chamber 530 of the electrode head 502. The idling stage 632 has a plating liquid tray 600 for storing a fresh plating liquid, for example. The porous body 528 is immersed in the plating liquid collected in the plating liquid tray 600 to hermetically seal the inside of the anode chamber 530, and in this state, the plating liquid in the anode chamber 530 is passed through the plating liquid suction pipe 574. By sucking, the fresh plating liquid in the plating liquid tray 600 is sucked up from the porous body 528 toward the anode chamber 530. When the liquid level of the fresh plating liquid sucked up in this way is located above the first space 642a, more preferably above the second space 642b, the suction of the plating liquid is stopped. As a result, the inside of the first space 642a, the inside of the lower layer pad 534a located below it, more preferably the inside of the second space 642b, and the inside of the upper layer pad 534b located below it. In principle, fresh plating liquid is maintained. At this time, the suction of the plating liquid is preferably performed at a slow speed in a range where the throughput does not drop.

도 49는 신선한 도금액을 전극 헤드(502)의 애노드실(530)에 공급하고 있는 다른 상태를 나타낸다. 이 예의 경우, 예를 들면 도금액 트레이(600)의 내부에 신선한 도금액을 채워 두거나, 도금액을 순환시켜 둔다. 그리고 이 도금액 트레이(600) 내의 도금액 중에 다공질체(528)를 침지시켜 애노드실(530)내를 기밀하게 밀봉하여 이 상태에서 도금액공급포트(660)를 열어 제 1 공간(642a) 내로 신선한 도금액을 공급함과 동시에, 도금액배출포트(666)를 열어, 제 1 공간(642a)으로부터 도금액을 뽑아 냄으로써 제 1 공간(642a) 내를 주로 신선한 도금액으로 치환한다. 그리고 이 치환종료후에, 도금액공급포트(660)로부터의 도금액의 공급을 정지함과 동시에, 도금액배출포트(666)를 폐쇄하거나, 또는 도금액 배출포트(666)를 폐쇄하고 나서 약간 동안 도금액공급포트(660)로부터의 도금액의 공급을 계속한 후, 이 공급을 정지한다. 이 때의 도금액의 치환은 스루풋이 떨어지지 않을 정도의 범위에서 느리게 한 속도로 행하는 것이 바람직하다. 또 도금액 트레이(600)의 내부에 신선한 도금액을 모아 둠으로써, 제 1 공간(642a)의 아래쪽에 위치하는 하층 패드(534a)의 내부의 일부도, 신선한 도금액으로 치환할 수 있다. FIG. 49 shows another state in which fresh plating liquid is supplied to the anode chamber 530 of the electrode head 502. In this example, for example, a fresh plating liquid is filled in the plating liquid tray 600 or the plating liquid is circulated. Then, the porous body 528 is immersed in the plating liquid in the plating liquid tray 600 to hermetically seal the inside of the anode chamber 530, and in this state, the plating liquid supply port 660 is opened to introduce fresh plating liquid into the first space 642a. At the same time as the supply, the plating liquid discharge port 666 is opened, and the plating liquid is extracted from the first space 642a to replace the inside of the first space 642a with a fresh plating liquid. After the replacement is completed, the plating solution supply port 660 is stopped and at the same time the plating solution discharge port 666 is closed or the plating solution discharge port 666 is closed. After the supply of the plating liquid from 660 is continued, this supply is stopped. At this time, the plating liquid is preferably replaced at a slow speed in the range where the throughput does not drop. In addition, by collecting the fresh plating liquid in the plating liquid tray 600, a portion of the inside of the lower layer pad 534a positioned below the first space 642a can be replaced with the fresh plating liquid.

이 예에 의하면 상기한 2개의 방법에 의하여 제 1 공간(642a)의 내부, 바람직하게는 이 아래쪽에 위치하는 하층 패드(534a)의 내부, 더욱 바람직하게는 제 2 공간(642b)의 내부 및 이 아래쪽에 위치하는 상층 패드(534b)의 내부에, 주로 신선한 도금액을 유지할 수 있다. According to this example, the inside of the first space 642a, preferably the lower layer pad 534a located below this, more preferably the inside of the second space 642b and the same by the two methods described above. Inside the upper pad 534b positioned below, a fresh plating liquid can be maintained.

다음에 이 도금장치로 도금을 행할 때의 조작에 대하여 설명한다. Next, the operation at the time of plating with this plating apparatus will be described.

먼저, 기판 스테이지(504)의 상면에 기판(W)을 흡착 유지한 상태에서 기판 스테이지(504)를 상승시켜 기판(W)의 둘레 가장자리부를 캐소드전극(512)에 접촉시켜 통전 가능한 상태로 하고, 다시 상승시켜 기판(W)의 둘레 가장자리부 상면에 시일재(512)를 압접시켜, 기판(W)의 둘레 가장자리부를 수밀적으로 시일한다. 한편, 전극 헤드(502)에 있어서는 아이들링 스테이지(632)에 있어서, 상기와 같이 하여 제 1 공간(642a)의 내부, 바람직하게는 이 아래쪽에 위치하는 하층 패드(534a)의 내부, 더욱 바람직하게는 제 2 공간(642b)의 내부 및 이 아래쪽에 위치하는 상층 패드(534b)의 내부에 주로 신선한 도금액을 유지하는, 그리고 이 전극 헤드(502)를 소정의 위치에 위치시킨다. 즉, 요동암(500)을 일단 상승시키고, 다시 선회시킴으로써 전극 헤드(502)를 기판 스테이지(504)의 바로 윗쪽위치에 위치시키고, 그런 다음에 하강시켜 소정의 위치(프로세스위치)에 도달하였을 때에 정지시킨다. 그리고 애노드실(530)내를 압력 P10으로 가압하여, 전극 헤드(502)로 유지한 도금액을 다공질 패드(534)의 하면으로부터 토출시킨다.First, the substrate stage 504 is raised while the substrate W is adsorbed and held on the upper surface of the substrate stage 504, and the peripheral edge portion of the substrate W is brought into contact with the cathode electrode 512 to enable energization. It raises again and press-contacts the sealing material 512 on the upper surface of the peripheral edge part of the board | substrate W, and seals the peripheral edge part of the board | substrate W watertightly. On the other hand, in the electrode head 502, in the idling stage 632, the inside of the first space 642a as above, preferably the inside of the lower layer pad 534a located below this, more preferably The electrode head 502 is mainly placed in the second space 642b and in the upper pad 534b positioned below it, and the electrode head 502 is kept at a predetermined position. That is, when the rocking arm 500 is once raised and then turned again, the electrode head 502 is positioned directly above the substrate stage 504, and then lowered to reach a predetermined position (process switch). Stop it. The inside of the anode chamber 530 is pressurized with a pressure P 10 to discharge the plating liquid held by the electrode head 502 from the lower surface of the porous pad 534.

이것에 의하여 제 1 공간(642a) 등의 내부 및 그 제 1 공간(642a)의 아래쪽에 위치하는 하층 패드(534a)의 내부 등에 유지한 도금액을, 애노드실(530)내에 유지되어 애노드(526)를 침지시키고 있던 도금액과의 혼입을 방지하면서, 기판(W)에 공급할 수 있다. Thereby, the plating liquid held in the inside of the 1st space 642a etc. and the inside of the lower layer pad 534a located under the 1st space 642a, etc. is hold | maintained in the anode chamber 530, and the anode 526 is carried out. It can supply to the board | substrate W, preventing mixing with the plating liquid which was immersed.

다음에 에어백(570) 내에 가압공기를 도입하여 하층 패드(534a)를 아래쪽으로 가압하여 하층 패드(534a)를 기판(W)의 표면(피도금면)에 소정의 압력으로 가압한다. 이 상태에서 전극 헤드(502) 및 기판 스테이지(504)를 회전(자전)시킨다. 이것에 의하여 도금에 앞서 하층 패드(534a)를 기판 스테이지(504)로 유지한 기판(W)의 피도금면에 임의의 압력으로 가압하면서 양자를 상대이동시킴으로써, 하층 패드(534a)와 기판(W)과의 밀착성을 높인다. Next, pressurized air is introduced into the air bag 570 to press the lower layer pad 534a downward to press the lower layer pad 534a to the surface (plated surface) of the substrate W at a predetermined pressure. In this state, the electrode head 502 and the substrate stage 504 are rotated (rotated). As a result, the lower layer pad 534a and the substrate W are moved relative to each other while pressing the lower layer pad 534a to the plated surface of the substrate W held by the substrate stage 504 at an arbitrary pressure prior to plating. ) And improves adhesion.

그리고, 전극 헤드(502) 및 기판 스테이지(504)의 회전을 정지한 후, 캐소드전극(512)을 도금전원(560)의 음극에, 애노드(526)를 도금전원(560)의 양극에 각각 접속하고, 이것에 의하여 기판(W)의 피도금면에 도금을 실시한다. 그리고 소정시간도금을 계속한 후, 캐소드전극(512) 및 애노드(526)의 도금전원(560)과의 접속을 해제함과 동시에, 애노드실(530)내를 대기압으로 되돌리고, 다시 에어백(570) 내를 대기압으로 되돌린다. 그런 다음에 요동암(500)을 상승시키고, 다시 선회시켜 전극 헤드(502)를 원래의 위치(아이들링위치)로 되돌린다. 이 조작을, 필요에 따라 소정횟수 반복하여 기판(W)의 표면(피도금면)에, 배선용 미세 오목부를 메우는 데 충분한 막두께의 구리층(7)(도 1b 참조)을 성막하고, 도금을 종료한다. After the rotation of the electrode head 502 and the substrate stage 504 is stopped, the cathode electrode 512 is connected to the cathode of the plating power source 560, and the anode 526 is connected to the anode of the plating power source 560, respectively. In this way, the plated surface of the substrate W is plated. After the plating is continued for a predetermined time, the cathode electrode 512 and the anode 526 are disconnected from the plating power supply 560, and the inside of the anode chamber 530 is returned to atmospheric pressure, and the airbag 570 is returned. Return me to atmospheric pressure. Then, the rocking arm 500 is raised and turned again to return the electrode head 502 to its original position (idling position). This operation is repeated a predetermined number of times if necessary, and a copper layer 7 (see FIG. 1B) having a sufficient film thickness is formed on the surface (plated surface) of the substrate W to fill the wiring fine recesses, and plating is performed. Quit.

본 발명에 의하면 다층구조를 가지는 다공질체의 내부에 신선한 도금액을 미리 유지하여 두고, 도금 직전에 다공질체를 거쳐 기판에 공급함으로써, 애노드를 침지시키고 있던 도금액이, 이 기판에 공급되는 신선한 도금액에 혼입되는 것을 방지하여, 더욱 소량의 도금액의 공급에 의하여 항상 신선한 도금액을 사용한 도금을 행할 수 있고, 이것에 의하여 도금액의 소비량을 적게 억제할 수 있다. 또한 많은 종류의 도금액을 사용하는 프로세스에 용이하게 대응할 수 있다.According to the present invention, a fresh plating solution is held in advance in a porous body having a multi-layered structure and supplied to the substrate via the porous body immediately before plating, so that the plating liquid immersed in the anode is mixed in the fresh plating liquid supplied to the substrate. It is possible to prevent plating, and plating by using a fresh plating liquid can always be performed by supplying a smaller amount of plating liquid, whereby the consumption amount of the plating liquid can be reduced. In addition, it can easily cope with the process of using many kinds of plating liquid.

본 발명은 도금장치 및 도금방법에 관한 것으로, 특히 반도체 기판 등의 기판에 형성된 미세 배선 패턴에 구리 등의 금속(배선재료)를 매립하여 배선을 형성하는데 사용된다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plating apparatus and a plating method, and in particular, is used to form a wiring by embedding a metal (wiring material) such as copper in a fine wiring pattern formed on a substrate such as a semiconductor substrate.

Claims (51)

애노드, 도금액을 유지하는 도금액 함침재 및 기판 표면과 접촉하는 다공질 접촉체를 구비한 전극 헤드와, An electrode head having an anode, a plating liquid impregnation material for holding a plating liquid, and a porous contact body in contact with the substrate surface; 기판에 접촉하여 통전시키는 캐소드전극과, A cathode electrode in contact with the substrate for conducting electricity; 상기 전극 헤드의 다공질 접촉체를 기판 표면에 가감 자유롭게 가압하는 가압기구와, A pressing mechanism for freely pressing the porous contact of the electrode head to the substrate surface; 상기 애노드와 상기 캐소드전극과의 사이에 도금전압을 인가하는 전원과, A power source for applying a plating voltage between the anode and the cathode electrode; 상기 전극 헤드의 다공질 접촉체의 기판 표면에 대한 가압상태와, 상기 애노드와 상기 캐소드전극과의 사이에 인가되는 도금전압의 상태를 서로 관련시켜 제어하는 제어부를 가지는 것을 특징으로 하는 도금장치. And a control unit for controlling the pressure state of the porous contact of the electrode head against the substrate surface and the state of the plating voltage applied between the anode and the cathode electrode in relation to each other. 제 1항에 있어서,The method of claim 1, 상기 다공질 접촉체는, 폴리에틸렌, 폴리프로필렌, 폴리아미드, 폴리카보네이트, 폴리이미드, 탄화규소 또는 알루미나로 형성되어 있는 것을 특징으로 하는 도금장치. The porous contact body is formed of polyethylene, polypropylene, polyamide, polycarbonate, polyimide, silicon carbide or alumina. 제 1항에 있어서,The method of claim 1, 상기 도금액 함침재는, 세라믹스 또는 다공질 플라스틱으로 형성되어 있는 것을 특징으로 하는 도금장치.The plating liquid impregnation material is formed of ceramics or porous plastic. 제 1항에 있어서,The method of claim 1, 상기 다공질 접촉체의 기판 표면에 접촉하는 면이, 절연물 또는 절연성이 높은 물질로 형성되어 있는 것을 특징으로 하는 도금장치. The plating apparatus, characterized in that the surface of the porous contact body in contact with the substrate surface is formed of an insulator or a highly insulating material. 제 1항에 있어서,The method of claim 1, 상기 제어부는, 상기 다공질 접촉체 및 기판의 어느 한쪽을 자전 또는 공전시키도록 제어하는 것을 특징으로 하는 도금장치. The control unit is a plating apparatus, characterized in that for controlling to rotate or revolve one of the porous contact body and the substrate. 기판을 유지하는 기판 스테이지와, A substrate stage for holding the substrate, 상기 기판 스테이지로 유지한 기판의 피도금면의 둘레 가장자리부에 맞닿아 상기 둘레 자장자리부를 수밀적으로 시일하는 시일재와, 상기 기판과 접촉하여 통전시키는 캐소드전극을 구비한 캐소드부와, A cathode portion having a sealing material sealingly sealing the circumferential magnetic field portion in contact with a peripheral edge of a plated surface of the substrate held by the substrate stage, and a cathode electrode in contact with the substrate for conducting electricity; 상기 캐소드부의 윗쪽에 상하이동 자유롭게 배치되어, 애노드와 보수성을 가지는 다공질체를 상하에 구비한 전극 헤드와, An electrode head freely disposed above and above the cathode portion, the electrode head having a porous body having an anode and a water retention structure at the top and bottom thereof; 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 도금액을 주입하는 도금 주입부와, A plating injection portion for injecting a plating liquid between the anode and the surface to be plated of the substrate held by the substrate stage; 상기 다공질체를 상기 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압하여 상기 피도금면으로부터 이간시키는 가압 이간기구와, A pressurizing separation mechanism for pressurizing the porous body to a plated surface of the substrate held by the substrate stage at an arbitrary pressure and separating the porous body from the plated surface; 상기 캐소드전극과 상기 애노드와의 사이에 도금전압을 인가하는 전원을 가지는 것을 특징으로 하는 도금장치. And a power source for applying a plating voltage between the cathode electrode and the anode. 제 6항에 있어서,The method of claim 6, 상기 기판 스테이지로 유지한 기판과 상기 전극 헤드를 상대이동시키는 상대이동기구를 가지는 것을 특징으로 하는 도금장치. And a relative moving mechanism for relatively moving the substrate held by the substrate stage and the electrode head. 제 7항에 있어서,The method of claim 7, wherein 상기 상대이동기구는, 상기 기판 스테이지 또는 상기 전극 헤드의 어느 한쪽을 회전시키는 회전기구로 이루어지는 것을 특징으로 하는 도금장치. The relative movement mechanism is a plating apparatus, characterized in that the rotation mechanism for rotating either one of the substrate stage or the electrode head. 제 8항에 있어서,The method of claim 8, 상기 기판 스테이지 또는 상기 전극 헤드의 어느 한쪽을 회전할 때에 주어지는 회전 토오크를 검출하는 토오크센서를 가지는 것을 특징으로 하는 도금장치.And a torque sensor for detecting a rotational torque given when the one of the substrate stage or the electrode head is rotated. 제 6항에 있어서,The method of claim 6, 상기 가압 이간기구는, 가스압에 의하여 신축하여 상기 다공질체를 상기 기판을 향하여 가압하는 에어백을 가지는 것을 특징으로 하는 도금장치.The pressurizing separation mechanism has an airbag which expands and contracts by gas pressure and presses the porous body toward the substrate. 제 10항에 있어서,The method of claim 10, 상기 에어백은, 상기 애노드 또는 상기 다공질체와 접촉하여 상기 애노드 또는 다공질체를 수평인 상태에서 상하이동시키도록 구성되어 있는 것을 특징으로 하는 도금장치.And the airbag is configured to move the anode or the porous body in a horizontal state in contact with the anode or the porous body. 제 6항에 있어서,The method of claim 6, 상기 다공질체는, 2종류 이상의 다공질재를 적층한 다층구조를 가지는 것을 특징으로 하는 도금장치.The said porous body has a multilayer structure which laminated | stacked two or more types of porous materials. 제 6항에 있어서,The method of claim 6, 상기 전극 헤드는, 상기 애노드 및 상기 에어백을 내부에 수납하고, 하단 개구부를 상기 다공질체로 폐쇄시킨 애노드실을 구획 형성하는 하우징을 가지는 것을 특징으로 하는 도금장치. And the electrode head has a housing for accommodating the anode and the airbag therein and defining an anode chamber in which a lower end opening is closed with the porous body. 제 13항에 있어서,The method of claim 13, 상기 애노드실은, 원통형의 형상을 가지고 있는 것을 특징으로 하는 도금장치. The anode chamber has a cylindrical shape. 제 13항에 있어서,The method of claim 13, 상기 하우징에는, 상기 에어백과 연통되는 가스도입관, 상기 애노드실의 내부로 도금액을 도입하는 도금액도입관 및 상기 애노드에 급전하는 급전포트가 설치되어 있는 것을 특징으로 하는 도금장치. And a gas introduction pipe communicating with the air bag, a plating solution introduction pipe for introducing a plating solution into the anode chamber, and a feed port for feeding the anode. 제 13항에 있어서,The method of claim 13, 상기 가압 이간기구는, 상기 하우징을 상하이동시키는 에어백을 가지는 것을 특징으로 하는 도금장치.The pressurizing separation mechanism has an airbag for moving the housing in a moving manner. 제 13항에 있어서,The method of claim 13, 상기 하우징 또는 상기 기판 스테이지를, 상하, 좌우 또는 원방향으로 진동시키는 가진기구를 더 가지는 것을 특징으로 하는 도금장치. And a vibrating mechanism for vibrating the housing or the substrate stage vertically, horizontally, or in a circumferential direction. 제 13항에 있어서,The method of claim 13, 상기 애노드실내의 도금액 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이의 도금액의 액온을 제어하는 온도제어기구를 더 가지는 것을 특징으로 하는 도금장치.And a temperature control mechanism for controlling the liquid temperature of the plating liquid in the anode chamber and the plating liquid between the anode and the plated surface of the substrate held by the substrate stage. 제 6항에 있어서,The method of claim 6, 상기 기판 스테이지는, 상기 기판 스테이지의 상면에 탑재한 기판의 둘레 가장자리부 이면을 흡착하여 기판을 수평으로 유지함과 동시에, 기판의 이면측을 유체로 가압할 수 있게 구성되어 있는 것을 특징으로 하는 도금장치. The substrate stage is configured to adsorb the back surface of the periphery of the substrate mounted on the top surface of the substrate stage to hold the substrate horizontally and pressurize the back surface side of the substrate with a fluid. . 제 6항에 있어서,The method of claim 6, 상기 기판 스테이지로 유지한 기판 또는 상기 다공질체를 진동을 가진시키는 가진기구를 가지는 것을 특징으로 하는 도금장치. And a vibrating mechanism for vibrating the substrate or the porous body held by the substrate stage. 기판을 유지하는 기판 스테이지와, A substrate stage for holding the substrate, 상기 기판 스테이지로 유지한 기판의 피도금면의 둘레 가장자리부에 맞닿아 상기 둘레 가장자리부를 수밀적으로 시일하는 시일재와, 상기 기판과 접촉하여 통전시키는 캐소드전극을 구비한 캐소드부와, A cathode portion having a sealing material sealingly sealing the circumferential edge portion in contact with a circumferential edge portion of the surface to be plated of the substrate held by the substrate stage, and a cathode portion having a cathode electrode for contacting and energizing the substrate; 상기 캐소드부의 윗쪽에 상하이동 자유롭게 배치되어, 애노드와 보수성을 가지는 다공질체를 상하에 구비한 전극 헤드와, An electrode head freely disposed above and above the cathode portion, the electrode head having a porous body having an anode and a water retention structure at the top and bottom thereof; 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 도금액을 주입하는 도금액 주입부와, A plating liquid injection unit for injecting a plating liquid between the anode and the surface to be plated of the substrate held by the substrate stage; 상기 다공질체를 상기 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압하는 가압기구와, A pressurizing mechanism for pressurizing the porous body at an arbitrary pressure on the surface to be plated of the substrate held by the substrate stage; 상기 캐소드전극과 상기 애노드와의 사이에 도금전압을 인가하는 전원과, A power supply for applying a plating voltage between the cathode and the anode; 상기 다공질체를 상기 기판 스테이지로 유지한 기판의 피도금면에 임의의 압력으로 가압할 때에 상기 다공질체와 피도금면과의 사이의 간극에 존재하는 도금액을 배제하는 도금액 배제기구를 가지는 것을 특징으로 하는 도금장치.And a plating liquid releasing mechanism for excluding a plating liquid existing in a gap between the porous body and the surface to be plated when the porous body is pressurized to a plated surface of the substrate held by the substrate stage at an arbitrary pressure. Plating equipment. 제 21항에 있어서,The method of claim 21, 상기 도금액 배제기구는, 상기 기판 스테이지로 유지한 기판, 상기 다공질체, 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 주입한 도금액 중의 적어도 2개를 상대운동시키는 기구로 이루어지는 것을 특징으로 하는 도금장치. The plating liquid removing mechanism is a mechanism for relatively moving at least two of the plating liquids injected between the substrate held by the substrate stage, the porous body, and the plated surface of the anode and the substrate held by the substrate stage. Plating apparatus, characterized in that made. 제 21항에 있어서,The method of claim 21, 상기 도금액 배제기구는, 상기 기판 스테이지로 유지한 기판, 상기 다공질체, 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 주입한 도금액 중의 어느 하나를 진동시키는 기구로 이루어지는 것을 특징으로 하는 도금장치. The plating liquid removing mechanism includes a mechanism for vibrating any one of the plating liquid injected between the substrate held by the substrate stage, the porous body, and the plated surface of the anode and the substrate held by the substrate stage. Plating apparatus characterized in that. 제 21항에 있어서,The method of claim 21, 상기 도금액 배제기구는, 상기 기판 스테이지로 유지한 기판, 상기 다공질체, 및 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 주입한 도금액 중의 어느 하나를, 기판 스테이지로 유지한 기판의 피도금면에 대하여 수직방향으로 진동시키는 기구로 이루어지는 것을 특징으로 하는 도금장치. The plating liquid removing mechanism maintains, at the substrate stage, any one of the plating liquid injected between the substrate held by the substrate stage, the porous body, and the plated surface of the anode and the substrate held by the substrate stage. A plating apparatus, comprising a mechanism for vibrating in a vertical direction with respect to a surface to be plated of a substrate. 제 23항 또는 제 24항에 있어서,The method according to claim 23 or 24, 상기 진동시키는 기구는, 초음파를 이용한 것, 또는 여자코일에 의한 가진기를 사용한 것임을 특징으로 하는 도금장치. The vibrating mechanism is a plating apparatus, characterized in that using an ultrasonic wave, or using an excitation by an excitation coil. 제 23항 또는 제 24항에 있어서,The method according to claim 23 or 24, 상기 진동시키는 기구는, 피에조 진동자로 이루어지는 것을 특징으로 하는 도금장치. The vibrating mechanism comprises a piezo vibrator. 제 23항 또는 제 24항에 있어서,The method according to claim 23 or 24, 상기 진동시키는 기구는, 압력진동을 이용한 것임을 특징으로 하는 도금장치. The vibrating mechanism is a plating apparatus, characterized in that the use of pressure vibration. 제 21항에 있어서,The method of claim 21, 상기 도금액 배제기구는, 내부에 상기 애노드를 수납하여 개구 끝부분을 상기 다공질체로 폐쇄한 애노드실과, 상기 애노드실내의 압력을 제어하는 압력제어부를 가지는 것을 특징으로 하는 도금장치. The plating liquid removing mechanism includes an anode chamber in which the anode is accommodated therein and the opening end is closed by the porous body, and a pressure control unit for controlling the pressure in the anode chamber. 기판을 유지하는 기판 스테이지와, A substrate stage for holding the substrate, 상기 기판 스테이지로 유지한 기판의 피도금면의 둘레 자장자리부에 맞닿아 상기 둘레 가장자리부를 수밀적으로 시일하는 시일재와, 상기 기판과 접촉하여 통전시키는 캐소드전극을 구비한 캐소드부와, A cathode portion having a sealing material sealingly sealing the circumferential edge portion in contact with a circumferential magnetic field portion of the plated surface of the substrate held by the substrate stage, and a cathode portion having a cathode electrode for contacting and energizing the substrate; 상기 캐소드부의 윗쪽에 상하이동 자유롭게 배치되어, 애노드와 보수성을 가지는 다공질체를 상하에 구비한 전극 헤드와, An electrode head freely disposed above and above the cathode portion, the electrode head having a porous body having an anode and a water retention structure at the top and bottom thereof; 상기 애노드와 상기 기판 스테이지로 유지한 기판의 피도금면과의 사이에 도금액을 주입하는 도금액 주입부와, A plating liquid injection unit for injecting a plating liquid between the anode and the surface to be plated of the substrate held by the substrate stage; 상기 캐소드전극과 상기 애노드와의 사이에 도금전압을 인가하는 전원을 구비하고, A power source for applying a plating voltage between the cathode electrode and the anode, 상기 다공질체는 2종류 이상의 다공질재를 적층한 다층구조를 가지고 있는 것을 특징으로 하는 도금장치.The porous body has a multilayer structure in which two or more kinds of porous materials are laminated. 제 29항에 있어서,The method of claim 29, 상기 전극 헤드는, 상기 애노드를 내부에 수납하여, 하단 개구부를 상기 다공질체로 폐쇄시킨 애노드실을 구획 형성하는 하우징을 가지는 것을 특징으로 하는 도금장치. And the electrode head has a housing for accommodating the anode therein and defining an anode chamber in which a lower end opening is closed with the porous body. 제 30항에 있어서,The method of claim 30, 상기 하우징에는, 상기 애노드실의 내부의 도금액을 흡인하는 도금액흡인관, 상기 애노드실의 내부에 가압유체를 도입하는 가압유체도입관 및 상기 애노드에 급전하는 급전포트가 설치되어 있는 것을 특징으로 하는 도금장치. The housing is provided with a plating liquid suction tube for sucking the plating liquid inside the anode chamber, a pressurized fluid introduction tube for introducing a pressurized fluid into the anode chamber, and a feed port for feeding the anode. . 제 29항에 있어서,The method of claim 29, 상기 다층구조를 구성하는 다공질재의 사이에, 하나의 공간이 형성되어 있는 것을 특징으로 하는 도금장치.A plating apparatus, wherein one space is formed between the porous materials constituting the multilayer structure. 제 32항에 있어서, The method of claim 32, 상기 다공질재의 사이에 형성된 공간을 향하여 도금액을 토출하여 공급하는 도금액공급부와, 상기 공간내의 도금액을 흡인하여 배출하는 도금액 배출부를 가지는 것을 특징으로 하는 도금장치. And a plating liquid supply unit for discharging and supplying a plating liquid toward a space formed between the porous materials, and a plating liquid discharge unit for sucking and discharging the plating liquid in the space. 시드층으로 덮힌 배선용 미세 오목부를 가지는 기판을 준비하고, A substrate having a fine recess for wiring covered with a seed layer is prepared, 상기 시드층의 표면과 상기 시드층과 소정간격 이간하여 배치한 애노드와의 사이에 다공질 접촉체를 거쳐 도금액을 공급하고, A plating solution is supplied between the surface of the seed layer and the anode arranged at a predetermined interval apart from the seed layer via a porous contact body, 상기 시드층과 상기 애노드와의 사이에 도금전압을 인가하여 도금을 행함에 있어서,In the plating by applying a plating voltage between the seed layer and the anode, 상기 시드층과 상기 애노드와의 사이에 인가하는 도금전압의 상태의 변화와, 상기 다공질 접촉체와 상기 시드층과의 사이의 가압상태의 변화를 서로 관련시키는 것을 특징으로 하는 도금방법. A change in the state of the plating voltage applied between the seed layer and the anode and a change in the pressurized state between the porous contact and the seed layer are correlated with each other. 제 34항에 있어서,The method of claim 34, 상기 다공질 접촉체와 상기 시드층과의 사이의 가압상태의 변화는, 상기 다공질 접촉체와 상기 시드층과의 사이의 압력 변화인 것을 특징으로 하는 도금방법. The change in the pressurized state between the porous contact and the seed layer is a change in pressure between the porous contact and the seed layer. 제 34항에 있어서,The method of claim 34, 상기 시드층과 상기 애노드와의 사이에 인가하는 도금전압의 상태의 변화는, 상기 시드층과 상기 애노드와의 사이에 인가하는 도금전압의 단속인 것을 특징으로 하는 도금방법. The change of the state of the plating voltage applied between the seed layer and the anode is an interruption of the plating voltage applied between the seed layer and the anode. 제 34항에 있어서,The method of claim 34, 상기 시드층과 상기 애노드와의 사이에 인가하는 도금전압의 상태의 변화와, 상기 다공질 접촉체와 상기 시드층과의 사이의 가압상태의 변화를, 상기 다공질 접촉체와 상기 시드층 표면과의 사이의 압력을 상대적으로 높였을 때에 도금전압을 인가하고, 상기 다공질 접촉체와 상기 시드층과의 사이의 압력을 이전상태보다 상대적으로 낮추었을 때에 도금전압을 인가하지 않게 함으로써 관련시키는 것을 특징으로 하는 도금방법. The change in the state of the plating voltage applied between the seed layer and the anode and the change in the pressurized state between the porous contact and the seed layer are between the porous contact and the seed layer surface. Plating by applying a plating voltage when the pressure of R is relatively increased and by not applying a plating voltage when the pressure between the porous contact and the seed layer is relatively lower than the previous state. Way. 제 34항에 있어서,The method of claim 34, 상기 다공질 접촉체와 상기 시드층과의 사이의 가압상태의 변화는, 상기 다공질 접촉체와 상기 시드층 표면과의 접촉 및 비접촉의 변화인 것을 특징으로 하는 도금방법. The change in the pressurized state between the porous contact and the seed layer is a change in contact between the porous contact and the seed layer surface and non-contact. 제 34항에 있어서,The method of claim 34, 상기 시드층과 상기 애노드와의 사이에 인가하는 도금전압의 상태의 변화와, 상기 다공질 접촉체와 상기 시드층과의 사이의 가압상태의 변화를, 상기 다공질 접촉체와 상기 시드층 표면의 접촉과, 상기 시드층과 상기 애노드와의 사이의 도금전압의 인가가 서로 동조하도록 관련시키는 것을 특징으로 하는 도금방법. The change in the state of the plating voltage applied between the seed layer and the anode, and the change in the pressurized state between the porous contact and the seed layer, are in contact with the surface of the porous contact and the seed layer. And the application of a plating voltage between the seed layer and the anode is synchronized with each other. 제 34항에 있어서,The method of claim 34, 상기 시드층과 상기 애노드와의 사이에 인가하는 도금전압의 상태의 변화와,A change in the state of the plating voltage applied between the seed layer and the anode, 상기 다공질 접촉체와 상기 시드층과의 사이의 가압상태의 변화를, 상기 다공질 접촉체와 상기 시드층 표면이 비접촉시에는 상기 시드층과 상기 애노드와의 사이에 도금전압을 인가하지 않고, 상기 다공질 접촉체와 상기 시드층 표면이 접촉 후 일정시간 경과후에 상기 시드층과 상기 애노드와의 사이에 도금전압을 인가하도록 관련시키는 것을 특징으로 하는 도금방법. The change in the pressurized state between the porous contact and the seed layer is not applied when the surface of the porous contact and the seed layer are not in contact with each other without applying a plating voltage between the seed layer and the anode. And a plating voltage is applied between the seed layer and the anode after a predetermined time after contact between the contact layer and the seed layer surface. 시드층으로 덮힌 배선용 미세 오목부를 가지는 기판을 준비하고, A substrate having a fine recess for wiring covered with a seed layer is prepared, 상기 시드층의 표면과 소정간격 이간하여 배치된 애노드와의 사이에 보수성을 가지는 다공질체를 배치하고, Arranging a porous body having water retention property between the surface of the seed layer and the anode disposed at a predetermined distance apart from each other; 상기 시드층과 상기 애노드와의 사이에 도금액을 채우면서 통전하여 도금을 행함에 있어서,In the plating by energizing while filling a plating liquid between the seed layer and the anode, 상기 다공질체를 상기 시드층에 임의의 압력으로 가압하면서 상기 시드층과 상기 애노드와의 사이에 통전하여 도금을 행하는 것을 특징으로 하는 도금방법. A plating method, wherein the porous material is energized between the seed layer and the anode while being pressurized to the seed layer at an arbitrary pressure to perform plating. 제 41항에 있어서,42. The method of claim 41 wherein 상기 시드층과 상기 애노드와의 사이에 통전하여 도금을 행하는 것에 앞서, 상기 다공질체를 상기 시드층에 임의의 압력으로 가압하면서 양자를 상대이동시키는 것을 특징으로 하는 도금방법. A plating method characterized in that, before energizing between the seed layer and the anode to perform plating, both of them move relative to each other while pressing the porous body at an arbitrary pressure. 제 41항에 있어서,42. The method of claim 41 wherein 프로세스의 도중에, 상기 시드층과 상기 애노드와의 사이의 통전을 해제하고, 상기 다공질체를 상기 시드층으로부터 떼어 내는 것을 특징으로 하는 도금방법. In the middle of the process, the plating between the seed layer and the anode is released, and the porous material is separated from the seed layer. 시드층으로 덮힌 배선용 미세 오목부를 가지는 기판을 준비하고, A substrate having a fine recess for wiring covered with a seed layer is prepared, 상기 시드층의 표면과 소정간격 이간하여 배치된 애노드와의 사이에 보수성을 가지는 다공질체를 배치하고, Arranging a porous body having water retention property between the surface of the seed layer and the anode disposed at a predetermined distance apart from each other; 상기 시드층과 상기 애노드와의 사이에 도금액을 채우면서 통전하여 도금을 행함에 있어서, In the plating by energizing while filling a plating liquid between the seed layer and the anode, 상기 다공질체를 상기 시드층에 임의의 압력으로 가압하기 전후에 있어서, 상기 다공질체와 시드층과의 사이에 존재하는 도금액을 배제한 후, 상기 시드층과 상기 애노드와의 사이에 통전하여 도금을 행하는 것을 특징으로 하는 도금방법.Before and after pressurizing the porous body to the seed layer at an arbitrary pressure, the plating solution existing between the porous body and the seed layer is removed, and then the plating is conducted by energizing the seed layer and the anode. Plating method, characterized in that. 제 44항에 있어서,The method of claim 44, 상기 다공질체와 상기 시드층이 접촉하고 있을 때에만 통전을 행하는 것을 특징으로 하는 도금방법. A plating method characterized by conducting electricity only when the porous body and the seed layer are in contact. 기판을 반출입하는 로드·언로드 스테이션과,Load and unload station which carries in / out boards, 제 1항 내지 제 33항중 어느 한 항에 기재된 도금장치와,The plating apparatus according to any one of claims 1 to 33, 기판을 세정하여 건조시키는 세정·건조장치와, A washing and drying apparatus for washing and drying the substrate; 상기 로드·언로드 스테이션, 상기 도금장치 및 상기 세정·건조장치의 사이에서 기판을 반송하는 반송장치를 가지는 것을 특징으로 하는 기판처리장치. And a conveying apparatus for conveying a substrate between the load and unload station, the plating apparatus, and the cleaning and drying apparatus. 제 46항에 있어서,The method of claim 46, 기판 표면에 상기 도금장치로 성막한 불필요한 금속막을 연마제거하여 평탄화시키는 연마장치를 더 가지는 것을 특징으로 하는 기판처리장치. And a polishing apparatus which polishes and removes and planarizes unnecessary metal films formed by the plating apparatus on the substrate surface. 제 46항에 있어서,The method of claim 46, 상기 도금장치로 금속막을 성막한 기판을 열처리하는 열처리장치를 더 가지는 것을 특징으로 하는 기판처리장치. And a heat treatment apparatus for heat-treating the substrate on which the metal film is formed by the plating apparatus. 제 46항에 있어서,The method of claim 46, 기판의 둘레 가장자리부에 부착 내지 성막한 금속막을 에칭제거하는 베벨 에칭장치를 더 가지는 것을 특징으로 하는 기판처리장치. And a bevel etching apparatus for etching away the metal film deposited or formed on the peripheral edge of the substrate. 제 46항에 있어서,The method of claim 46, 상기 도금장치의 상기 애노드와 상기 캐소드전극과의 사이에 도금전압을 인가하였을 때의 전압값 또는 전류값의 한쪽을 모니터하는 모니터부를 더 가지는 것을 특징으로 하는 기판처리장치. And a monitor unit for monitoring one of a voltage value and a current value when a plating voltage is applied between the anode and the cathode electrode of the plating apparatus. 제 46항에 있어서,The method of claim 46, 기판 표면에 성막한 금속막의 막두께를 측정하는 막두께 측정기를 더 가지는 것을 특징으로 하는 기판처리장치. And a film thickness measuring instrument for measuring the film thickness of the metal film formed on the substrate surface.
KR1020057013531A 2003-01-23 2004-01-22 Plating device and plating method KR20050092130A (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00015236 2003-01-23
JP2003015236A JP4312465B2 (en) 2003-01-23 2003-01-23 Plating method and plating apparatus
JP2003149827A JP4423354B2 (en) 2003-05-27 2003-05-27 Plating method
JPJP-P-2003-00149827 2003-05-27
JPJP-P-2003-00161237 2003-06-05
JP2003161236A JP4361760B2 (en) 2003-06-05 2003-06-05 Plating method
JP2003161237A JP4423355B2 (en) 2003-06-05 2003-06-05 Plating equipment
JPJP-P-2003-00161236 2003-06-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020117007692A Division KR20110042245A (en) 2003-01-23 2004-01-22 Plating method

Publications (1)

Publication Number Publication Date
KR20050092130A true KR20050092130A (en) 2005-09-20

Family

ID=32777148

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020057013531A KR20050092130A (en) 2003-01-23 2004-01-22 Plating device and plating method
KR1020117007692A KR20110042245A (en) 2003-01-23 2004-01-22 Plating method

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117007692A KR20110042245A (en) 2003-01-23 2004-01-22 Plating method

Country Status (4)

Country Link
US (1) US20060113192A1 (en)
KR (2) KR20050092130A (en)
TW (1) TWI322452B (en)
WO (1) WO2004065664A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220164696A (en) * 2021-06-04 2022-12-13 가부시키가이샤 에바라 세이사꾸쇼 plating device

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050051437A1 (en) * 2003-09-04 2005-03-10 Keiichi Kurashina Plating apparatus and plating method
JP4872199B2 (en) * 2004-09-06 2012-02-08 ルネサスエレクトロニクス株式会社 Semiconductor manufacturing equipment
JP4808453B2 (en) * 2005-08-26 2011-11-02 株式会社荏原製作所 Polishing method and polishing apparatus
JP4519037B2 (en) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 Heating device and coating / developing device
US20070238261A1 (en) * 2006-04-05 2007-10-11 Asml Netherlands B.V. Device, lithographic apparatus and device manufacturing method
US8246808B2 (en) * 2008-08-08 2012-08-21 GM Global Technology Operations LLC Selective electrochemical deposition of conductive coatings on fuel cell bipolar plates
JP5321574B2 (en) * 2010-12-17 2013-10-23 ルネサスエレクトロニクス株式会社 Method of operating semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
SG10201608038VA (en) 2012-03-28 2016-11-29 Novellus Systems Inc Methods and apparatuses for cleaning electroplating substrate holders
TWI609100B (en) 2012-03-30 2017-12-21 諾發系統有限公司 Cleaning electroplating substrate holders using reverse current deplating
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9746427B2 (en) * 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10385471B2 (en) 2013-03-18 2019-08-20 Spts Technologies Limited Electrochemical deposition chamber
GB2512056B (en) * 2013-03-18 2018-04-18 Spts Technologies Ltd Electrochemical deposition chamber
CN103147103A (en) * 2013-03-25 2013-06-12 任晓方 Electro-brush plating power source controlled by touch screen
GB201403558D0 (en) 2014-02-28 2014-04-16 P2I Ltd Coating
CN103966637B (en) * 2014-05-08 2016-06-01 广西大学 Brush plating experiment porch
JP6176235B2 (en) 2014-12-26 2017-08-09 トヨタ自動車株式会社 Metal film forming apparatus and film forming method
CN104808370B (en) * 2015-05-22 2017-10-31 合肥京东方光电科技有限公司 It is a kind of to cartridge device, alignment method
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
JP7293765B2 (en) * 2018-07-24 2023-06-20 富士フイルムビジネスイノベーション株式会社 Plating equipment
CN112805415A (en) * 2018-10-03 2021-05-14 朗姆研究公司 Apparatus for inert anode plating tank
US11339488B2 (en) * 2019-02-19 2022-05-24 Achínibahjeechin Intellectual Property, LLC System and method for controlling a multi-state electrochemical cell
CN115943016A (en) * 2020-07-14 2023-04-07 应用材料公司 Method for detecting an unqualified substrate processing event during chemical mechanical polishing
CN112609221A (en) * 2020-11-27 2021-04-06 徐家文 Iron metal plating device for adjusting buffer liquid amount by using volume change height

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002A (en) * 1841-03-12 Tor and planter for plowing
US3616286A (en) * 1969-09-15 1971-10-26 United Aircraft Corp Automatic process and apparatus for uniform electroplating within porous structures
BE789028A (en) * 1971-12-10 1973-01-15 Buckbee Mears Co PROCESS FOR THE DEPOSIT OF METALS ON LOW-DIMENSIONAL CONDUCTORS FIXED ON FLEXIBLE SUPPORTS
JP3167317B2 (en) * 1990-10-18 2001-05-21 株式会社東芝 Substrate processing apparatus and method
US6878259B2 (en) * 1998-10-14 2005-04-12 Faraday Technology Marketing Group, Llc Pulse reverse electrodeposition for metallization and planarization of semiconductor substrates
JP2000232078A (en) * 1999-02-10 2000-08-22 Toshiba Corp Plating method and apparatus
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US6773570B2 (en) * 2002-11-14 2004-08-10 International Business Machines Corporation Integrated plating and planarization process and apparatus therefor
US7025860B2 (en) * 2003-04-22 2006-04-11 Novellus Systems, Inc. Method and apparatus for the electrochemical deposition and removal of a material on a workpiece surface

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220164696A (en) * 2021-06-04 2022-12-13 가부시키가이샤 에바라 세이사꾸쇼 plating device

Also Published As

Publication number Publication date
TW200423201A (en) 2004-11-01
WO2004065664A1 (en) 2004-08-05
TWI322452B (en) 2010-03-21
US20060113192A1 (en) 2006-06-01
KR20110042245A (en) 2011-04-25

Similar Documents

Publication Publication Date Title
KR20050092130A (en) Plating device and plating method
US7169705B2 (en) Plating method and plating apparatus
KR100773164B1 (en) Apparatus for plating substrate, method for plating substrate, electrolytic processing method, and apparatus thereof
JP4067307B2 (en) Rotation holding device
JP4540981B2 (en) Plating method
US20010024691A1 (en) Semiconductor substrate processing apparatus and method
US20050145482A1 (en) Apparatus and method for processing substrate
US20050051437A1 (en) Plating apparatus and plating method
WO2004095571A1 (en) Substrate processing method and substrate processing apparatus
US20050023149A1 (en) Plating apparatus, plating method and substrate processing apparatus
CN1742119B (en) Plating method
JP2008013851A (en) Rotary holding apparatus and semiconductor substrate-processing apparatus
JP2005133187A (en) Plating apparatus and plating method
JP4423354B2 (en) Plating method
US7479213B2 (en) Plating method and plating apparatus
JP4361760B2 (en) Plating method
JP4423358B2 (en) Plating apparatus and plating method
JP4166131B2 (en) Plating apparatus and plating method
JP2005029830A (en) Plating device and plating method
JP2005163085A (en) Plating apparatus and plating method
JP4423355B2 (en) Plating equipment
JP4423356B2 (en) Substrate plating equipment
JP2004360028A (en) Plating facility
JP2005187887A (en) Plating method and plating apparatus
JP2005264281A (en) Plating apparatus and plating method

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E601 Decision to refuse application