KR20050070143A - 1차 공정 제어기를 보완하기 위한 2차 공정 제어기 - Google Patents

1차 공정 제어기를 보완하기 위한 2차 공정 제어기 Download PDF

Info

Publication number
KR20050070143A
KR20050070143A KR1020057009250A KR20057009250A KR20050070143A KR 20050070143 A KR20050070143 A KR 20050070143A KR 1020057009250 A KR1020057009250 A KR 1020057009250A KR 20057009250 A KR20057009250 A KR 20057009250A KR 20050070143 A KR20050070143 A KR 20050070143A
Authority
KR
South Korea
Prior art keywords
process control
primary
controller
control function
tool
Prior art date
Application number
KR1020057009250A
Other languages
English (en)
Other versions
KR101000545B1 (ko
Inventor
리차드 제이. 마클
토마스 제이. 손더맨
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20050070143A publication Critical patent/KR20050070143A/ko
Application granted granted Critical
Publication of KR101000545B1 publication Critical patent/KR101000545B1/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33104Tasks, functions are distributed over different cpu
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • General Factory Administration (AREA)

Abstract

다(多)변수(multi-variate) 공정 제어 시스템을 구현하기 위한 방법 및 시스템이 제시된다. 제 1 시간 주기 동안 1차 공정 제어 기능을 사용하는 워크피스(workpiece)가 공정된다. 2차 공정 제어 기능은 워크피스를 공정하기 위해서 제 1시간 주기의 적어도 일 부분동안 수행된다. 상기 2차 공정 제어 기능은 적어도 하나의 2차 제어 파라미터를 수정할 수 있다.

Description

1차 공정 제어기를 보완하기 위한 2차 공정 제어기 {SECONDARY PROCESS CONTROLLER FOR SUPPLEMENTING A PRIMARY PROCESS CONTROLLER}
본 발명은 일반적으로 반도체 제조에 관한 것으로, 더욱 상세히는 1차 공정 제어기와 연결하여 2차 공정 제어기를 사용하기 위한 장치에 관한 것이다.
반도체 산업에서 기술의 급속한 발전이 제조 공정의 새롭고 혁신적인 많은 성과로 이어졌다. 오늘날에 제조 공정, 특히 반도체 제조 공정에서는 수많은 중요 단계들을 요구하고 있다. 이러한 공정 단계들을 항상 필수적인 것이기 때문에, 제조가 적절히 제어되도록 유지하기 위해서는 일반적으로 다수의 정확한 입력을 요구된다.
반도체 원재료로부터 반도체 디바이스 패키지를 생성하기 위해서는, 다수의 개별 공정 단계들이 반도체 디바이스들을 제조하는데 있어서 필요하게 된다. 이러한 다양한 공정들은 반도체 재료의 초기 성장으로부터 반도체 결정(crystal)을 각각의 웨이퍼들로 슬라이싱(slicing)하는 것, 가공 단계들(식각, 도핑(doping), 이온 주입, 또는 기타 등등), 그리고 완성된 디바이스들의 패케이징(packing) 및 최종 테스트에까지 걸쳐 있는데, 이런 다양한 공정들은 제각각마다 다르고, 다른 제어 환경을 포함하는 다른 제조 위치에서 상기 공정들이 수행되도록 특화되어 있다.
일반적으로, 공정 단계들의 세트는 반도체 웨이퍼들을 그룹으로 묶어서 수행하는데. 이를 때로는 로트(lot)라고 한다. 예를 들면, 다양한 다른 재료들로 구성되는 공정 층이 반도체 웨이퍼 상에 수행될 수 있다. 그후에, 공지된 포토리쏘그패피(photolithography) 기술을 사용하여 포토레지스트(photoresist)의 패턴화된 층이 상기 공정 층상에서 형성될 수 있다. 다음으로는 일반적으로, 마스크(mask)와 같은 상기 포토레지스트의 패턴 층을 사용하여 식각 공정이 상기 공정 층위에 수행될 수 있다. 이 식각 공정은 상기 공정 층에 다양한 피쳐(feature)들 또는 대상물이 형성되도록 한다. 예를 들면, 이러한 피쳐들은 트랜지스터를 위한 게이트 전극 구조처럼 사용될 수 있다. 또한 전체 반도체 웨이퍼 위에서 전기적 영역을 격리시키기 위하여, 트렌치(trench) 격리 구조들이 전체 반도체 웨이퍼 기판 위에 여러번 형성된다. 사용될 수 있는 격리 구조의 예는 STI(shallow trench isolation) 구조이다.
반도체 제조 시설 내의 제조 툴들은 일반적으로 제조 프레임워크 또는 공정 모듈 네트워크와 통신을 한다. 각각의 제조 툴은 일반적으로 장비 인터페이스와 연결된다. 상기 장비 인터페이스는 제조 네트워크가 연결되어 있는 장비 인터페이스와 연결되는데, 그로 인하여 상기 제조 툴과 제조 프래임워크 간에 통신이 가능하게 된다. 상기 장비 인터페이스는 일반적으로 APC(advanced process control)의 일부분일 수 있다. 상기 APC 시스템은 제어 스크립트를 시작시키는데, 이는 제조 공정을 실행하는데 필요한 데이터를 자동으로 검색하는 소프트웨어 프로그램일 수 있다.
도 1은 일반적인 반도체 웨이퍼(105)를 도시한다. 상기 반도체 웨이퍼(105)는 일반적으로 격자(150)로 배열된 다수의 개별 반도체 다이(die)를 포함한다. 공지된 포토리쏘그래피 공정 및 장비를 사용하여, 패턴화될 하나 이상의 공정 층들 전체에 걸쳐 포토레지스트의 패턴화된 층이 형성된다. 포토리쏘그래피 공정의 일부인 노광 공정은 사용되는 특정 포토마스크(photomask)에 따라, 일반적으로 대략 1 내지 4개의 다이(103) 위치에서 스텝퍼(stepper)에 의해 동시에 수행된다. 상기 패턴화된 포토레지스트 층은 바람직한 패턴을 하위층에 전송하기 위해서 예컨대, 폴리실리콘의 층, 금속, 또는 격리 재료와 같은 하위층 또는 재료의 층들 상에서 수행될 수 있는 식각 공정, 웨트(wet), 또는 드라이(dry) 동안 마스크로서 사용될 수 있다. 상기 포토레지스트의 패턴화된 층은 다수의 피쳐들 예컨대, 하부 공정 층 내에 복제될 라인-타입 피쳐들 또는 열린-타입 피쳐들로 구성된다.
도 2를 참조하면, 반도체 제조 시스템에 의해서 반도체 웨이퍼상에 형성되는 공정의 일반적인 흐름이 도시된다. 제조 시스템은 배치/로트(블록 210)와 관련된 반도체 웨이퍼들(105)을 공정할 수 있다. 다음으로, 반도체 웨이퍼(105)들을 공정시, 상기 반도체 웨이퍼들(105) 상에 수행될 공정에 대한 변화를 계산하기 위해서 상기 제조 시스템은 제조 데이터를 분석(블록 230)할 것이다. 상기 계산된 변화에 기초하여, 상기 제조 시스템은 상기 반도체 웨이퍼(105)의 공정과 관련된 1차 제어 파라미터를 조정할 수 있는 피드백 제어를 수행(블록 240)할 수 있다.
현 방법과 관련된 문제점들 중 하나는, 도 2의 도시된 바와 같은 공정 제어 흐름이 공정에 대한 레시피(recipe)를 조정하는 것처럼 1차 공정 제어 파라미터를 제어하는데 사용되는 단일 변수(uni-variate) 공정 제어 시스템을 이용한다는 사실이다. 현 방법은 반도체 웨이퍼(105)를 가공하는데 있어서, 에러를 감소시키기 위해 정밀하지 않은 지점(coarce-point) 조정을 수행하는 계산을 포함하고 있다. 그러나, 오늘날 공정 시스템에서 사용되는 단일 변수 시스템은 일반적으로 정밀하지 않은 지점 조정만을 제공하기 때문에, 반도체 웨이퍼(105)의 공정 정확성이 바람직한 허용 레벨 내로 유지되도록 하는 것을 어려운 문제일 수밖에 없다. 이는 공정 에러에 대한 대응을 늦추고, 그리고 반도체 웨이퍼(105)의 공정을 더욱 비싸게 만드는 결과를 초래한다.
본 발명은 전술된 문제점들 중 하나 이상을 극복 또는 적어도 감소시키기 위한 것이다.
본 발명은 동일 요소들을 식별하기 위해 동일 부호가 부기된 첨부된 도면과 하기의 설명을 참조로 보다 잘 이해될 수 있을 것이다.
도 1은 공정되는 반도체 웨이퍼의 이전 기술을 도시하는 개략도이다.
도 2는 이전 기술에 따라 반도체 웨이퍼들이 공정되는 흐름을 간략화한 흐름도를 도시한다.
도 3은 본 발명의 예시적인 일 실시예를 따르는 시스템을 나타내는 블록 도이다.
도 4는 본 발명의 예시적인 일 실시예를 따르는 도 3의 툴 상태 데이터 획득 유닛을 더욱 상세히 나타낸 블록도이다.
도 5는 본 발명의 예시적인 일 실시예를 따르는 도 3의 2차 제어기를 더욱 상세히 나타낸 블록 도이다.
도 6은 내포된 2차 피드백 제어 시스템을 구비한 2차 피드백 제어 시스템을 사용하는 다(多)변수 공정 제어 시스템을 도시한다.
도 7은 본 발명의 예시적인 일 실시예를 따르는 도 3의 시스템을 더욱 상세히 나타낸 블록도이다.
도 8은 본 발명의 예시적인 일 실시예를 따르는 방법을 흐름도로 나타낸 도이다.
본 발명의 다양한 수정과 대안적인 형태가 가능함에도, 첨부된 도면 및 하기의 설명에는 특정 실시예들만이 예시로서 도시되었다. 그러나 이러한 특정 실시예들에 대해서만 설명하였다고 하여서, 본 발명이 이러한 특정한 형태로만 한정되는 것은 아니며, 청구범위에 기재된 사항에 의해 파악된 본 발명의 사상 및 범위 내에 있는 모든 수정, 균등물, 그리고 대안적인 형태에도 본 발명의 효력이 미치는 것임을 인식해야 한다.
본 발명의 일 양상에서는, 다(多)변수(multi-variate) 공정 제어 시스템을 구현하기 위한 방법이 제시된다. 제 1 시간 주기 동안 1차 공정 제어 기능을 사용하는 워크피스(workpiece)가 공정 된다. 2차 공정 제어 기능은 워크피스를 공정하기 위해서 제 1시간 주기의 적어도 일 부분동안 수행된다. 상기 2차 공정 제어 기능은 적어도 하나의 2차 제어 파라미터를 수정할 수 있다.
본 발명의 다른 양상에서는 다(多)변수 공정 제어 시스템을 구현하기 위한 시스템에 제시된다. 상기 시스템은 워크피스를 공정하기 위한 공정 툴을 제공한다. 또한, 상기 시스템은 상기 공정 툴과 결합된 1차 및 2차 공정 제어기를 포함한다. 상기 1차 공정 제어기는 제 1 시간 주기 동안 1차 공정 제어 기능을 사용하여 워크피스를 공정하도록 구성된다. 상기 2차 공정 제어기는 상기 워크피스를 공정하기 위해서 제 1 시간 주기의 적어도 일 부분 동안 2차 공정 제어 기능을 수행할 수 있다. 상기 2차 공정 제어 기능은 적어도 하나의 2차 공정 제어 파라미터를 수정할 수 있다.
본 발명의 다른 양상에서는, 다(多)변수 공정 제어 시스템을 구현하기 위한 장치가 제공된다. 상기 장치는 1차 및 2차 공정 제어기를 포함한다. 1차 공정 제어기는 제 1 시간 주기 동안 1차 공정 제어 기능을 사용하여 워크피스를 공정하도록 구성된다. 2차 공정 제어기는 워크피스를 공정하기 위하여 제 1 시간 주기의 적어도 일 부분동안 2차 공정 제어 기능을 수행할 수 있다. 상기 2차 공정 제어 기능은 적어도 하나의 2차 제어 파라미터를 수정할 수 있다.
본 발명의 다른 양상에서는, 명령으로 인코딩(encoding)된 컴퓨터로 판독가능한 프로그램 스토리지(storage)가 다(多)변수 공정 제어 시스템을 구현하기 위해제공된다. 상기 명령들로 인코딩된 컴퓨터로 판독가능한 프로그램 스토리지 디바이스는 컴퓨터에 의해 실행될 때: 제 1 시간 주기 동안 1차 공정 제어 기능을 사용하여 워크피스를 공정하는 단계; 그리고, 상기 워크피스를 공정하기 위해 제 1 시간 주기의 적어도 일부분 동안 2차 공정 제어 기능을 수행하는 단계를 포함하는 방법을 수행한다. 상기 2차 공정 제어 기능은 적어도 하나의 2차 제어 파리미터를 수정할 수 있다.
본 발명의 예시적인 실시예가 하기에 기술된다. 명확성을 위해서, 실제 구현의 일부 특징들만이 본 명세서에 기술된다. 물론 이러한 특정한 실제적인 실시예를 전개하는데에 있어서, 구현별 특정한 많은 결정들이 시스템 관련, 및 비지니스 관련 구속에 부합하는 것과 같은 그러한 개발자의 목표를 달성하기 위해 만들어져야 함이 물론 이해될 것이다. 더욱이, 그러한 개발 노력은 복잡하고 많은 시간이 드는 것일 수 있으나, 본 발명의 개시의 이득을 얻는 이득을 얻는 당업자에게는 일상적인 일이 될 것이다.
반도체 제조에는 독립적인 많은 공정들이 존재한다. 워크피스(workpiece)(예컨대, 반도체 웨이퍼(105), 반도체 디바이스들)는 다수의 제조 공정 툴들을 통하여 수차례 단계적으로 이동된다. 본 발명의 실시예들은 다수의 공정 제어 환경을 사용함으로써 다(多)변수 공정 제어 피드백 및/또는 피드포워드(feed-forward) 제어 시스템을 효율적으로 만들 수 있게끔 제공한다. 본 발명의 실시예들은 내포된 2차 가공 제어로 보완될 수 있는 1차 가공 제어를 제공하도록 이용될 수도 있다. 결국, 반도체 웨이퍼(105)상에 수행되는 공정의 정확성을 더욱 잘 제어할 수 있게 하기 위해여 정밀하지 않은 지점 조정 및 정밀 지점 조정이 제공된다. 결함 검출 및 분류 시스템이 1차 교정 피드백/피드포워드 교정을 수행하는데 사용될 수 있는데 반해, 내포된 2차 제어 시스템은 다(多)변수, 정밀-튜닝된 조정을 제공하도록, 낮은 임계점 제한들을 사용하여 반도체 웨이퍼(105)의 공정과 관련된 다수의 변수들에 더욱 빠르게 반응할 수 있다. . 더욱이, 상기 1차 공정 제어에 의해 허용되는 변화율은 더욱 정밀-튜닝된, 다(多)변수 2차 제어 시스템들이 구현되는 비율과는 다를 수 있다. 본 발명의 실시예들을 사용함으로써, 반도체 웨이퍼(105)들 상에서 수행되는 공정의 정밀하지 않은 지점 및 정밀한 지점 튜닝이 달성될 수 있으며, 그로 인하여 더욱 정확하게 반도체 웨이퍼(105)들을 공정할 수 있게 된다.
도 3을 참조하면, 본 발명의 실시예들을 따르는 시스템(330)의 블록도가 도시된다. 상기 시스템(300) 내의 공정 제어기(310)는 공정 툴(710)과 관련된 다양한 동작들을 제어할 수 있다. 상기 시스템(300)은 공정되는 반도체 웨이퍼(105)와 관련된 계측 데이터, 툴-상태 데이터, 그리고 등등과 같은 제조 관련 데이터를 획득할 수 있다. 상기 시스템(300)은 상기 공정되는 반도체 웨이퍼(105)와 관련된 계측 데이터를 획득하기 위해서 계측 툴(750)을 포함할 수 있다.
또한, 상기 시스템은 데이터베이스 유닛(340)을 포함할 수 있다. 상기 데이터베이스 유닛(340)은 제조 관련 데이터, 상기 시스템(300)의 동작과 관련된 데이터(예컨대, 계측 데이터, 공정 상태 데이터, 공정 툴(710)의 상태, 반도체 웨이퍼(105)의 상태, 등등)와 같은 다수의 데이터 타입을 저장하기 위해서 제공되는 것이다. 상기 데이터베이스 유닛(340)은 상기 공정 툴(710)에 의해 수행되는 다수의 공정 실행과 관련된 툴-상태 데이터와 같은 데이터를 포함할 수 있다.
또한, 상기 시스템(300)은 툴-상태 데이터를 획득하기 위한 툴-상태 데이터 획득 유닛(320)을 포함할 수 있다. 상기 툴-상태 데이터는 상기 공정 툴(710)의 동작과 관련된 압력, 데이터, 온도 데이터, 습도 데이터, 가스 유동 데이터, 다양한 전기적 데이터, 그리고 등등을 포함할 수 있다. 식각 툴에 대한 예시적인 툴-상태 데이터로는 전체 시간 주기 동안 트레이스(trace) 및/또는 기록되는 가스 유동, 챔버(chamber) 압력, 챔버 온도, 전압, 반사 파워(reflected power), 백사이드 헬륨 압력(backside helium pressure), RF 튜닝 파라미터, 등등을 포함할 수 있다. 또한 툴-상태 데이터는 주위의 온도, 습도, 압력, 등등과 같은 공정 툴(710)에 대한 외부 데이터를 포함할 수 있다. 툴-상태 데이터 획득 유닛(710)의 더욱 상세한 설명이 도 4 및 하기의 설명에 제공된다.
또한, 상기 시스템은 반도체 웨이퍼(105)의 공정과 관련된 다양한 결함 검출 분석들을 수행할 수 있는 결함 검출 및 분류 유닛(FDC:fault detection and classfication unit)(330)을 포함할 수 있다. 상기 FDC 유닛(330)은 반도체 웨이퍼(105)의 공정 동안에 발생한 결함과 관련된 데이터를 제공할 수 있다. 상기 FDC 유닛(330)에 의해 수행된 결함 검출 분석은 툴-상태 데이터 및/또는 계측 데이터를 포함할 수 있다. 상기 FDC 유닛(330)은 상기 계측 데이터를 분석함으로써 특정 상태 데이터와 상기 공정된 반도체 웨이퍼(105) 상에서 검출된 에러간의 상관 관계를 알 수 있다. 예를 들면, 상기 공정된 반도체 웨이퍼(105) 상에 발견된 임계 크리 에러와 같은 특정한 에러들은 툴-상태 데이터와 관련된 특정 가스 유동률, 또는 온도 데이터와 상관관계가 있을 수 있다. 또한, 상기 FDC 유닛(330)에 의해 수행된 결함 검출은 상기 공정 툴(710) 내에 통합된 센서 원위치로부터 데이터를 분석하는 것을 포함 할 수 있다.
또한, 상기 시스템(300)은 정밀하지 않은 지점 및 정밀한 지점 제어 조정 환경을 제공하기 위해 함께 사용될 수 있는 1차 제어기(350) 및 2차 제어기(360)를 포함할 수 있다. 상기 1차 제어기(350)는 반도체 웨이퍼(105)를 공정하는 전반적인 동작에 영향을 주는 1차 제어 파라미터들/변수들의 광범위한 공정 제어를 수행할 수 있다. 예를 들면, 상기 1차 제어기(350)는 특정 반도체 웨이퍼(105)를 공정하는 접근법을 변화시키는데 사용될 수 있는 레시피 파라미터를 조정할 수 있다. 일 실시예에서, 상기 1차 제어기(350)는 피드백 및/또는 피드-포워드 교정을 수행할 때 상기 2차 제어기(360)에 비해서 상대적으로 높은 임계값에 반응할 수 있다. 다른 말로 하면, 2차 제어기(360)는 2차 런투런(run-to-run) 교정 및/또는 웨이퍼-투-웨이퍼(wafer-to-wafer) 제어 조정을 수행하는데 반해, 상기 1차 제어기(350)는 런투런 정밀하지 않은 지점 조정을 수행한다.
상기 2차 제어기(360)는 다(多)변수 피드백/피드포워드 제어 조정 환경을 제공하기 위해서, 내포된 피드백 제어 환경을 구현하는 1차 제어기(350)에 관해서 내포된 방식으로 사용될 수 있다. 예를 들면, 1차 제어기(350)는 미리 결정된 간격으로 레시피를 조종할 수 있는데 반해, 상기 2차 제어기(360)는 폴리싱(polishing) 공정 동안에 그라인더(grinder)와 근접한 반도체 웨이퍼(105)에 위치한 암(arm)과 관련한 다운포스(down-force) 파라미터와 같은 다수의 2차 파라미터를 조정할 수 있다. 더욱이, 상기 2차 제어기(360)는 1차 제어기(350)에 의해서는 검출되지 않을 수 있는 결함의 지점을 더욱 정밀하게 검출하도록 수행할 수 있다. 예를 들면, 압력과 같은 툴 상태의 편차는 상기 FDC 유닛(330)에 의해 결함으로서 계산되지 않을 수 있고; 결국, 상기 1차 제어기(350)는 그러한 편차에 반응하지 않을 수 있다. 그러나, 상기 2차 제어기(360)를 사용함으로써, 상기 편차는 검출되고, 압력 내의 변화는 조정될 수 있다. 이에 대한 예를 들면, 상기 공정 툴(710)과 관련된 배출구(foreline)가 막히게 됨에 따라 상기 공정 툴(710)과 관련된 챔버 내의 압력이 상승될 수 있는 경우를 들 수 있다. 1차 제어기(350)가 그러한 편차에 반응하기 이전에, 2차 제어기(360)는 예를 들면 미리 결정된 정상 값과 큰 차이가 나는 편차로부터 압력을 제어하기 위해 쓰로틀(throttle) 압력 밸브를 조정할 수 있다. 또한 상기 2차 제어기(360)는 공정과 관련된 가스 유동, 압력, 등등을 조정할 수 있다. 상기 2차 제어기(360)는 상기 1차 제어기(350)에 의해 수행된 교정을 보완하기 위해서 내포된 방식으로 피드백 교정을 수행한다. 2차 제어기(360)의 더욱 상세한 설명은 도 5 및 이에 대응되는 도면에 대한 설명에 제시된다.
상기 공정 제어기(310), 상기 FDC 유닛(330), 상기 1차 제어기(350), 그리고/또는 상기 2차 제어기(360)는 소프트웨어, 하드웨어, 또는 펌웨어(firmware) 유닛일 수 있는데, 여기서 펌웨어 유닛은 스탠드얼론(standalone) 유닛 또는 상기 시스템(300)과 관련된 컴퓨터 시스템 내에 통합될 수 있다. 더욱이, 도 3에 도시된 블록들에 의해 표현된 다양한 요소들은 시스템 통신 라인(315)을 통하여 서로 통신할 수 있다. 상기 시스템 통신 라인(315)은 본 발명의 기술분야에 속한 당업자에 의해 구현될 수 있는 컴퓨터 버스 링크, 전용 하드웨어 통신 링크, 전화 시스템 통신 링크, 무선 통신 링크, 또는 다른 통신 링크일 수 있다.
도 4를 참조하면, 도 3의 툴 상태 데이터 획득 유닛을 더욱 상세히 나타낸 블록도가 제시된다. 툴-상태 데이터 획득 유닛(320)은 센서들의 다양한 다른 타입들 중 어떤 것으로도 구성될 수 있는데, 예컨대, 압력 센서(410), 온도 센서(420), 습도 센서(430), 가스 유동률 센서(440), 전기 센서(450), 등등으로 구성될 수 있다. 대안적인 실시예에서, 상기 툴-상태 데이터 획득 유닛(320)은 상기 공정 툴(710)내에 통합된 센서를 본래의 위치에서 포함할 수 있다. 상기 압력 센서(410)는 상기 공정 툴(710) 내의 압력을 검출할 수 있다. 상기 온도 센서(420)는 상기 공정 툴(710)의 다양한 부분들의 온도를 검지할 수 있다. 상기 습도 센서(430)는 상기 공정 툴(710) 내의 다양한 부분들에서, 또는 주위 환경 상태의 상대 습도를 검출할 수 있다. 상기 가스 유동률 센서(440)는 반도체 웨이퍼(105)의 가공 동안에 이용되는 다수의 공정 가스들의 유동률을 검출할 수 있는 다수의 유동률 센서들을 포함할 수 있다. 예를 들면, 상기 가스 유동률 센서(440)는 NH3, SiH4, N2O, 및/또는 다른 공정 가스들과 같은 가스들의 유동률을 검출할 수 있는 센서들을 포함할 수 있다.
일 실시예에서, 상기 전기 센서(450)는 전류, 전압, 또는 포토리쏘그래피(photolithography) 공정에서 사용되는 램프(lamp)에 공급되는 파워와 같은 다수의 전기적 파라미터들을 검출할 수 있다. 또한 상기 툴-상태 데이터 획득 유닛(320)은 당업자에게 알려져 있는 다양한 제조 변수들을 검출할 수 있는 다른 센서들을 포함할 수 있다. 또한, 상기 툴-상태 데이터 획득 유닛(320)은 툴-상태 데이터 센서 인터페이스(460)를 포함할 수 있다. 상기 툴-상태 데이터 센서 인터페이스(460)는 상기 공정 툴(710) 및/또는 상기 툴-상태 데이터 획득 유닛(320)과 관련되거나 혹은 포함되어 있는 다양한 센서들로부터 데이터를 수신할 수 있고, 그리고 상기 데이터를 공정 제어기(310)에 전송할 수 있다.
도 5를 참조하면, 본 발명의 실시예들을 따르는 2차 제어기(360)를 더욱 상세히 도시한 블록도가 도시된다. 상기 2차 제어기(360)는 웨이퍼 상태 데이터, 툴-상태 데이터, 그리고/도는 공정 상태 데이터와 같은 다수의 제조 데이터 타입들을 수신할 수 있다. 상기 웨이퍼 상태 데이터는 공정되는 반도체 웨이퍼(105)들과 관련된 데이터의 특정 타입들에 관한 것으로서, 이는 상기 계측 데이터를 분섬함으로써 제공될 수 있다. 예를 들면, 상기 웨이퍼 상태 데이터는 증착된 필름의 두께, 임계 크기 측정, 등등을 포함할 수 있다. 공정의 상태 자체를 제공하는 상기 공정 상태 데이터는 상기 공정 툴(710)에 관하여 잔여 가스에 대한 데이터를 제공하는 잔여 가스 분석 툴처럼 특정 툴들에 의해 제공될 수 있는 공정의 상태에 대한 데이터를 포함할 수 있다. 공정-상태 데이터의 다른 예시들은 OES(optical emission spectroscopy), 진공 미립자 센서, 액체 화학 농축 데이터, 후리에 변환 적외선(FTIP : Fourier transform infrared), 그리고 등등을 포함할 수 있다.
상기 2차 제어기(360)는 공정 편차 연산 유닛(510) 및 제어 파라미터 조정 유닛(520)을 포함할 수 있다. 상기 공정 편차 연산 유닛(510)은 상기 공정 툴(710)의 동작에서 발생하는 편차의 총계를 연산하는 분석을 수행할 수 있다. 예를 들면, 공정 편차 연산 유닛(510)은 상기 공정 툴(710)과 관련된 챔버 내의 압력 편차와 관련된 지표를 제공할 수 있다. 웨이퍼 상태 데이터, 툴-상태 데이터, 및/또는 공정 상태 데이터를 이용함으로써, 상기 제어 파라미터 조정 유닛(520)은 2차 피드백 공정 방식으로 조정될 수 있는 하나 이상의 파라미터에 대한 조정들을 연산할 수 있다. 상기 제어 파라미터 조정 유닛(520)은 2차 피드백 또는 피드포워드 공정 조정들로서 구현될 수 있는 첫 번째부터 N 번째 가지의 수정된 2차 파라미터를 제공한다. 압력 유동, 온도 및 RF 파워와 같은 다양한 툴 상태 파라미터들은 2차 제어기(360)을 사용함으로써 조정될 수 있고, 여기서 공정 단계를 제어하는데 사용되는 레시피와 같은 1차 제어 요소들의 조정은 더 적은 빈도로도 정밀하지 않은 타입의 공정 조정으로서 수행될 수 있다. 상기 1차 제어기(350) 및 2차 제어기(360)에 의해 제공되는 내포된 공정 제어 환경의 더욱 상세한 사항은 도 6 및 이에 대한 설명에서 제시된다.
도 6을 참조하면, 본 발명의 실시예에 따라 공정 제어 구성의 블록도가 내포된 방식으로 도시된다. 공정 단계(블록 610)를 수행할 시에, 다양한 타입들의 제조 데이터가 상기 시스템(300)(단계 620)에 의해서 획득될 수 있다. 획득되는 데이터는 웨이퍼 상태 데이터, 툴-상태 데이터, 및/또는 공정 상태 데이터를 포함할 수 있다. 상기 제조 데이터는 FDC 데이터(블록 630)를 생성하는데 사용될 수 있다. 상기 제조 데이터 및/또는 상기 FDC 데이터는 공정 단계와 관련된 1차 제어 파라미터를 수정하는데 사용될 수 있는 1차 제어 조정 연산(640)을 수행하도록 사용될 수 있다. 조정 연산을 이용하는 1차 피드백 제어(650)는 상기 공정 단계(블록 610)로 재전송되는 수정된 1차 제어 파라미터(블록 660)을 제공하도록 수행될 수 있다. 이 피드백 제어는 예컨대, 상기 공정 단계와 관련한 레시피 파라미터를 수정하는 것과 같은 일반적으로 단일 변수 방식으로 수행되는 바깥쪽 루프(블록 610-620-630-640-650-660 경로)의 형태를 취한다.
블록들(610-660)에 의해 정의되는 바깥쪽 루프 내에, 블록 610-620-670-680-690 경로로 나타나는 내부 루프가 구현될 수 있다. 상기 제조 데이터는 2차 제어 파라미터에 대한 수정을 결정하기 위해서 2차 공정 제어 연산(블록 670)을 수행하는데 사용될 수 있다. 예를 들면, 만약 상기 1차 제어기(350)가 폴리싱(polishing) 시간 제어기인 경우, 상기 2차 제어기(360)는 (폴리싱 장치를 지지하는)암(arm) 압력, 벨트 속도, 및/또는 폴리싱 슬러리(slurry) 농축을 제어하는 제어기일 수 있다. 다른 예로서, 만약 상기 1차 제어기(350)가 증착 시간 제어기인 경우, 상기 2차 제어기(360)는 갭(gap) 간격, 전극 간격, 및/또는 등등을 제어하는 제어기일 수 있다. 다른 실시예에서, 만약 상기 1차 제어기(350)가 포토레지스트 처리 시간 제어기인 경우, 상기 2차 제어기(360)는 회전 속도, 웨이퍼 온도, 액체 점성, 및/또는 등등을 제어하는 제어기일 수 있다.
상기 2차 공정 조정 연산(들)은 2차 피드백 제어(블록 680)를 수행하는데 사용될 수 있는 데이터를 제공할 수 있다. 2차 피드백 제어 공정은 수정될 수 있는 다수의 2차 제어 파라미터들(블록 690) 및 상기 공정 단계에 대한 피드백을 제공할 수 있다. 블록 610, 620, 670, 680, 그리고 690에 의해 정의된 내포된 내부 루프의 동작은 다른 빈도 또는 도 6에 도시된 외부 루프에 의해 수행되는 피드백 제어의 동작 비율로 수행될 수 있다. 결국, 비동기 피드백 교정들이 공정 단계에 대해 정밀하지 않은 지점 및 정밀한 지점 조정을 수행하는 다(多)변수 방식으로 공정에 제공될 수 있다. 상기 내부 루프는 하나 이상의 툴 상태 파라미터들, 등등을 조정하기 위해서 2차 피드백 제어를 수행한다. 상기 내부 루프에 의해 정의된 2차 공정은 반도체 웨이퍼(105) 상에서 수행되는 공정의 정밀-튜닝된 제어 조정을 수행하도록 조정될 수 있는 다양한 2차 파라미터를 제공하기 위해서 광학 분광기 계측 툴, 화학 구성 센서, 잔여 가수 분석기, 및 기타 등등과 같은 다양한 툴들에 의해 제공되는 데이터를 사용할 수 있다.
도 7을 참조하면, 본 발명의 일 실시예를 따르는 시스템(300)을 더욱 상세히 나타낸 블록도가 도시된다. 반도체 웨이퍼(105)가 라인 또는 네트워크(723)를 통하여 제공되는 다수의 제어 입력 신호, 또는 제조 파라미터를 사용함으로써, 공정 틀들(710a, 710b)상에서 공정 된다. 라인(723)상의 상기 제어 입력 신호, 또는 제조 파라미터가 장치 인터페이스(715a, 710b)를 통하여 컴퓨터 시스템(730)으로부터 상기 공정 툴들(710a, 710b)에 전송된다. 제 1 및 제 2 장치 인터페이스들(715a, 715b)은 일반적으로 상기 공정 툴들(710a, 710b)의 외부에 위치한다. 대안적인 실시예에서, 상기 제 1 및 제 2 장치 인터페이스(715a, 715b)들은 상기 공정 툴들(710a, 710b)의 내부에 위치될 수 있다. 상기 반도체 웨이퍼(105)들은 공정 툴들(710)에 수동적으로 제공될 수 있다. 대안적인 실시예에서, 반도체 웨이퍼(105)들은 자동 방식(예컨대, 반도체 웨이퍼들(105)의 로보틱 이동)으로 공정 툴들(710)에 제공될 수 있다. 일 실시예에서, 다수의 반도체 웨이퍼들(105)은 상기 공정 툴들(710)에 (카세트 내에 쌓여진) 로트(lot)들로 이송된다.
일 실시예에서, 컴퓨터 시스템(730)이 라인(723) 위의 제어 입력 신호들, 또는 제조 파라미터들을 제 1 및 제 2 장치 인터페이스들(715a, 715b)에 전송한다. 컴퓨터 시스템(730)은 공정 동작을 제어할 수 있다. 일 실시예에서, 상기 컴퓨터 시스템(730)은 공정 제어기이다. 상기 컴퓨터 시스템(730)은 다수의 소프트웨어 프로그램들 및 데이터 세트들을 저장할 수 있는 컴퓨터 스토리지 유닛(732)에 연결된다. 상기 컴퓨터 시스템(730)은 하기에 기술될 동작들을 수행할 수 있는 하나 이상의 프로세서들(도시되지 않음)을 포함할 수 있다. 상기 컴퓨터 시스템(730)은 상기 라인(723) 위의 제어 입력 신호들을 발생시키기 위해 제조 모델(740)을 사용한다. 일 실시예에서, 상기 제조 모델(740)은 상기 공정 툴들(710a, 710b)에 전송되는 상기 라인(723) 상의 다수의 제어 입력 파라미터들을 결정하는 제조 레시피를 포함한다.
일 실시에에서, 상기 제조 모델(740)은 특정 제조 공정을 구현하는 공정 스크립트(script) 및 입력 제어를 정의한다. 공정 툴A(710a)를 대상으로 하는 상기 라인(723) 위의 상기 제어 입력 신호들(또는 제어 입력 파라미터들)이 상기 제 1 장치 인터페이스(715a)에 의해 수신되고, 처리된다. 공정 툴B(710b)를 대상으로 하는 상기 라인(726) 위의 상기 제어 입력 신호들은 상기 제 2 장치 인터페이스(715b)에 의해 수신되고, 그리고 처리된다. 반도체 제조 공정에서 사용되는 공정 툴들(710a, 710b)의 예는 스텝퍼(stepper), 식각 공정 툴들, 증착 툴들, 등등이다.
또한 상기 공정 툴들(710a, 710b)에 의해서 공정되는 하나 이상의 반도체 웨이퍼들이 계측 데이터를 획득하기 위해 계측 툴(750)에 전송된다. 상기 계측 툴(750)은 표준입자크기측정(scatterometry) 데이터 획득 툴, 중첩-에러 측정 툴, 임계 크기 측정 툴, 등등일 수 있다. 일 실시예에서, 계측 툴(750)은 하나 이상의 공정된 반도체 웨이퍼들(105)을 검사한다. 계측 데이터 분석 유닛(760)은 상기 계측 툴(750)으로부터 데이터를 수집하고, 조직화하고, 그리고 분석한다. 상기 계측 데이터는 상기 반도체 웨이퍼(105)들 전반에 걸쳐 형성된 디바이스들의 다양한 물리적 또는 전기적 특성들에 관한 것이다. 예를 들면, 계측 데이터는 라인 폭 측정, 트렌치(trench) 깊이, 측벽 각도, 두께, 저항, 증착된 필름의 광학 특성들, 필름 두께, 중첩 정확성, 등등에 관한 것으로서, 상기 계측 데이터는 획득될 수 있다. 계측 데이터는 공정된 반도체 웨이퍼들 전반에 걸쳐 제시될 수 있는 결함을 결정하는데 사용될 수 있으며, 이는 상기 공정 툴들(710)의 성능을 정하는데 사용될 수 있다.
상술된 바와 같이, 1차 제어기(350)는 상기 계측 데이터 분석 유닛(760), 다양한 제조 데이터 저장할 수 있는 데이터베이스 유닛(340), 및/또는 FDC 유닛(330)으로부터 계측 데이터를 수신할 수 있다. 상기 결함 데이터 및/또는 제조 데이터는 공정과 관련된 레시피를 수정하는 것과 같은 정확하지 않은 지점 조정을 수행하기 위해 상기 1차 제어기(350)에 의해 사용될 수 있다. 상기 1차 제어기(350)에 의한 데이터는 예를 들면 도 6에 도시된 외부 루프에 의해 도시된 바와 같이 공정 제어를 구현하기 위해 상기 컴퓨터 시스템(730)에 전송될 수 있다. 상기 2차 제어기(360)는 계측 데이터 분선 유닛(760), 데이터 베이스 유닛(340), 그리고/또는 툴-상태 데이터 획득 유닛(320)으로부터 데이터를 수신할 수 있고, 그리고 다수의 2차 제어 파라미터 위에서 2차 제어 조정을 수행할 수 있다. 2차 제어기(360)에 의한 데이터는 상기 컴퓨터 시스템(730)에 전송될 수 있고, 이는 도 6에 나타난 내부 로프와 같이 내포된 방식으로 2차 제어 파라미터 조정을 구현할 수 있다.
도 8에서는 본 발명의 실시예에 따른 방법의 흐름도가 제공된다. 상기 시스템(300)은 반도체 웨이퍼(105)를 공정(블록 810)할 수 있다. 상기 반도체 웨이퍼(105)들의 공정 시에, 상기 시스템(300)은 웨이퍼 상태 데이터를 획득(블록 820)할 수 있는데, 이는 상기 시스템(300)에 의해 획득된 계측 데이터의 분석으로부터 초래된 것이다. 또한 상기 시스템(300)은 반도체 웨이퍼(105)들의 공정과 관련된 툴-상태 데이터를 획득(블록 830)할 수 있다. 더욱이, 상기 시스템(300)은 상기 시스템(300)에 의해 수행된 공정과 관련된 공정 상태 데이터를 또한 획득할 수 있다.
상기 웨이퍼 상태 데이터, 툴-상태 데이터, 그리고/또는 공정 상태 데이터는 내포된 다(多)변수 공정 제어 기능을 수행(블록 850)하기 위해 상기 시스템(300)에 의해서 사용될 수 있다. 상기 내포된 다(多)변수 공정 제어 기능은 반도체 웨이퍼(105)의 공정 동작을 제어하는 1차 제어 파리미터들을 수정하는 정밀하지 않은 지점 조정을 수행하는 것을 포함한다. 이는 2차 제어를 수행하기 위해 정밀하지 않은 지점 2차 제어 기능 내에 내포된 내포 제어 기능을 구현함으로써 보완될 수 있다. 상기 2차 제어는 상기 반도체 웨이퍼(105) 상에서 수행된 공정에 대한 정밀한 지점 제어 조정을 수행하기 위해서, 하나 이상의 2차 제어 파라미터들을 수정할 수 있다. 상기 내포된 다(多)변수 공정 제어 기능은 내포된 내부 2차 공정 제어 루프와는 다른 비율로 동작할 수 있는 외부 공정 제어 루프를 제공한다. 따라서, 다(多)변수 내포된 제어 기능은 상기 반도체 웨이퍼(105) 상에 수행된 공정에 대한 정밀하지 않은 지점 및 정밀한 지점 조정을 제공한다. 상기 내포된 다(多)변수 공정 제어 기능을 구현할 시에, 상기 시스템(300)은 정밀하지 않은, 그리고 정밀한 튜닝 조정에 기초하여 후속 공정을 수행(블록 860)한다.
본 발명의 실시예들을 이용함으로써, 더욱 효율이고, 정확하게 공정된 반도체 웨이퍼들이 생성될 수 있도록 반도체 웨이퍼(105)들 상에 수행되는 공정에 대한 정밀하지 않은 지점, 그리고 정미란 지점 조정이 구현된다. 본 발명의 실시예들을 이용함으로써, 다(多)변수 피드백 환경이 구현될 수 있다. 본 발명에 의해 제시된 2차 제어 환경은 2차 제어 환경과 독립적인 방식으로 구현될 수 있으며, 이는 2차 제어 환경의 이동을 가능하게 한다. 따라서, 2차 제어 환경은 1차 제어 환경이 동작되는 동안에 켜지거나, 혹은 꺼질 수 있다. 본 발명의 실시예들에 의해서 제시된 것들은 다양한 제조 분야에서 사용될 수 있는 것이다.
본 발명에 의해 제시된 원리들은 KLA Tencor, Inc에 의해 제공되는 Catalyst 시스템철럼 APC 프레임워크에서도 구현될 수 있다. 상기 Catalyst 시스템은 SEMI(Semiconductor Equipment and Materials International) 컴퓨터 통합 제조(CIM : Computer Integrated Manufacturing) 프래임워크 적응 시스템 기술을 사용하고, 그리고 고급 공정 제어(APC) 프래임워크를 기반으로 한다. CIM(CIM SEMIE81-0699-Provisional Specification for CIM Framework Domain Architecture) 및 APC(SEMI E93-0999-Provisional Specification for CIM Framework Advanced Process Control Component) 사양서들은 SEMI로부터 공개적으로 이용가능하다. 상기 APC 프래임워크는 본 발명에 의해 제시된 제어 전략을 구현하기 위한 플랫폼으로서 바람직한 거이다. 일부 실시예에서, 상기 APC 프래임워크는 전체-공장 소프트웨어 시스템일 수 있다; 따라서, 본 발명에 의해서 제시된 상기 제어 전략들은 상기 공장(factory floor) 위에서 반도체 제조 툴들 중 어느 것에서 사실상 적용될 수 있다. 또한 상기 APC 프래임워크는 원격 액세스 및 공정 성능의 모니터링을 가능하게 한다. 더욱이, 상기 APC 프래이워크를 이용함으로써, 데이터 스토리지는 더욱 편리하고, 유연하게 될 수 있으며, 그리고 로컬 드라이브들에 비해 비용을 줄일 수 있게 된다. 상기 APC 프래임워크는 필수적인 소프트웨어 코드를 작성함에 있어서 많은 유연성을 제공하기 때문에, 더욱 정교한 제어 타입을 가능하게 한다.
본 발명에 의해 제시된 APC 프래임워크로의 제어 전략 개발은 다수의 소프트웨어 컴포넌트들을 필요로 한다. 상기 APC 프래임워크 내의 컴포넌트에 더하여, 컴퓨터 스크립트가 상기 제어 시스템 내에 포함된 반도체 제조 툴들 각각을 위하여 작성된다. 상기 제어 시스템 내에 있는 반도체 제조 툴이 반도체 제조 팹(fab) 내에서 개시될 때, 그것은 중첩 제어기와 같은 공정 제어기에 의해 요구되는 행위를 개시하기 위해서 스크립트를 일반적으로 요청할 것이다. 상기 제어 방법들은 이러한 스크립트들 내에 일반적으로 정의되고, 수행될 수 있다. 이러한 스크립트의 개발은 제어 시스템의 개발에서 중요한 부분을 차지한다. 본 발명에 이해 제시된 원리들은 제조 프래임워크의 다른 타입들로도 구현될 수 있다.
상술 된 특정한 실시예들은 오로지 예시적인 것이며, 상술 된 바에 따라 본 발명이 상이하나 결국 본 발명과 균등한 방식으로 수정 및 실시할 수 있다는 것은 당업자에게 명백하다. 또한, 청구범위에 의해 본 발명의 구성 또는 디자인이 제한되는 것은 아니다. 결국, 상술된 특정한 실시예들은 변경되거나 수정될 수 있으며, 이러한 모든 변형은 본 발명의 사상 및 범위 내에 있는 것이다. 청구범위는 보호받고자 하는 사항에 대해 기재하였다.

Claims (10)

  1. 제 1 시간 주기 동안 1차 공정 제어 기능을 사용하여 워크피스를 공정하는 단계와; 그리고
    상기 제 1 시간 주기의 적어도 일부분 동안 2차 공정 제어 기능을 수행하는 단계를 포함하며, 여기서 상기 2차 공정 제어 기능은 적어도 하나의 2차 제어 파라미터를 수정할 수 있는 것을 특징으로 하는 다(多)변수(multi-variate) 공정 제어를 구현하기 위한 방법.
  2. 제 1항에 있어서,
    상기 워크피스를 공정하는 단계는 반도체 웨이퍼(105)를 공정하는 단계를 더 포함하는 것을 특징으로 하는 다변수 공정 제어를 구현하기 위한 방법.
  3. 제 1항에 있어서,
    상기 1차 공정 제어 기능을 사용하여 상기 워크피스를 공정하는 단계는 1차 제어기(350)를 사용하는 1차 공정 제어 기능을 사용하여 상기 워크피스를 공정하는 단계를 더 포함하는 것을 특징으로 하는 다변수 공정 제어를 구현하기 위한 방법.
  4. 제 3항에 있어서,
    상기 2차 공정 제어 기능을 수행하는 단계는 2차 제어기(360)를 사용하여 상기 2차 공정 제어 기능을 수행하는 단계를 더 포함하는 것을 특징으로 하는 다변수 공정 제어를 구현하기 위한 방법.
  5. 제 4항에 있어서,
    상기 1차 및 2차 공정 제어 기능을 수행하는 단계는 1차 제어 루프 내에 내포된 2차 제어 루프를 사용하여 상기 1차 및 2차 공정 제어 기능들을 수행하는 단계를 더 포함하는 것을 특징으로 하는 다변수 공정 제어를 구현하기 위한 방법.
  6. 제 7항에 있어서,
    상기 웨이퍼 상태 데이터를 획득하는 단계는 계측 데이터를 획득하는 단계를 더 포함하는 것을 특징으로 하는 다변수 공정 제어를 구현하기 위한 방법.
  7. 제 7항에 있어서,
    상기 툴-상태 데이터를 획득하는 단계는 상기 워크피스 상에서 수행되는 공정 단계와 관련된 압력 데이터, 온도 데이터, 습도 데이터, 가스 유동률 데이터 중 적어도 하나와 관련된 툴-상태 데이터를 획득하는 단계를 더 포함하는 것을 특징으로 하는 다변수 공정 제어를 구현하기 위한 방법.
  8. 다(多)변수 공정 제어 시스템을 구현하기 위한 시스템에 있어서, 상기 시스템은:
    워크피스를 공정하기 위한 공정 툴(710)과;
    상기 공정 툴(710)에 연결된 1차 제어기(350)와, 여기서 상기 1차 제어기(350)는 제 1 시간 주기 동안 1차 공정 제어 기능을 사용하여 상기 워크피스를 공정하며; 그리고,
    상기 공정 툴(710) 및 상기 1차 제어기(350)에 연결되는 2차 제어기(360)를 포함하며, 여기서 상기 2차 제어기(360)는 상기 제 1 시간 주기의 적어도 일부분 동안 상기 워크피스를 공정하기 위해 2차 공정 제어 기능을 수행하기 위한 것이며, 여기서 상기 2차 공정 제어 기능은 적어도 하나의 2차 제어 파라미터를 수정할 수 있는 것을 특징으로 하는 다변수 공정 제어 시스템을 구현하기 위한 시스템.
  9. 제 8항에 있어서,
    상기 2차 공정 제어기는 상기 1차 제어기(350)에 의해 형성된 1차 루프 내에 내포된 2차 공정 루프를 형성하는 것을 특징으로 하는 다변수 공정 제어 시스템을 구현하기 위한 시스템.
  10. 컴퓨터(730)에 의해 실행될 명령들이 인코딩된 컴퓨터로 판독가능한 프로그램 스토리지 디바이스로서:
    제 1 시간 주기 동안 1차 공정 제어 기능을 사용하여 워크피스 공정하는 단계와; 그리고,
    상기 제 1 시간 주기의 적어도 일부분 동안 2차 공정 제어 기능을 수행하는 단계를 포함하는 방법을 수행하고, 여기서 상기 2차 공정 제어 기능은 적어도 하나의 2차 제어 파라미터를 수정할 수 있는 것을 특징으로 하는 컴퓨터로 판독가능한 프로그램 스토리지 디바이스.
KR1020057009250A 2002-11-21 2003-11-06 1차 공정 제어기를 보완하기 위한 2차 공정 제어기 KR101000545B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/301,051 US7254453B2 (en) 2002-11-21 2002-11-21 Secondary process controller for supplementing a primary process controller
US10/301,051 2002-11-21

Publications (2)

Publication Number Publication Date
KR20050070143A true KR20050070143A (ko) 2005-07-05
KR101000545B1 KR101000545B1 (ko) 2010-12-14

Family

ID=32324459

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057009250A KR101000545B1 (ko) 2002-11-21 2003-11-06 1차 공정 제어기를 보완하기 위한 2차 공정 제어기

Country Status (8)

Country Link
US (1) US7254453B2 (ko)
EP (1) EP1567920B1 (ko)
JP (1) JP2006507685A (ko)
KR (1) KR101000545B1 (ko)
CN (1) CN1714322A (ko)
AU (1) AU2003291312A1 (ko)
TW (1) TWI339343B (ko)
WO (1) WO2004049082A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7505879B2 (en) * 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
JP4880889B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
JP4880888B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
JP4331092B2 (ja) * 2003-10-17 2009-09-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ処理セル、リソグラフィ装置、トラック、及びデバイス製造法
US6960774B2 (en) * 2003-11-03 2005-11-01 Advanced Micro Devices, Inc. Fault detection and control methodologies for ion implantation processes, and system for performing same
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7043325B1 (en) * 2005-03-01 2006-05-09 Advanced Micro Devices, Inc. Method and apparatus for determining product-specific error and tool drift
US7397047B2 (en) * 2005-05-06 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Technique for tuning an ion implanter system
DE102005035735B4 (de) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Abschätzen eines Zustands einer nicht initialisierten fortschrittlichen Prozesssteuerung durch Anwendung unterteilter Steuerungsdaten
US7454312B2 (en) 2006-03-15 2008-11-18 Applied Materials, Inc. Tool health information monitoring and tool performance analysis in semiconductor processing
KR102166317B1 (ko) * 2015-12-24 2020-10-16 에이에스엠엘 네델란즈 비.브이. 패터닝 공정의 제어 방법, 디바이스 제조 방법, 리소그래피 장치용 제어 시스템 및 리소그래피 장치
CN105652837B (zh) * 2016-01-28 2018-06-05 京东方科技集团股份有限公司 一种产品的生产系统及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4000348A1 (de) * 1989-03-06 1990-09-13 Hewlett Packard Co Vorrichtung und verfahren zum ueberwachen der bewegungen eines vielgelenkigen roboters
US5479340A (en) * 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5461559A (en) * 1993-10-04 1995-10-24 The United States Of America As Represented By The Secretary Of The Air Force Hierarchical control system for molecular beam epitaxy
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
US5751586A (en) 1995-12-01 1998-05-12 Grabovac; Bosko CNC machine tool
JP3268628B2 (ja) * 1996-09-03 2002-03-25 東京エレクトロン株式会社 自動制御方法及びその装置
US5886896A (en) 1996-11-19 1999-03-23 Advanced Micro Devices, Inc. Method and apparatus for integrated control of a sensor in a manufacturing processing station
EP1018088A4 (en) * 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
JP4789323B2 (ja) * 1998-09-14 2011-10-12 アプライド マテリアルズ インコーポレイテッド プログラム可能な処理パラメータを備えたウエハ処理リアクタシステム及びその操作方法
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6631299B1 (en) * 1998-12-22 2003-10-07 Texas Instruments Incorporated System and method for self-tuning feedback control of a system
US6456894B1 (en) * 1999-06-01 2002-09-24 Applied Materials, Inc. Semiconductor processing techniques
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6424880B1 (en) * 1999-09-10 2002-07-23 Applied Materials, Inc. Multi-computer chamber control system, method and medium
AU2001249724A1 (en) 2000-04-03 2001-10-15 Speed-Fam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
JP3657873B2 (ja) * 2000-10-30 2005-06-08 株式会社日立製作所 プラズマ利用機器の制御装置
US6756243B2 (en) * 2001-10-30 2004-06-29 Advanced Micro Devices, Inc. Method and apparatus for cascade control using integrated metrology

Also Published As

Publication number Publication date
KR101000545B1 (ko) 2010-12-14
TWI339343B (en) 2011-03-21
CN1714322A (zh) 2005-12-28
TW200411450A (en) 2004-07-01
US7254453B2 (en) 2007-08-07
EP1567920A1 (en) 2005-08-31
US20040102857A1 (en) 2004-05-27
WO2004049082A1 (en) 2004-06-10
EP1567920B1 (en) 2012-05-30
AU2003291312A1 (en) 2004-06-18
JP2006507685A (ja) 2006-03-02

Similar Documents

Publication Publication Date Title
US6773931B2 (en) Dynamic targeting for a process control system
US6708075B2 (en) Method and apparatus for utilizing integrated metrology data as feed-forward data
KR100708009B1 (ko) 통계적 공정 제어를 이용하여 제어기의 성능을 모니터하는 방법 및 장치
US6751518B1 (en) Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6304999B1 (en) Method and apparatus for embedded process control framework in tool systems
US8017411B2 (en) Dynamic adaptive sampling rate for model prediction
US6756243B2 (en) Method and apparatus for cascade control using integrated metrology
KR101000545B1 (ko) 1차 공정 제어기를 보완하기 위한 2차 공정 제어기
US6560506B2 (en) Method and apparatus for control for semiconductor processing for reducing effects of environmental effects
US20050021272A1 (en) Method and apparatus for performing metrology dispatching based upon fault detection
US6728591B1 (en) Method and apparatus for run-to-run control of trench profiles
US6597447B1 (en) Method and apparatus for periodic correction of metrology data
US6645780B1 (en) Method and apparatus for combining integrated and offline metrology for process control
US6947803B1 (en) Dispatch and/or disposition of material based upon an expected parameter result
US6698009B1 (en) Method and apparatus for modeling of batch dynamics based upon integrated metrology
US6834213B1 (en) Process control based upon a metrology delay
US6905895B1 (en) Predicting process excursions based upon tool state variables
US6571371B1 (en) Method and apparatus for using latency time as a run-to-run control parameter
US6834211B1 (en) Adjusting a trace data rate based upon a tool state
US6788988B1 (en) Method and apparatus using integrated metrology data for pre-process and post-process control
US6871114B1 (en) Updating process controller based upon fault detection analysis
US6823231B1 (en) Tuning of a process control based upon layer dependencies
US6978189B1 (en) Matching data related to multiple metrology tools
US7117062B1 (en) Determining transmission of error effects for improving parametric performance

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141126

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20181115

Year of fee payment: 9