KR20040077307A - Method for forming of damascene metal wire - Google Patents

Method for forming of damascene metal wire Download PDF

Info

Publication number
KR20040077307A
KR20040077307A KR1020030012817A KR20030012817A KR20040077307A KR 20040077307 A KR20040077307 A KR 20040077307A KR 1020030012817 A KR1020030012817 A KR 1020030012817A KR 20030012817 A KR20030012817 A KR 20030012817A KR 20040077307 A KR20040077307 A KR 20040077307A
Authority
KR
South Korea
Prior art keywords
film
opening
insulating film
interlayer insulating
liner
Prior art date
Application number
KR1020030012817A
Other languages
Korean (ko)
Inventor
손정훈
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030012817A priority Critical patent/KR20040077307A/en
Publication of KR20040077307A publication Critical patent/KR20040077307A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Abstract

PURPOSE: A method for forming a damascene metal line is provided to improve step coverage of an inner wall of an aperture by forming a sidewall spacer on an undercut of an inner wall of an aperture. CONSTITUTION: The first diffusion barrier(14) is formed on a lower metal line(12). An interlayer dielectric(16) is formed on the first diffusion barrier. An aperture is formed on the interlayer dielectric. The residual buffer layer is removed from the aperture by performing a wet-etch process. A sidewall spacer is formed on an undercut of an inner wall of the aperture. A barrier layer liner is coated on the lower metal line of the bottom of the aperture and the sidewall spacer of the inner wall of the aperture. A copper seed liner(40) is coated on the barrier layer liner.

Description

다마신 금속 배선 형성방법{METHOD FOR FORMING OF DAMASCENE METAL WIRE}Method for forming damascene metal wiring {METHOD FOR FORMING OF DAMASCENE METAL WIRE}

본 발명은 반도체 집적회로소자의 금속배선에 관한 것으로, 특히다마신(dual damascene) 공정에 의한 반도체 소자의 금속배선 형성방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to metallization of semiconductor integrated circuit devices, and more particularly, to a method of forming metallization of semiconductor devices by a dual damascene process.

반도체 소자의 집적도가 증가함에 따라 다층 배선 구조를 가지는 금속 배선층도 증가하게 되므로 금속 배선 사이의 간격이 점차 좁아지게 되었다. 이에 따라, 동일층상에서 서로 인접한 금속배선층 사이 또는 상하로 인접한 각 배선층 사이에 존재하는 기생 저항 및 커패시턴스 성분들이 가장 중요한 문제로 되었다.As the degree of integration of semiconductor devices increases, the metal wiring layer having a multilayer wiring structure also increases, so that the gap between the metal wirings is gradually narrowed. Accordingly, parasitic resistance and capacitance components present between the metal wiring layers adjacent to each other on the same layer or between the wiring layers adjacent to each other up and down have become the most important problems.

금속 배선 시스템에서 기생 저항 및 커패시턴스 성분들은 RC에 의해 유도되는 지연(delay)에 의하여 소자의 동작특성을 열하시킨다. 또한, 배선층간에 존재하는 기생 저항 및 커패시턴스 성분들은 칩의 총 전력 소모량을 증가시키고 신호 누설량을 증가시킨다. 따라서, 초고집적 반도체 집적회로 소자에 있어서 RC가 작은 다층 배선 기술을 개발하는 것이 매우 중요한 문제이다.Parasitic resistance and capacitance components in metal wiring systems degrade the device's operating characteristics due to the delay induced by RC. In addition, parasitic resistance and capacitance components present between the wiring layers increase the total power consumption of the chip and increase the signal leakage. Therefore, it is very important to develop a multi-layered wiring technology with a small RC in an ultra-high density semiconductor integrated circuit device.

RC가 작은 고성능의 다층 배선 구조를 형성하기 위하여는 비저항이 낮은 금속을 사용하여 배선층을 형성하거나 유전율이 낮은 절연막을 사용할 필요가 있다. 금속 배선층에서의 저항을 낮추기 위하여, 금속 배선층을 형성하는 금속 재료로서 비저항이 낮은 금속, 예를 들면 구리를 사용하는 연구가 현재 활발하게 진행되고 있다.In order to form a high performance multilayer wiring structure with small RC, it is necessary to form a wiring layer using a metal having a low resistivity or to use an insulating film having a low dielectric constant. In order to reduce the resistance in a metal wiring layer, the research which uses the metal with low specific resistance, for example, copper as a metal material which forms a metal wiring layer, is currently active actively.

구리 배선은 사진 식각 기술에 의하여 직접 패터닝하여 얻기는 어렵다. 따라서, 구리 배선을 형성하기 위하여 다마신 공정을 주로 이용하고 있다.Copper wiring is difficult to obtain by direct patterning by photolithography. Therefore, the damascene process is mainly used to form copper wiring.

다마신 공정은 층간절연막을 먼저 형성하고 사진식각기술에 의해 층간절연막에 비아 및 홈을 형성한다. 이어서, 층간절연막 상에 금속을 증착하고 비아 및 홈에 매립된 금속만 남기고 나머지 금속은 화학 기계적 연마법으로 제거하여 금속배선을 형성한다. 따라서, 금속 식각공정이 회피된다.The damascene process first forms an interlayer insulating film and then forms vias and grooves in the interlayer insulating film by a photolithography technique. Subsequently, metal is deposited on the interlayer insulating film, and only the metal embedded in the via and the groove is left, and the remaining metal is removed by chemical mechanical polishing to form metal wiring. Therefore, the metal etching process is avoided.

다마신 공정에 의한 Cu 배선시는 싱글 다마신 공정의 고코스트와 성능저하를 극복하기 위한 듀얼 다마신 공정이 요구된다. 듀얼 다마신 공정에서는 트렌치를 먼저 형성하는 방식보다는 비아를 먼저 형성하는 방식이 사진식각기술상 유리하다.Cu wiring by the damascene process requires a dual damascene process to overcome the high cost and performance degradation of the single damascene process. In the dual damascene process, a via first is formed rather than a trench first, which is advantageous in the photolithography technique.

비아 퍼스트 방식에서 저유전율막을 층내절연막으로 사용한 경우에는 트렌치 식각시 비아 바닥에 노출된 확산방지막에 대한 식각선택비를 고려하지 않으면 안된다.When the low dielectric constant film is used as the interlayer insulating film in the via first method, the etching selectivity of the diffusion barrier exposed on the bottom of the via must be taken into consideration when trench etching.

이러한 문제로 BARC(BOTTOM ANTI REFLECT COAT)와 같은 유기막을 비아 매립물질로 사용하여 트렌치를 식각하는 방법이 사용되고 있다.For this reason, a method of etching a trench using an organic film such as BARC (BOTTOM ANTI REFLECT COAT) as a via filling material is used.

그러나, 층간절연막과 유기막의 식각선택비 문제로 폴리머와 같은 고유전물질이 비아 내측벽에 비아 팬스(Via fence)로 형성되는 것에 의하여 기생커패시턴스를 증가시키는 나쁜 영향을 끼친다.However, due to the etching selectivity of the interlayer insulating film and the organic film, a high dielectric material such as a polymer is formed as a via fence on the inner wall of the via, which adversely increases parasitic capacitance.

따라서 층간절연막과 선택비가 비슷한 SOG(SPIN ON GLASS)계 무기막을 사용하여 비아 팬스 문제에 대응하고 있다.Therefore, the SOF (SPIN ON GLASS) -based inorganic film having similar selectivity to the interlayer insulating film is used to cope with the via fan problem.

그러나, 무기막을 사용할 때 트렌치 형성 후 매립 재료의 제거 방법에 있어서 일반적으로 불산(HF)과 같은 습식 케미컬을 이용한 화학적 스트립(Chemical Strip)방법을 사용하고 있기 때문에 이종막질의 계면에서 불산에 의한 언더컷이 발생하는 문제가 있다.However, when inorganic films are used, the method of removing the buried material after trench formation generally uses a chemical strip method using a wet chemical such as hydrofluoric acid (HF). There is a problem that occurs.

이와 같은 트렌치 및 비아 내측벽의 언더컷은 후속 장벽층 및 구리 시드 라이너 형성공정시 코팅불량 또는 보이드를 생성시키는 요인으로 작용하게 된다.Such undercuts in the trench and via inner walls serve as a factor in generating coating defects or voids in subsequent barrier layer and copper seed liner formation processes.

본 발명의 목적은 이와 같은 종래 기술의 문제점을 해결하기 위하여 습식 스트립 공정 후에 개구 내측벽에 측벽 스페이서를 형성하여 언더컷부분을 코팅함으로써 언더컷으로 인한 디라미네이션 문제를 해결할 수 있는 다마신 금속배선 형성방법을 제공하는 데 있다.An object of the present invention is to form a sidewall spacer on the inner wall of the opening after the wet strip process to solve the problems of the prior art by coating the undercut portion to form a damascene metal wiring method that can solve the delamination problem due to the undercut. To provide.

도 1 내지 도 11은 본 발명에 의한 다마신 금속배선방법의 바람직한 일실시예를 나타낸 도면.1 to 11 is a view showing a preferred embodiment of the damascene metal wiring method according to the present invention.

*도면의 주요 부분에 대한 부호의 간단한 설명** Brief description of symbols for the main parts of the drawings *

10 : 절연막 12 : 하부 구리계 금속배선10: insulating film 12: lower copper-based metal wiring

14 : 제1확산방지막 16 : 층간절연막14 first diffusion barrier 16: interlayer insulating film

18 : 식각저지막 20 : 층내절연막18: etch stop film 20: interlayer insulating film

22 : 비아 오픈 패턴 24 : 개구부22: via open pattern 24: opening

26 : 무기계 충전물 28 : 트렌치 오픈 패턴26: inorganic filler 28: trench open pattern

30 : 트렌치 32 : 남겨진 무기계 충전물30: trench 32: left inorganic filler

34 : 제2확산방지막 36 : 비아34: second diffusion barrier 36: via

38 : 웨팅 라이너 40 : 구리 시드 라이너38: wetting liner 40: copper seed liner

42 : 상부 구리계 금속배선42: upper copper metal wiring

본 발명의 방법은 하부 금속 배선을 제1확산 방지막으로 덮고 그 위에 층간절연막을 형성하고 층간절연막에 개구를 형성한 구리 다마신 금속배선 형성방법에 있어서, 개구 내의 남겨진 버퍼막을 습식 스트립으로 제거하고, 개구 내측벽의 언더컷을 측벽 스페이서로 코팅하고, 개구 바닥에 노출된 하부 금속배선 및 개구 측벽의 스페이서 상에 장벽층 라이너를 코팅하고, 장벽층 라이너 상에 구리 시드 라이너를 코팅한다.In the method of the present invention, in the method for forming a copper damascene metal wiring in which a lower metal wiring is covered with a first diffusion preventing film, an interlayer insulating film is formed thereon, and an opening is formed in the interlayer insulating film, the buffer film remaining in the opening is removed by a wet strip, An undercut of the inner wall of the opening is coated with sidewall spacers, a barrier layer liner is coated on the bottom metallization exposed to the bottom of the opening and a spacer of the opening sidewall, and a copper seed liner is coated on the barrier layer liner.

본 발명에서 층간절연막은 듀얼 다마신 공정을 위하여 층간절연막, 식각저지막, 층내절연막으로 구성한다.In the present invention, the interlayer insulating film includes an interlayer insulating film, an etch stop film, and an interlayer insulating film for the dual damascene process.

본 발명에서 확산 방지막 또는 식각저지막 또는 식각 스톱막은 H, C 또는 N을 함유하는 비산화물계 절연막으로 SiN 또는 BN와 같은 질화막 또는 SiC와 같은 탄화막을 사용한다.In the present invention, the diffusion barrier film, the etch stop film, or the etch stop film is a non-oxide-based insulating film containing H, C, or N, and a nitride film such as SiN or BN or a carbon film such as SiC.

본 발명에서 층내절연막(INTRA IMD ; INTER METAL DIELECTRIC)은 도프드 산화물계 저유전율막으로 H, C 또는 CHx를 함유한다. 여기서, 층내 절연막은 동일 층에서 인접 배선들 사이를 절연하는 절연막을 의미한다. 즉, 층내절연막에 금속배선이 형성된다.In the present invention, an interlayer dielectric film (INTRA IMD; INTER METAL DIELECTRIC) is a doped oxide-based low dielectric constant film containing H, C, or CHx. Here, the interlayer insulating film means an insulating film which insulates adjacent wirings in the same layer. That is, metal wiring is formed in the interlayer insulating film.

본 발명에서 층간절연막(INTER IMD) 또는 ILD(INTER LAYER DIELECTRIC)은 SiON과 같은 저유전율막을 사용한다. 여기서 층간절연막은 상하 금속배선층 사이를 절연하는 절연막을 의미한다. 층간절연막에 비아가 형성된다.In the present invention, an interlayer insulating film (INTER IMD) or ILD (INTER LAYER DIELECTRIC) uses a low dielectric constant film such as SiON. Here, the interlayer insulating film means an insulating film for insulating between upper and lower metal wiring layers. Vias are formed in the interlayer insulating film.

본 발명에서 버퍼막은 무기계 충전물로 HSQ(HYDROGEN SILSESQUIOXANES) 또는 MSQ(METHYL SILSESQUIOXANES)와 같은 SOD(SPIN ON DIELECTRIC)막, Fox(Flowable oxide)막 또는 SOG(Spin-On Glass)막을 사용한다.In the present invention, the buffer layer uses an SOD (SPIN ON DIELECTRIC) film such as HSQ (HYDROGEN SILSESQUIOXANES) or MSQ (METHYL SILSESQUIOXANES), Fox (Flowable oxide) film or SOG (Spin-On Glass) film as the inorganic filler.

측벽 스페이서는 확산방지막 또는 식각저지막과 동일 또는 유사한 물질을 사용한다. 질화규소막이 바람직하다.The sidewall spacer uses the same or similar material as the diffusion barrier or the etch stop layer. Silicon nitride films are preferred.

본 발명에서 개구는 비아 또는 트렌치와 비아를 포함한다.In the present invention, the opening includes vias or trenches and vias.

이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 실시예를 상세하게 설명하기로 한다. 그러나, 이하의 실시예는 이 기술분야에서 통상적인 지식을 가진 자에게 본 발명이 충분히 이해되도록 제공되는 것으로서, 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 다음에 기술되는 실시예에 한정되는 것은 아니다. 이하의 설명에서 어떤 층이 다른 층의 위에 존재한다고 기술될 때, 이는 다른 층의 바로 위에 존재할 수도 있고, 그 사이에 제3의 층이 게재될 수도 있다. 또한 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장되었다. 도면상에서 동일 부호는 동일한 요소를 지칭한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the following embodiments are provided to those skilled in the art to fully understand the present invention, and may be modified in various forms, and the scope of the present invention is described in the following embodiments. It is not limited. In the following description, when a layer is described as being on top of another layer, it may be present directly on top of another layer, with a third layer interposed therebetween. In the drawings, the thickness or size of each layer is exaggerated for clarity and convenience of explanation. Like numbers refer to like elements in the figures.

< 실시예 ><Example>

본 발명의 실시예는 듀얼 다마신 공정을 사용한다.Embodiments of the invention use a dual damascene process.

도 1을 참조하면, 반도체 기판(미도시) 상의 절연막(10)에 하부 구리계 배선층(12)이 형성되고, 하부 구리계 배선층(12)이 형성된 절연막(10) 상에 확산 방지막(14) 또는 식각저지막이 증착된다. 확산방지막(14)은 비산화물계 절연막으로 SiN, BN 또는 SiC 막으로 형성된다.Referring to FIG. 1, a lower copper based wiring layer 12 is formed on an insulating film 10 on a semiconductor substrate (not shown), and a diffusion barrier 14 or an insulating film 10 is formed on an insulating film 10 on which a lower copper based wiring layer 12 is formed. An etch stop film is deposited. The diffusion barrier 14 is a non-oxide insulating film formed of a SiN, BN or SiC film.

이어서, 층간절연막(16), 식각저지막(18), 층내절연막(20)을 순차적으로 증착한다. 층간절연막(16)은 SiON과 같은 무기계 저유전율막을 사용한다. 식각저지막(18)은 질화막으로 트렌치 식각시 하부 층간절연막(16)의 식각을 저지한다. 층내절연막(20)은 도프드 산화물계 저유전율막으로 H, C 또는 CHx를 함유한다.Subsequently, the interlayer insulating film 16, the etch stop film 18, and the interlayer insulating film 20 are sequentially deposited. The interlayer insulating film 16 uses an inorganic low dielectric constant film such as SiON. The etch stop layer 18 is a nitride layer that prevents etching of the lower interlayer insulating layer 16 during trench etching. The interlayer insulating film 20 is a doped oxide low dielectric constant film containing H, C, or CHx.

도 2를 참조하면, 층내 절연막(20) 상에 통상의 사진공정으로 포토레지스트로 구성된 비아 오픈 패턴(22)을 형성한다.Referring to FIG. 2, a via open pattern 22 made of photoresist is formed on a layered insulating film 20 by a conventional photolithography process.

도 3을 참조하면, 비아 오픈 패턴(22)을 식각 마스크로 사용하여 층내절연막(20), 식각저지막(18), 층간절연막(16)을 순차적으로 선택 식각하여 개구부(24)를 형성한다. 개구부(24) 바닥에는 확산방지막(14)이 노출된다. 이어서, 비아 오픈 패턴(22)을 아싱(ASHING) 공정으로 제거한다.Referring to FIG. 3, an opening 24 is formed by sequentially etching the interlayer insulating film 20, the etch stop film 18, and the interlayer insulating film 16 using the via open pattern 22 as an etching mask. The diffusion barrier 14 is exposed at the bottom of the opening 24. Subsequently, the via open pattern 22 is removed by an ashing process.

도 4를 참조하면, 결과물 상에 HSQ(HYDROGEN SILSESQUIOXANES) 또는 MSQ(METHYL SILSESQUIOXANES)와 같은 SOD(SPIN ON DIELECTRIC)막, Fox(Flowable oxide)막 또는 SOG(Spin-On Glass)막 등의 무기막인 버퍼막(26)을 증착하여 개구부(24)를 매립한다. 증착된 버퍼막(26)의 표면은 화학 기계적 연마법에 의해그 표면이 평탄하게 가공되고, 층내절연막(20) 상에 일정 두께로 남겨진다.Referring to FIG. 4, an inorganic film such as a spin on die (SOD) film, a flowable oxide (SOW) film, or a spin-on glass (SOG) film, such as a HYDROGEN SILSESQUIOXANES (HSQ) or a METHYL SILSESQUIOXANES (MSQ), may be formed on the resultant. The buffer film 26 is deposited to fill the opening 24. The surface of the deposited buffer film 26 is processed to have a flat surface by chemical mechanical polishing, and is left to a certain thickness on the interlayer insulating film 20.

도 5를 참조하면, 버퍼막(26) 상에 통상의 사진공정으로 포토레지스트로 구성된 트렌치 오픈 패턴(28)을 형성한다.Referring to FIG. 5, a trench open pattern 28 made of photoresist is formed on the buffer layer 26 by a normal photolithography process.

도 6을 참조하면, 트렌치 오픈 패턴(28)을 식각 마스크로 사용하여 노출된 층내절연막(20) 및 버퍼막(26)을 선택적으로 식각하여 트렌치(30)를 형성한다. 노출된 층내절연막(20) 및 버퍼막(26)이 식각저지막(18)이 노출될 때까지 제거된다. 트렌치(30) 바닥에는 개구부(28)에 매립되고 남겨진 버퍼막(32)과 식각저지막(18)이 노출된다.Referring to FIG. 6, the trench 30 is formed by selectively etching the exposed interlayer insulating layer 20 and the buffer layer 26 using the trench open pattern 28 as an etching mask. The exposed interlayer insulating film 20 and the buffer film 26 are removed until the etch stop film 18 is exposed. The buffer layer 32 and the etch stop layer 18 remaining in the opening 28 are exposed at the bottom of the trench 30.

이어서, 트렌치 오픈 패턴(28)을 아싱(ASHING) 공정으로 제거한다.Next, the trench open pattern 28 is removed by an ashing process.

도 7을 참조하면, 트렌치(30)의 바닥에 노출된 버퍼막(32)과 층내절연막(20) 상에 남겨진 버퍼막(32)을 층간절연막(16), 식각저지막(18) 및 층내절연막(20)에 대해 고선택비를 가진 HF으로 습식 스트립한다.Referring to FIG. 7, the buffer film 32 exposed on the bottom of the trench 30 and the buffer film 32 left on the interlayer insulating film 20 are interlayer insulating film 16, etch stop film 18, and interlayer insulating film. Wet strip with HF with high selectivity for (20).

HF으로 식각시 이종물질의 계면을 따라 언더컷(33)이 발생하게 된다. 이와 같은 언더컷(33)은 후속 장멱층 라이너의 스텝커버리지 불량을 야기시킨다.When etching with HF, the undercut 33 is generated along the interface of the dissimilar material. This undercut 33 causes poor step coverage of the subsequent barrier layer liner.

도 8을 참조하면, 결과물 전면에 질화규소막(34)을 트렌치(30) 및 남겨진 개구부(24)의 프로파일을 따라 균일한 두께로 증착한다.Referring to FIG. 8, a silicon nitride film 34 is deposited to a uniform thickness along the profile of the trench 30 and the remaining openings 24 on the entire surface of the resultant.

도 9를 참조하면, 질화규소막(34)을 이방성 식각하면, 트렌치(30) 및 개구부(24)의 측벽에만 질화규소막(34)이 남게 된다. 남겨진 질화규소막은 층간절연막 및 층내절연막으로 구리확산을 방지하는 확산방지막(34)으로 작용한다.Referring to FIG. 9, when the silicon nitride film 34 is anisotropically etched, the silicon nitride film 34 remains only on the sidewalls of the trench 30 and the opening 24. The remaining silicon nitride film acts as a diffusion barrier 34 to prevent copper diffusion into the interlayer insulating film and the interlayer insulating film.

이어서, 연속적으로 바닥에 노출된 확산방지막(14)을 제거하여 하부 구리계배선층(12)의 상부면을 노출시켜서 비아(36)를 완성한다.Subsequently, the diffusion barrier layer 14 continuously exposed to the bottom is removed to expose the top surface of the lower copper based wiring layer 12 to complete the via 36.

도 10을 참조하면, 상기 결과물의 프로파일을 따라 Ta 또는 TaN과 같은 장벽층 라이너(38), 구리 시드 라이너(40)를 순차적으로 형성한다.Referring to FIG. 10, barrier layer liners 38, such as Ta or TaN, and copper seed liners 40 are sequentially formed along the resulting profile.

바람직하게, 장벽층 라이너(38)는 CVD 기술 또는 물리기상증착(PVD) 기술을 사용하여 증착될 수 있다. 장벽층 라이너(38)의 두께는 약 30Å 내지 약 500Å일 수 있고, 바람직하게는 약 50Å 내지 약 300Å일 수 있다.Preferably, barrier layer liner 38 may be deposited using CVD techniques or physical vapor deposition (PVD) techniques. Barrier layer liner 38 may have a thickness of about 30 kPa to about 500 kPa, preferably about 50 kPa to about 300 kPa.

다음으로, 구리 시드 라이너(40)가 장벽층 라이너(38) 상에 증착된다. 구리 시드 라이너(40)는 바람직하게는 CVD 기술을 이용하여 증착되나, 무-전해(electroless) 기술 또는 다른 실질적인 증착 기술을 사용하여 증착될 수 있다. 많은 CVD 기술 및 무-전해 기술은 구리 시드 라이너(40)를 형성하는 기술 분야의 당업자에게 자명하다. 구리 시드 라이너(40)의 두께는 약 50Å 내지 약 500Å일 수 있고, 보다 바람직하게는 약 100Å 내지 약 300Å일 수 있다.Next, a copper seed liner 40 is deposited on the barrier layer liner 38. Copper seed liner 40 is preferably deposited using CVD techniques, but may be deposited using electroless techniques or other substantial deposition techniques. Many CVD techniques and electroless techniques are apparent to those skilled in the art of forming copper seed liner 40. The thickness of the copper seed liner 40 may be from about 50 kPa to about 500 kPa, more preferably from about 100 kPa to about 300 kPa.

도 11을 참조하면, 전기도금법에 의해 구리를 증착한 후에 화학 기계적 연마법에 의해 층내절연막(20)이 드러나도록 표면을 평탄화하면, 트렌치(30) 내부에 상부 구리계 금속배선층(42)과 비아 콘택이 동시에 형성된다. 상부 배선층(42)과 하부 배선층(12) 사이는 비아 콘택에 의해 이루어진다.Referring to FIG. 11, when the copper is deposited by electroplating and the surface is planarized to expose the interlayer insulating film 20 by chemical mechanical polishing, the upper copper-based metallization layer 42 and vias are formed in the trench 30. Contacts are formed at the same time. The upper wiring layer 42 and the lower wiring layer 12 are formed by via contacts.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.Although described above with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified and changed within the scope of the invention without departing from the spirit and scope of the invention described in the claims below I can understand that you can.

따라서, 상술한 바와 같이 본 발명에서는 트렌치 형성시 개구부 바닥에 노출된 확산방지막을 보호하기 위한 버퍼막의 매립이 불가피하다. 트렌치 형성 이후에 개구부 내의 잔여 버퍼막을 습식 스트립하는 과정에서 개구 내측벽에 이종물질의 계면을 따라 발생되는 언터컷을 측벽 스페이서로 코팅함으로써 개구 내측벽의 스텝커버리지를 향상시킬 수 있다. 따라서, 후속 장벽층 라이너 및 구리 시드 라이너가 불연속되는 현상이 없이 균일한 두께로 형성될 수 있다.Therefore, as described above, in the present invention, embedding of the buffer film for protecting the diffusion barrier film exposed at the bottom of the opening during trench formation is inevitable. In the process of wet stripping the residual buffer layer in the opening after trench formation, step coverage of the inner wall of the opening may be improved by coating an undercut generated along the interface of the dissimilar material on the inner wall of the opening with the sidewall spacer. Thus, subsequent barrier layer liners and copper seed liners can be formed to a uniform thickness without discontinuity.

Claims (5)

하부 금속 배선을 제1확산 방지막으로 덮고 그 위에 층간절연막을 형성하고 층간절연막에 개구를 형성한 구리 다마신 금속배선 형성방법에 있어서,In the copper damascene metal wiring formation method which covered the lower metal wiring with a 1st diffusion prevention film, formed the interlayer insulation film on it, and formed the opening in the interlayer insulation film, 상기 개구 내의 남겨진 버퍼막을 습식 스트립으로 제거하는 단계;Removing the remaining buffer film in the opening with a wet strip; 상기 개구 내측벽의 언더컷을 측벽 스페이서로 코팅하는 단계;Coating an undercut of the inner wall of the opening with sidewall spacers; 상기 개구 바닥에 노출된 하부 금속배선 및 개구 측벽의 스페이서 상에 장벽층 라이너를 코팅하는 단계; 및Coating a barrier layer liner on the spacers of the lower sidewalls and the opening sidewalls exposed to the bottom of the openings; And 상기 장벽층 라이너 상에 구리 시드 라이너를 코팅하는 단계를 구비한 것을 특징으로 하는 구리 다마신 금속배선 형성방법.Forming a copper seed liner on the barrier layer liner. 제1항에 있어서, 상기 측벽 스페이서는The method of claim 1, wherein the sidewall spacers 질화규소막을 개구의 프로파일을 따라 균일한 두께로 증착하는 단계; 및Depositing a silicon nitride film with a uniform thickness along the profile of the opening; And 상기 증착된 질화규소막을 이방성 식각하는 단계로 형성하는 것을 특징으로 하는 다마신 금속배선 형성방법.And anisotropically etching the deposited silicon nitride film. 제1항에 있어서, 상기 장벽층 라이너는 탄탈륨 또는 질화탄탈륨인 것을 특징으로 하는 다마신 금속배선 형성방법.The method of claim 1, wherein the barrier layer liner is tantalum or tantalum nitride. 제1항에 있어서, 상기 다마신 공정은 듀얼 다마신 공정인 것을 특징으로 하는 다마신 금속배선 형성방법.The method of claim 1, wherein the damascene process is a dual damascene process. 제4항에 있어서, 상기 개구는 퍼스트 비아 및 포스트 트렌치로 구성된 것을 특징으로 하는 다마신 금속배선 형성방법.The method of claim 4, wherein the opening is formed of a first via and a post trench.
KR1020030012817A 2003-02-28 2003-02-28 Method for forming of damascene metal wire KR20040077307A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030012817A KR20040077307A (en) 2003-02-28 2003-02-28 Method for forming of damascene metal wire

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030012817A KR20040077307A (en) 2003-02-28 2003-02-28 Method for forming of damascene metal wire

Publications (1)

Publication Number Publication Date
KR20040077307A true KR20040077307A (en) 2004-09-04

Family

ID=37363227

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030012817A KR20040077307A (en) 2003-02-28 2003-02-28 Method for forming of damascene metal wire

Country Status (1)

Country Link
KR (1) KR20040077307A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100571417B1 (en) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 Dual damascene wiring of semiconductor device and manufacturing method thereof
US7687381B2 (en) 2008-03-19 2010-03-30 Samsung Electronics Co., Ltd. Method of forming electrical interconnects within insulating layers that form consecutive sidewalls including forming a reaction layer on the inner sidewall
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100571417B1 (en) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 Dual damascene wiring of semiconductor device and manufacturing method thereof
US7687381B2 (en) 2008-03-19 2010-03-30 Samsung Electronics Co., Ltd. Method of forming electrical interconnects within insulating layers that form consecutive sidewalls including forming a reaction layer on the inner sidewall
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US11075112B2 (en) 2015-12-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure

Similar Documents

Publication Publication Date Title
US6309801B1 (en) Method of manufacturing an electronic device comprising two layers of organic-containing material
JP2003168738A (en) Semiconductor element and method of manufacturing it
KR20050106504A (en) Method for manufacturing a semiconductor component having a barrier-lined opening
US7772112B2 (en) Method of manufacturing a semiconductor device
US6503835B1 (en) Method of making an organic copper diffusion barrier layer
US7968456B2 (en) Method of forming an embedded barrier layer for protection from chemical mechanical polishing process
KR100827498B1 (en) Method for manufacturing metal lines by using damascene
JP2001176965A (en) Semiconductor device and method of fabrication
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric
US20050026341A1 (en) Method of forming isolation dummy fill structures
KR101128705B1 (en) Method for forming a metal line in semiconductor device
KR100703559B1 (en) The semiconductor device having dual damascene structure and the manufacturing method thereof
KR20040077307A (en) Method for forming of damascene metal wire
US7704820B2 (en) Fabricating method of metal line
KR100613283B1 (en) Method of forming interconnection line for semiconductor device
KR100483838B1 (en) Dual damascene process of metal wire
KR101069167B1 (en) Method for forming metal line of semiconductor device
KR20050069598A (en) A manufacturing method for metal layer of semiconductor device
KR100784105B1 (en) Method of manufacturing a semiconductor device
KR100772719B1 (en) A method for metal wire using dual damascene process
KR100571386B1 (en) Copper wiring of semiconductor device and manufacturing method thereof
KR100815948B1 (en) Method for fabricating semiconductor device using self-aligned dual damascene process
KR20040077042A (en) Method for forming of damascene metal wire
KR100755112B1 (en) Method for manufacturing inductor of semiconductor device
KR100735479B1 (en) Fabricating method of Metal line in semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination