KR20030093358A - Device for receiving plate-shaped objects and device for handling said objects - Google Patents

Device for receiving plate-shaped objects and device for handling said objects Download PDF

Info

Publication number
KR20030093358A
KR20030093358A KR10-2003-7014971A KR20037014971A KR20030093358A KR 20030093358 A KR20030093358 A KR 20030093358A KR 20037014971 A KR20037014971 A KR 20037014971A KR 20030093358 A KR20030093358 A KR 20030093358A
Authority
KR
South Korea
Prior art keywords
carrier
support
vacuum
wafer
recess
Prior art date
Application number
KR10-2003-7014971A
Other languages
Korean (ko)
Other versions
KR100885343B1 (en
Inventor
아르투르 펠츠만
마르틴 드레히슬러
위르겐 니이쓰
미하엘 그란디
힌 위 충
파울 만츠
오트마 그라프
Original Assignee
맷슨 써멀 프로덕츠 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맷슨 써멀 프로덕츠 게엠베하 filed Critical 맷슨 써멀 프로덕츠 게엠베하
Priority claimed from PCT/EP2002/004790 external-priority patent/WO2002095795A2/en
Publication of KR20030093358A publication Critical patent/KR20030093358A/en
Application granted granted Critical
Publication of KR100885343B1 publication Critical patent/KR100885343B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68313Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

열 처리를 위해 판형 대상물, 바람직하게는 반도체 웨이퍼를 수용하기 위한 장치로서, 반도체를 특히 간단한 방식으로 연결하는 웨이퍼의 프로세싱을 가능하게 한다. 본 발명의 장치는 캐리어가 대상물을 각각 수용하기 위한 두개 이상의 리세스를 가질 때 높은 생산율 및 낮은 손상 위험을 제공한다. 캐리어상의 리세스는 커버가 제공되는 것이 바람직하다. 바람직하게는, 지지 핀이 로딩 및 언로딩 목적을 위해 제공된다. 캐리어 및 지지 핀은 서로에 대해 수직 방향으로 이동할 수 있다. 대상물용 핸들링 장치가 공개된다.Apparatus for receiving a plate-like object, preferably a semiconductor wafer, for heat treatment, which enables processing of wafers connecting semiconductors in a particularly simple manner. The device of the present invention provides a high production rate and a low risk of damage when the carrier has two or more recesses, each for receiving an object. The recess on the carrier is preferably provided with a cover. Preferably, support pins are provided for loading and unloading purposes. The carrier and support pins can move in a direction perpendicular to each other. A handling device for an object is disclosed.

Description

판형 대상물을 수용하기 위한 장치 및 상기 대상물을 핸들링하기 위한 장치{DEVICE FOR RECEIVING PLATE-SHAPED OBJECTS AND DEVICE FOR HANDLING SAID OBJECTS}DEVICE FOR RECEIVING PLATE-SHAPED OBJECTS AND DEVICE FOR HANDLING SAID OBJECTS}

전자 부품의 산업적 제조를 위해, 디스크 형상, 소위 웨이퍼를 가지는 반도체 재료가 열처리된다. 특히 RTP 유닛(Rapid Thermal Processing)으로도 알려진 신속 가열 유닛에 의한 웨이퍼와 같은 대상물의 열처리가 연속적으로 강조된다. RTP 유닛의 주요 장점은 높은 처리량이고 이는 웨이퍼를 매우 신속하게 가열하는 가능성을 기초로 한다. 300 ℃/s의 가열율은 RTP 유닛에서 달성될 수 있다.For industrial production of electronic components, a semiconductor material having a disc shape, the so-called wafer, is heat treated. Particular emphasis is placed on the heat treatment of objects such as wafers by rapid heating units, also known as RTP units (Rapid Thermal Processing). The main advantage of the RTP unit is its high throughput, which is based on the possibility of heating the wafer very quickly. Heating rates of 300 ° C./s can be achieved in the RTP unit.

RTP 유닛은 필수적으로 투명한 프로세스 챔버를 포함하는데, 여기에서 처리되는 웨이퍼가 적절한 지지 장치에 배치될 수 있다. 더욱이, 웨이퍼에 부가하여, 예를 들면 광 흡수판, 웨이퍼를 스팬(span)하는 보상 링, 또는 웨이퍼를 회전 또는 경사시키는 장치와 같은 다양한 보조 요소가 프로세스 챔버에 배치될 수 있다. 프로세스 챔버는 적절한 가스 유입구 및 유출구가 제공될 수 있어 웨이퍼가 처리되는프로세스 챔버내에서 미리 정해진 분위기를 발생시킨다. 웨이퍼는 웨이퍼 위 또는 웨이퍼 아래 또는 양 측부에 배치될 수 있고 다수의 램프, 로드 또는 포인트형 램프 또는 이들의 조합물로 이루어지는 가열 장치로부터 발생되는 열 복사에 의해 가열된다. 전체 배열체는 외부 챔버에 의해 둘러 싸이고 외부 챔버의 내벽은 완전히 또는 적어도 부분적으로 반사된다.The RTP unit essentially comprises a transparent process chamber in which the wafer to be processed can be placed in a suitable support device. Furthermore, in addition to the wafer, various auxiliary elements may be disposed in the process chamber, such as, for example, a light absorbing plate, a compensation ring that spans the wafer, or an apparatus for rotating or tilting the wafer. The process chamber may be provided with appropriate gas inlets and outlets to generate a predetermined atmosphere within the process chamber in which the wafer is processed. The wafer may be placed on or below the wafer or on both sides and heated by heat radiation generated from a heating device consisting of a plurality of lamps, rod or point lamps or combinations thereof. The entire arrangement is surrounded by an outer chamber and the inner wall of the outer chamber is fully or at least partially reflected.

다른 RTP 유닛에서, 웨이퍼는 가열 플레이트, 서셉터에 배치되고 이러한 서셉터와의 열 접촉에 의해 가열된다.In another RTP unit, the wafer is placed in a heating plate, susceptor and heated by thermal contact with such susceptor.

예를 들면 GaN, InP, GaAs 또는 예를 들면 InGaAs와 같은 3개의 성분으로 된 혼합물 또는 InGaAsP와 같은 4개의 성분으로된 혼합물과 같은 Ⅲ-Ⅴ 또는 Ⅱ-Ⅳ 반도체와 같은 연결 또는 조합 반도체과 함께, 그러나, 일반적으로 반도체의 하나의 성분이 휘발성이고 웨이퍼의 가열시 웨이퍼로부터 증발한다는 문제점이 있다. 이 같은 웨이퍼의 엣지 영역에는 주로 증발된 성분의 감소된 농도를 가지는 가열 영역을 초래한다. 그 결과는 예를 들면 전기 전도도와 같은, 이러한 영역에서의 웨이퍼의 물리적 특성의 변경이며 이는 웨이퍼를 전기 성분의 제조를 위해 사용할 수 없게 한다.Together with a connecting or combination semiconductor, such as a III-V or II-IV semiconductor, such as, for example, a mixture of three components, such as GaN, InP, GaAs, or for example InGaAs, or a mixture of four components, such as InGaAsP, but In general, there is a problem that one component of the semiconductor is volatile and evaporates from the wafer upon heating the wafer. The edge region of such a wafer results in a heating region with mainly a reduced concentration of evaporated components. The result is a change in the physical properties of the wafer in this region, such as, for example, electrical conductivity, which renders the wafer unusable for the manufacture of electrical components.

본 출원인으로부터 시작된 두 개의 공보 US 5 872 889 A 및 US 5 837 555 A로부터, 열 처리를 위한 흑연의 폐쇄된 리셉터클(receptacles)에 조합 반도체의 웨이퍼를 배치하는 것이 알려져 있다. 고온에서의 안전성 때문에, 흑연은 이 같은 리셉터클에 대해 특히 적합하다. 웨이퍼는 웨이퍼를 수용하기 위한 리세스를 가지는 지지부에 배치된다. 리세스 위에 배치된 것은 리드형 커버이며 따라서 폐쇄된공간에는 웨이퍼가 배치된다. 웨이퍼가 들어 있는 이러한 흑연 리셉터클은 RTP 유닛의 프로세스 챔버에서 열 처리된다. 이러한 방식으로, 조합 반도체의 성분이 퍼져 빠지는 것이 억제되고 웨이퍼가 안전하게 처리될 수 있다.From two publications US 5 872 889 A and US 5 837 555 A starting from the applicant, it is known to place a wafer of a combination semiconductor in closed receptacles of graphite for heat treatment. Because of the safety at high temperatures, graphite is particularly suitable for such receptacles. The wafer is placed in a support having a recess for receiving the wafer. Placed over the recess is a lid-like cover so that the wafer is placed in a closed space. These graphite receptacles containing the wafers are heat treated in the process chamber of the RTP unit. In this way, the spreading of components of the combination semiconductor is suppressed and the wafer can be safely processed.

상술된 흑연 리셉터클은 주로 200 mm 및 300 mm의 직경을 가지는 조합 반도체의 프로세싱 웨이퍼에 사용된다. 그러나, 매우 통상적인 것은 또한 500 mm, 100 mm, 또는 150 mm의 작은 직경을 가지는 조합 반도체의 웨이퍼이다.The graphite receptacles described above are mainly used in processing wafers of combination semiconductors having diameters of 200 mm and 300 mm. However, very common are also wafers of combination semiconductors having small diameters of 500 mm, 100 mm, or 150 mm.

본 발명은 열처리를 위해 디스크형 대상물, 바람직하게는 반도체 웨이퍼를 수용하기 위한 장치에 관한 것이다. 본 발명은 또한 대상물용 핸들링 장치에 관한 것이다.The present invention relates to an apparatus for receiving a disk-like object, preferably a semiconductor wafer, for heat treatment. The invention also relates to a handling device for an object.

도 1은 신속 가열 유닛의 개략적인 단면도이며,1 is a schematic cross-sectional view of a quick heating unit,

도 2의 a)는 7개의 웨이퍼 까지 수용하는 캐리어의 평면도이며 도 2의 b)는 도 2의 a)에 표시된 섹션 라인을 따른 단면도이며,FIG. 2A is a plan view of a carrier for receiving up to seven wafers, and FIG. 2B is a cross-sectional view along the section line shown in FIG.

도 3의 a) 내지 도 3의 f)는 캐리어에 있는 리세스의 커버의 다양한 실시예를 보여주며,3 a) to 3 f) show various embodiments of the cover of the recess in the carrier,

도 4는 웨이퍼 및 커버와 리세스의 다른 조합을 보여주는 두 개의 도면이며,4 is two views showing different combinations of wafer and cover and recess,

도 5는 리세스의 다양한 실시예를 보여주며,5 shows various embodiments of recesses,

도 6은 캐리어의 로딩 및 언로딩용 기구를 보여주며,6 shows a mechanism for loading and unloading a carrier,

도 7은 본 발명의 핸들링 장치의 이송 아암을 개략적으로 도시한 평면도이며,7 is a plan view schematically showing a transfer arm of the handling apparatus of the present invention,

도 8은 도 7에 도시된 이송 아암의 측면도이며,8 is a side view of the transfer arm shown in FIG. 7,

도 9는 진공 제어 장치의 일 실시예를 보여주는 개략도이며,9 is a schematic view showing one embodiment of a vacuum control device;

도 10의 a) 및 도 10의 b)는 종방향 축선에 대해 회전가능한 이송 아암을 개략적으로 도시한 평면도 및 저면도이다.10 a) and 10 b) are plan and bottom views schematically showing the transfer arm rotatable about the longitudinal axis.

본 발명의 목적은 조합 반도체의 웨이퍼가 높은 생산성과 간단한 방식으로 안전하게 처리될 수 있도록 하는 것이다.It is an object of the present invention to allow wafers of a combination semiconductor to be safely processed in a high productivity and simple manner.

본 발명에 따라, 이러한 목적은 웨이퍼를 각각 수용하기 위한 적어도 두 개의 리세스를 가지는 캐리어에 의해 실현된다. 이 같은 캐리어로, 다수의 웨이퍼가 동시에 처리될 수 있다. 공지된 처리 방법과 달리, 이는 RTP 유닛의 처리량의 상당한 증가를 의미하며 상당히 경제적인 장점을 제공한다.According to the invention, this object is realized by a carrier having at least two recesses, each for receiving a wafer. With such a carrier, multiple wafers can be processed simultaneously. Unlike known treatment methods, this means a significant increase in the throughput of the RTP unit and offers significant economic advantages.

하나의 특별하고 유용한 장점에 따라, 본 발명의 장치는 대상물에 대한 필수적으로 폐쇄된 공간을 제공하기 위해 하나 이상의 리세스를 덮기 위한 하나 이상의 커버를 갖는다.According to one particular and useful advantage, the device of the invention has one or more covers for covering one or more recesses to provide an essentially closed space for the object.

예를 들면, 단일의 대형 커버는 들어있는 웨이퍼를 가진 캐리어의 리세스 모두를 덮는 것이 가능하다. 그러나, 이와 달리 각각의 리세스는 또한 개별 커버에 의해 덮혀질 수 있다. 또한, 비록 하나 이상이고 모두가 아니거나, 어떠한 바람직한 수의 리세스가 개별적으로 덮혀 있고 리세스의 나머지가 덮혀 있지 않지만, 커버 중 하나가 어떠한 바람직한 수의 리세스를 동시에 덮는 것이 가능하다. 이 같은 커버는 다른 유사한 커버를 구비할 뿐만 아니라 각각의 커버에 대한 개별적인 커버를 가지고 그리고 커버가 없는 리세스로 어떠한 원하는 방식으로 조합될 수 있다.For example, a single large cover is able to cover all of the recesses of the carrier with the wafers contained therein. Alternatively, however, each recess may also be covered by a separate cover. It is also possible that one or more of the covers simultaneously cover any desired number of recesses, although more than one and not all, or any desired number of recesses are individually covered and the rest of the recesses are not covered. Such covers can be combined in any desired manner with separate covers for each cover as well as with other similar covers and with a coverless recess.

리세스에 제공되는 캐리어는 바람직하게는 흑연, 사파이어, 석영, 붕소 질화물, 알루미늄 질화물, 규소, 탄화규소, 질화규소, 세라믹 또는 금속으로 제조되는 것이 바람직하다. 유사하게, 하나 이상의 커버가 흑연 또는 사파이어 또는 석영 또는 붕소 질화물 또는 알루미늄 질화물 또는 규소 또는 탄화규소 또는 질화규소 또는 세라믹 또는 금속으로 제조될 수 있다. 그러나, 캐리어 뿐만 아니라 하나 이상 또는 모든 커버가 또한 상술된 재료로 제조될 수 있다.The carrier provided in the recess is preferably made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal. Similarly, one or more covers may be made of graphite or sapphire or quartz or boron nitride or aluminum nitride or silicon or silicon carbide or silicon nitride or ceramic or metal. However, not only the carrier but also one or more or all covers may also be made of the materials described above.

RTP 프로세스에 대해, 유용하게 캐리어가 캐리어 및/또는 하나 이상의 커버의 낮은 비열용량(a low specific thermal capacity), 바람직하게는 0.2 내지 0.8 J/gK를 갖는다. 이러한 이유 때문에, 캐리어는 가능한 두께가 얇아야 한다.For the RTP process, the carrier advantageously has a low specific thermal capacity, preferably 0.2 to 0.8 J / gK, of the carrier and / or one or more covers. For this reason, the carrier should be as thin as possible.

유사하게, 하나 이상의 커버를 가지는 캐리어가 캐리어 및/또는 커버들 중 하나 이상이 높은 열 전도율, 바람직하게는 10 내지 100 W/mK를 가지는 것이 유용하다.Similarly, it is useful for a carrier having one or more covers to have a high thermal conductivity, preferably 10 to 100 W / mK, of one or more of the carriers and / or covers.

캐러어의 적어도 일 부분, 또는 커버들 중 하나의 부분, 또는 캐리어의 부분 및 커버들 중 하나의 부분이 코팅되는 것이 바람직하다. 예를 들면, 웨이퍼의 프로세싱 동안 덮혀진 리세스 내에 발생되는 화학적 프로세스에 대해 불활성이 되는 코팅을 구비하고 리세스 모두 또는 리세스 들중 하나의 내부 표면 뿐만 아니라 하나 이상의 커버의 리세스를 덮는 표면이 제공되는 것이 바람직하며, 반면에 캐리어의 외부 표면이 열 방사에 대해 원하는 흡수 특성을 갖도록 코팅되지 않는다. 다른 경우, 예를 들면 캐리어 및 커버의 국부 광학 특성이 외측 표면의 적절한 영역 와이즈 코팅(suitable area wise coating)에 의해 달성될 수 있다.It is preferred that at least a portion of the carrier, or one of the covers, or a portion of the carrier and one of the covers be coated. For example, a surface having a coating that is inert to chemical processes occurring within a recess covered during processing of a wafer and which covers the recess of one or more covers as well as the inner surface of all or all of the recesses It is preferred to provide, while the outer surface of the carrier is not coated to have the desired absorbing properties for thermal radiation. In other cases, local optical properties of the carrier and cover can be achieved, for example, by a suitable area wise coating of the outer surface.

유사하게, 캐리어의 적어도 부분들 또는 커버들 중 하나 이상의 부분들 또는 캐리어의 부분들 및 커버들 중 적어도 하나의 부분들을 열 방사에 대해 이들을 석영 또는 사파이어로 제조함으로써 투명하게 만드는 것이 유용하다. 커버 뿐만 아니라 리세스의 베이스 표면에 대응하는 캐리어의 부분들이 열 방사에 대해 투명하지 않은 것이 유용한 반면, 캐리어의 다른 부분들은 투명하다.Similarly, it is useful to make one or more portions of the carriers or at least ones of the covers or portions of the carriers and at least ones of the covers transparent by making them from quartz or sapphire for heat radiation. It is useful that not only the cover but also the parts of the carrier corresponding to the base surface of the recess are not transparent to heat radiation, while the other parts of the carrier are transparent.

더욱이, 덮혀진 리세스내의 예정된 분위기를 생산하는 것이 가능하다. 처리되는 웨이퍼의 타입에 따라, 상이한 분위기가 각각의 덮혀진 리세스에 존재할 수 있다. 예를 들면, 하나 이상이 제 1 리세스에서 InP 웨이퍼가 처리되는 경우, 인 함유 분위기가 리세스에 존재한다. GaAs 웨이퍼가 처리되는 적어도 두 번째에서, 제 2 리세스에, 비소 함유 분위기가 존재한다. 마지막으로, 적어도 세번째에서, 리세스가 덮히지 않은 경우, 웨이퍼가 처리될 수 있는데, 여기에는 실리콘 즉 조합 반도체를 포함하지 않는다.Moreover, it is possible to produce a predetermined atmosphere in the covered recess. Depending on the type of wafer being processed, different atmospheres may be present in each covered recess. For example, when one or more InP wafers are processed in a first recess, a phosphorus containing atmosphere is present in the recess. At least the second time the GaAs wafer is processed, in the second recess, an arsenic containing atmosphere is present. Finally, at least in the third, the wafer can be processed if the recess is not covered, which does not include silicon, ie a combination semiconductor.

캐리어에 의해 수용된 웨이퍼 중 적어도 일부가 적어도 부분적으로 코팅될 수 있다. 그러나, 웨이퍼들 중 적어도 하나의 볼륨 용적이 또한 예를 들면 웨이퍼에 이식된 층이 제공되는 영역에서 변화될 수 있다.At least some of the wafers received by the carrier may be at least partially coated. However, the volume volume of at least one of the wafers may also vary, for example in the region where the layer implanted on the wafer is provided.

프로세스 챔버에서 통상적으로 열처리되는 다수의 웨이퍼를 위한 본 발명의캐리어는 각각의 웨이퍼에 대한 열 방사의 동일한 코스로 상이한 프로세스 결과를 달성하는 것이 동일한 프로세스 단계 동안 가능하게 만든다. 캐리어 및/또는 대응하는 커버의 국부 영역의 투명성 또는 코팅에 따라, 국부적으로 상이한 광학 상태가 덮혀진 리세스의 내부에 상이한 온도를 초래하도록 달성될 수 있다. 따라서, 비록 열 방사의 코스가 모든 웨이퍼에 대해 동일하지만, 각각의 웨이퍼는 개별적인 프로세스 온도를 경험한다. 따라서, 하나의 프로세싱 단계로, 다수의 웨이퍼를 동시에 처리하는 것 뿐만 아니라 이와 같이 함으로써 웨이퍼가 상이한 프로세스에서 처리될 수 있다. 이는 상이한 재료의 웨이퍼가 동시에 처리되는 것을 의미한다.The carrier of the present invention for multiple wafers that are typically heat treated in a process chamber makes it possible during the same process step to achieve different process results with the same course of heat radiation for each wafer. Depending on the transparency or coating of the local area of the carrier and / or the corresponding cover, locally different optical states can be achieved to result in different temperatures inside the covered recess. Thus, although the course of thermal radiation is the same for all wafers, each wafer experiences a separate process temperature. Thus, in one processing step, as well as processing multiple wafers simultaneously, the wafers can be processed in different processes by doing so. This means that wafers of different materials are processed simultaneously.

캐리어에 있는 리세스는 캐리어의 로딩 후, 웨이퍼가 동일한 평면에 모두 평행하게 배치되도록 동일한 깊이를 가지는 것이 바람직하다.The recesses in the carrier preferably have the same depth so that after loading of the carrier the wafers are all placed parallel to the same plane.

그러나, 또한 리세스의 깊이를 변화시키는 것이 유용할 수 있다. 이러한 경우, 비록 웨이퍼가 항상 서로에 대해 평행하게 배치되지만, 웨이퍼가 높이 방향으로 오프셋되어 웨이퍼가 상이한 면에 배치된다.However, it may also be useful to vary the depth of the recess. In this case, although the wafers are always arranged parallel to each other, the wafers are offset in the height direction so that the wafers are placed on different faces.

평평한 수평 베이스를 가지는 원통형 리세스에 대해, 웨이퍼는 리세스의 베이스에 평평하게 놓인다.For a cylindrical recess with a flat horizontal base, the wafer lies flat on the base of the recess.

적어도 하나의 리세스 내의 웨이퍼의 지지부가 유용하게 선택되어, 리세스의 베이스와 웨이퍼 상의 접촉을 피할 수 있다. 이는 웨이퍼가 수용되고 리세스에 배치되는 핀형 지지 요소에 의해 유용하게 달성된다. 깊이가 동일하지만 지지 요소의 길이가 다르므로, 웨이퍼는 상이한 높이의 평면에 배치될 수 있다.The support of the wafer in the at least one recess may be usefully selected to avoid contact with the base of the recess on the wafer. This is usefully achieved by the pinned support element in which the wafer is received and placed in the recess. Since the depths are the same but the lengths of the support elements are different, the wafers can be placed in planes of different heights.

리세스의 베이스와의 접촉을 피할 수 있도록 웨이퍼를 배치하는 또 다른 바람직한 가능성은 웨이퍼의 림 부분을 지지하는 것이다. 이는 원뿔형상으로 내측으로 테이퍼지도록 적어도 하나의 리세스를 형성함으로써 달성된다. 이러한 방식으로, 리세스의 내측으로 경사진 엣지가 웨이퍼의 림 지지부로 이끌어지도록 얻어 진다. 또 다른 실시예에 따라, 적어도 하나의 리세스가 다시 리세스의 엣지에 웨이퍼의 림을 지지하도록 하는 오목한 형상을 가진다. 원뿔형 및 오목한 리세스의 설계에 따라, 웨이퍼가 상이한 높이로 배치될 수 있다.Another preferred possibility of placing the wafer to avoid contact with the base of the recess is to support the rim of the wafer. This is accomplished by forming at least one recess to taper inwardly in a cone. In this way, edges that are inclined inwardly of the recess are obtained to lead to the rim support of the wafer. According to yet another embodiment, the at least one recess has a concave shape that in turn supports the rim of the wafer at the edge of the recess. Depending on the design of the conical and concave recesses, the wafers may be placed at different heights.

캐리어를 로딩하기 위해, 웨이퍼가 리세스 또는 지지 핀으로 직접 그리퍼를 경유하여 유용하게 순차적으로 배치된다. 이러한 목적에 대해 적절한 것은 웨이퍼를 끌어들이는 흡입 장치를 가지는 그리퍼이다. 이는 베루누이 원칙(Bernoulli principle)에 따라 작동되는 흡입 장치를 경유하여 실행될 수 있다.To load the carrier, the wafers are usefully placed sequentially via the gripper directly into the recesses or support pins. Appropriate for this purpose is a gripper with a suction device that draws a wafer. This can be done via an inhalation device operated according to the Bernoulli principle.

지지 핀은 캐리어의 로딩을 유용하게 제공하고 바람직하게는 캐리어를 통하여 연장한다. 이러한 지지 핀은 그리퍼와 직면하는 리세스의 로딩을 제공하는 지지 핀에 의해 그리퍼로부터 원격에 있는 리세스의 로딩을 방해하지 않도록 상이한 리세스에 대해 상이한 높이를 가지는 것이 유용하다.The support pins advantageously provide for loading of the carrier and preferably extend through the carrier. Such support pins are useful to have different heights for different recesses so as not to interfere with loading of recesses remote from the gripper by support pins that provide loading of the recesses facing the gripper.

유용하게, 커버는 캐리어를 통하여 연장하거나 캐리어의 외부에 완전히 배치되는 지지 핀에 배치될 수 있다. 커버용 지지 핀은 웨이퍼용 지지 핀 보다 유용하게 더 길다.Advantageously, the cover may be disposed on a support pin that extends through the carrier or is fully disposed outside of the carrier. The support pin for the cover is usefully longer than the support pin for the wafer.

지지 핀 및 캐리어는 서로에 대해 수직으로 가동되는 것이 바람직하다.The support pin and the carrier are preferably operated perpendicular to each other.

지지 핀 상에 웨이퍼가 배치되자 마자, 지지 핀은 캐리어를 통하여 하방으로 이동하고 그 결과 웨이퍼가 지지 핀으로부터 상승되고 웨이퍼와 관련된 리세스에배치된다. 이와 달리, 캐리어는 또한 상방으로 이동할 수 있다.As soon as the wafer is placed on the support pin, the support pin moves downward through the carrier so that the wafer is lifted from the support pin and placed in a recess associated with the wafer. Alternatively, the carrier can also move upwards.

캐리어가 와이퍼에 로딩되자 마자, 커버가 웨이퍼 전에 적절한 지지 핀에 이미 배치되지 않은 경우, 대응하는 커버는 캐리어에 직접 배치되거나 그리퍼에 의해 핀에 지지될 수 있다.As soon as the carrier is loaded into the wiper, if the cover has not already been placed on the appropriate support pin before the wafer, the corresponding cover may be placed directly on the carrier or supported on the pin by the gripper.

캐리어의 로딩은 프로세스 챔버내에서 실행되는 것이 바람직하다. 그러나, 또한 프로세스 챔버의 외부에 로딩될 수 있으며 후속적으로 열 처리를 위해 프로세스 챔버로 도입될 수 있다.The loading of the carrier is preferably carried out in the process chamber. However, it can also be loaded outside of the process chamber and subsequently introduced into the process chamber for heat treatment.

커버를 가진 다수의 이 같은 캐리어는 예를 들면 열 처리를 위해 프로세스 챔버내에 서로에 대해 다음으로 또는 하나 위에 적층되는 것이 유용할 수 있다.It may be useful for a number of such carriers with a cover to be stacked next to one another or next to one another in the process chamber, for example for thermal treatment.

기판 및/또는 커버를 구비한 캐리어의 로딩 및 언로딩은 로딩 및 언로딩 프로세스에 대응하여 적절히 제어될 수 있는 자동 로딩 및 언로딩 유닛으로 실행되는 것이 바람직하다.The loading and unloading of the carrier with the substrate and / or cover is preferably carried out with an automatic loading and unloading unit which can be appropriately controlled in response to the loading and unloading process.

본 발명의 장치는, 비록 배타적인 것은 아니지만, 바람직하게는 주로 작은 직경을 가진 조합 반도체의 웨이퍼에 대해 특히 적절하다. 반도체 웨이퍼의 열 처리는 미리정해진 환경 조건 및 온도 프로파일이 설정되는 RTP 유닛에서 실행되는 것이 바람직하다. 이와 관련하여, 처리 동안 캐리어가 환경 조건 및 온도에서 매우 안정적이다.The apparatus of the present invention, although not exclusively, is preferably particularly suitable for wafers of combination semiconductors, which are preferably mainly of small diameter. The heat treatment of the semiconductor wafer is preferably carried out in an RTP unit in which predetermined environmental conditions and temperature profiles are set. In this regard, the carrier is very stable at environmental conditions and temperatures during processing.

전술된 바와 같이, 반도체 웨이퍼, 특히 조합 반도체 웨이퍼가 상대적으로 얇으며 50 내지 500 ㎛, 및 통상적으로 200 ㎛의 두께를 갖는다. 이러한 웨이퍼는 따라서 핸들링 동안 파손되기가 쉬워, 손에 의한 종래의 핸들링으로 또는 로봇 등과 같은 핸들링 장치로, 웨이퍼의 파손이 자주 발생하여 반도체의 제조 동안 생산량이 상당히 감소된다. 특히 예를 들면, 레이저 다이오드와 같은 고가의 부품에 대해 사용되는 반도체 웨이퍼로, 이는 특히 명백하며, 이는 이러한 목적을 위한 2인치 웨이퍼가 ?25,000의 범위에 있는 값을 갖는다.As mentioned above, semiconductor wafers, in particular combination semiconductor wafers, are relatively thin and have a thickness of 50 to 500 μm, and typically 200 μm. Such wafers are thus susceptible to breakage during handling, such as with conventional handling by hand or with handling devices such as robots, etc., where breakage of the wafer frequently occurs and the yield is significantly reduced during the manufacture of the semiconductor. In particular, semiconductor wafers used for expensive components such as, for example, laser diodes, this is particularly evident, with a 2 inch wafer for this purpose in the range of? 25,000.

이전에 언급한 바와 같이, 웨이퍼는 예를 들면 흑연으로 제조되는 리셉터클에서 처리되어 웨이퍼의 처리를 위해 프로세스 챔버로 도입된다. 이러한 소위 흑연 박스는 박스에 수용되어지는 웨이퍼의 크기 및 개수에 따라, 200 내지 2,000g의 중량을 갖는다.As mentioned previously, the wafer is processed in a receptacle, for example made of graphite, and introduced into the process chamber for processing of the wafer. This so-called graphite box has a weight of 200 to 2,000 g, depending on the size and number of wafers contained in the box.

웨이퍼 뿐만 아니라 리셉터클은 이 같은 유닛으로 수동으로 처리되는데, 이는 종래의 핸들링 장치로 0.1 내지 20 g의 범위에 있는 중량을 가지는 매우 얇은 반도체 웨이퍼를 핸들링하는 것이 하나의 손으로 가능하지 않으며, 웨이퍼의 손상에 의한 높은 거부율을 가지지 않고, 대조적으로 무거운 리셉터클을 다른 손으로 핸들링하는 것이 가능하지 않기 때문이다.The wafer as well as the receptacle are manually processed with such a unit, which is not possible with one hand to handle very thin semiconductor wafers having a weight in the range of 0.1 to 20 g with conventional handling equipment, and damage to the wafer This is because it is not possible to handle the heavy receptacle with the other hand without having a high rejection rate.

따라서, 본 발명의 목적은 상이한 중량을 가지는 대상물이 안전적이고 확실하게 핸들링되는 핸들링 장치를 제공하는 것이다.It is therefore an object of the present invention to provide a handling apparatus in which objects having different weights are handled safely and securely.

본 발명에 따라, 설명된 목적은 적어도 하나의 수송 아암을 가지는 핸들링 장치로 실현되는데, 적어도 하나의 수송 아암은 대상물의 중량의 기능으로서 진공을 변경하기 위한 진공 제어 장치에 의해, 차례로 핸들링되는 적어도 하나의 대상물을, 진공을 경유하여, 지지하기 위한 적어도 하나의 지지 장치를 가진다.According to the invention, the described object is realized with a handling device having at least one transport arm, at least one transport arm being at least one handled in turn by a vacuum control device for changing the vacuum as a function of the weight of the object. It has at least one support apparatus for supporting the object of via a vacuum.

수송 아암 상의 지지 장치의 진공이 설정될 수 있는 진공 제어 장치를 제공하는 본 발명의 특징에 따라, 매우 상이한 중량을 가지는 동일한 핸들링 장치, 대상물과 하나로 수송 및 핸들링하는 것이 가능하다. 예를 들면, 본 발명의 핸들링 장치로, 특히 하나의 손으로 예를 들면 상대적으로 무거운 리셉터클이 웨이퍼의 파손을 피하면서 저 중량인 매우 얇은 파손 웨이퍼인 동일한 핸들링 장치로 핸들링될 수 있는 방식으로, 수동 핸들링을 피하면서 웨이퍼 및 웨이퍼 리셉터클의 수송 및 핸들링을 수행하는 것이 가능하다. 따라서 본 발명의 핸들링 장치는 예를 들면 프로세스 챔버로 또는 프로세스 챔버로부터 리셉터클의 로딩 뿐만 아니라 언로딩을 가능하게 할 뿐 만 아니라, 리셉터클로 그리고 리셉터클로부터 얇고 파손가능한 웨이퍼의 로딩 및 언로딩도 가능하게 한다. 이와 같이 함으로써 특히 또한 열 처리와 관련하여 반도체 웨이퍼의 프로세싱의 완전한 자동화 가능성이 제공되는 사실과는 별도로, 이는 단일 핸들링 장치로 발생되어 장비 비용이 낮아 질 수 있다. 본 발명의 핸들링 장치로 가능하게 되는 프로세스 자동화로, 생산량이 상당히 증가하는데, 이는 프로세스 챔버 및 리셉터클의 수동 로딩 및 언로딩 동안 자주 발생되는 웨이퍼의 파손이 적어도 상당히 감소되기 때문이다. 유닛이 매우 고가의 부품을 제조하기 위해 사용되는 경우, 낮은 거부율 및 신속하고 확실한 핸들링에 의해, 본 발명의 핸들링 장치를 가지는 처리 유닛은 따라서 종래의 처리 유닛 보다 상당히 빨리 감가상각된다.According to a feature of the invention which provides a vacuum control device in which the vacuum of the support device on the transport arm can be set, it is possible to transport and handle one and the same handling device, objects with very different weights. For example, with the handling device of the present invention, in particular in a way that one hand can, for example, be handled with the same handling device which is a very thin broken wafer of low weight, for example while a relatively heavy receptacle avoids breaking the wafer. It is possible to perform transportation and handling of wafers and wafer receptacles while avoiding handling. Thus, the handling device of the present invention not only enables the loading as well as the unloading of the receptacle into or out of the process chamber, but also the loading and unloading of thin and breakable wafers into and out of the receptacle. . In this way, apart from the fact that it also provides the full automation potential of the processing of semiconductor wafers, especially with regard to heat treatment, this can occur with a single handling device, resulting in lower equipment costs. With the process automation enabled by the handling apparatus of the present invention, the yield is significantly increased because at least a significant reduction in wafer breakage, which occurs frequently during manual loading and unloading of the process chamber and receptacle. When the unit is used to produce very expensive parts, with low rejection rates and fast and reliable handling, the processing unit with the handling device of the present invention is therefore depreciated considerably faster than conventional processing units.

본 발명의 하나의 바람직한 일 실시예에 따라, 진공 제어 장치는 하나의 진공 공급원 및 진공 변환 장치, 예를 들면 진공 조절기를 가지거나 가지지 않는 라인 사이의 스위칭을 위한 라인 변환 스위치를 포함한다. 이러한 방식으로, 오직하나의 진공 공급원이 요구되어, 진공 조절기가 조절가능한 밸브인 것이 바람직하다. 다른 일 실시예에 따라, 적어도 두 개의 개별적으로 제어가능한 진공 시스템이 제공된다.According to one preferred embodiment of the invention, the vacuum control device comprises a line conversion switch for switching between one vacuum source and a vacuum conversion device, for example a line with or without a vacuum regulator. In this way, only one vacuum source is required, so that the vacuum regulator is an adjustable valve. According to another embodiment, at least two individually controllable vacuum systems are provided.

본 발명의 하나의 유용한 실시예에 따라, 처리되고 상이한 중량을 가지는 대상물에 대한 압력 비율은 10 내지 10,000의 범위이다. 이러한 진공 비율은 필수적으로 처리되고 또한 지지 장치의 설계인 대상물의 중량 비율의 함수이다.According to one useful embodiment of the present invention, the pressure ratio for the object being treated and having a different weight ranges from 10 to 10,000. This vacuum ratio is essentially a function of the weight ratio of the object being processed and also the design of the support device.

본 발명의 매우 유용한 일 실시예에 따라, 낮은 중량을 가지는 대상물은 실리콘 반도체 웨이퍼이며, 더 큰 중량을 가지는 대상물은 웨이퍼가 하나의 처리 단계 동안 배치되는 리셉터클이다. 이러한 타입의 리셉터클은 예로서 이전에 설명되었다.According to one very useful embodiment of the present invention, the low weight object is a silicon semiconductor wafer, and the higher weight object is a receptacle in which the wafer is placed during one processing step. This type of receptacle has been described previously as an example.

상이한 중량을 가지는 대상물용 지지 장치가 비록 동일한 방식으로 실시될 수 있지만, 그러나 본 발명의 또 다른 실시예에 따라 또한 상이한 대상물, 특히 상이한 중량을 가지는 대상물에 대해 상이하게 지지 장치를 실시하는 것이 유용하다. 지지 장치는 진공 공급원 또는 진공 시스템과 라인을 경유하여 연결되는 소위 패드 또는 지지 쿠션인 것이 바람직하다. 개별 지지 장치 또는 패드는 동일한 진공으로 공급될 수 있거나 각각의 상이한 진공으로 공급될 수도 있으며, 그러나 이러한 경우 예를 들면 밸브 또는 개별적인 진공 시스템과 같은 적절한 제어 요소가 요구된다.Although support devices for objects with different weights can be implemented in the same way, it is useful according to yet another embodiment of the invention but also to implement the support devices differently for different objects, in particular for objects with different weights. . The support device is preferably a so-called pad or support cushion that is connected via a line with a vacuum source or vacuum system. Individual support devices or pads may be supplied with the same vacuum or with different vacuums in each case, but in this case appropriate control elements such as valves or individual vacuum systems are required.

이와 관련하여, 지지 장치는 상이한 중량을 가지는 대상물, 예를 들면 대상물의 형상 및 표면 구조물에 적용되는 것이 바람직하다. 예를 들면, 리셉터클을지지하기 위해 일반적으로 더 큰 지지 표면이 가벼운 중량을 지지하기 위한 것 보다 요구된다. 예를 들면, 약 3 mm가 되는 지지 장치 또는 패드의 직경, 또는 약 0.1 ㎠가 되는 패드 당 진공이 작용하는 표면을 선택하는 것이 웨이퍼에 유용하다. 패드의 형상은 예비 설정된 규정과 동일하게 선택되고 패드는 원형 또는 직사각형이거나 어떠한 다른 형상을 가질 수 있다. 그러나, 패드는 원형인 것이 바람직하고, 이는 진공 공급원의 낮은 흡입력에서 조차 이렇게 함으로써 비율 표면/림이 가장 크거나 대상물 예를 들면 웨이퍼의 확실한 핸들링이 보장되기 때문이다.In this regard, the support device is preferably applied to objects having different weights, for example the shape and surface structure of the object. For example, to support the receptacle, a larger support surface is generally required than to support light weight. For example, it is useful for a wafer to select a diameter of a support device or pad that is about 3 mm, or a surface on which a vacuum per pad is about 0.1 cm 2. The shape of the pad is selected to be the same as the preset rule and the pad may be circular or rectangular or have any other shape. However, the pad is preferably circular, since even at low suction power of the vacuum source, this results in the largest ratio surface / rim or ensures reliable handling of the object, for example the wafer.

예를 들면 0.1g 내지 0.5g의 중량을 가지는 웨이퍼가 확실하게 홀딩되도록, 웨이퍼를 지지부에 가압하는, 패드에 의해 발생된 접촉 압력은 접촉 압력으로부터 발생된 마찰력이 대상물, 예를 들면 웨이퍼에 작용하는 중력에 의한 가속도 또는 이송 아암의 가속에 의해 발생된 힘 보다 더 크도록 충분히 커야 한다. 웨이퍼에 작용하는 (수평) 가속력이 1g 보다 더 작은 경우, 이는 예를 들면 약 0.005 bar(이는 0.995 bar의 절대 압력에 대응한다)의 진공을 경유하여 달성된다. 이와 관련하여, 다시 웨이퍼 온도의 함수가 될 수 있는 웨이퍼와 지지부 사이의 마찰 계수를 고려하여야 한다.The contact pressure generated by the pad, which presses the wafer to the support, to ensure that the wafer having a weight of, for example, 0.1 g to 0.5 g, is secured so that the frictional force generated from the contact pressure acts on the object, for example, the wafer. It must be large enough to be greater than the force generated by acceleration by gravity or by the acceleration of the transfer arm. If the (horizontal) acceleration force acting on the wafer is less than 1 g, this is achieved, for example, via a vacuum of about 0.005 bar, which corresponds to an absolute pressure of 0.995 bar. In this regard, the coefficient of friction between the wafer and the support, which in turn can be a function of wafer temperature, must be taken into account.

진공이 더 큰 경우, 즉 절대 압력이 작은 경우, 비록 웨이퍼의 파손의 위험이 존재하지만, 웨이퍼는 항상 확실하게 홀딩, 즉 가속력이 1g를 초과할 수 있어야 한다.If the vacuum is larger, i.e. the absolute pressure is small, the wafer must always be able to hold, i.e., exceed 1 g, reliably, although there is a risk of breaking the wafer.

일반적으로, 선택되어지는 패드 압력은 압력이 바람직하게는 제어가능하거나 조절가능한 경우 유용하게 되는 결과로서, 발생되는 최대 가속도가 적용되도록 선택되어야 한다. 매우 큰 진공은 피하여야 한다. 압력의 적용은 이동 시컨스의 시작 전 뿐만 아니라 자체 이동 동안 실행되어야 한다. 웨이퍼의 최대 허용 가속도는 웨이퍼의 두께 및 직경, 지지 영역의 재료 및 웨이퍼 표면 타입, 즉 구조화된 지지 영역 또는 구조화되지 않은 지지 영역이 제공되는 지의 함수이다.In general, the pad pressure to be selected should be selected so that the maximum acceleration that is generated is applied as a result of which the pressure is preferably useful if it is controllable or adjustable. Very large vacuums should be avoided. The application of pressure must be carried out not only before the start of the movement sequence but also during its own movement. The maximum allowable acceleration of the wafer is a function of the thickness and diameter of the wafer, the material of the support region and the type of wafer surface, ie whether a structured or unstructured support region is provided.

구조화되지 않은 지지 영역을 가지는 웨이퍼가 핸들링되는 경우, 웨이퍼의 중앙에 대한 웨이퍼 반경의 약 2/3에서 패드의 배치가 선택되는 것이 바람직하다. 이러한 방식으로, 웨이퍼는 가능한 응력이 없게 되는 방식으로 지지된다. 구조화된 지지 영역으로, 패드는 웨이퍼의 림 영역을 지지하는 것이 바람직하다.When a wafer having an unstructured support area is handled, it is desirable that the placement of the pad be selected at about two thirds of the wafer radius relative to the center of the wafer. In this way, the wafer is supported in a manner that is as stress free as possible. With the structured support area, the pad preferably supports the rim area of the wafer.

본 발명의 핸들링 장치가 더 큰 중량을 가지는 대상물 및/또는 더 작은 중량을 가지는 대상물용 3 지점 지지 장치가 제공되는 것이 바람직하다.It is preferred that the handling device of the present invention is provided with an object having a greater weight and / or a three point support device for an object having a smaller weight.

이미 언급한 바와 같이, 이와 관련하여 상이한 대상물, 및 특히 상이한 중량을 가지는 대상물용 지지 장치는 상이한 형상을 가지는 것이 바람직하다.As already mentioned, it is preferred in this connection for different objects, and in particular for objects having different weights, to have different shapes.

중량에 대해 특히 상이한 대상물용 지지 장치가 이송 아암의 일 측부에 둘다 배치될 수 있다. 본 발명의 특히 유용한 일 실시예에 따라, 그러나, 지지 장치는 이송 아암의 양 측부에 제공된다. 이는 주어진 상태에 따라 이송 아암의 상측부 또는 하측부상의 핸들링 프로세스 동안 핸들링되어지는 대상물을 홀딩하는 것이 가능하다. 본 발명의 다른 일 실시예에 따라, 더 무거운 대상물용 이송 아암 지지 장치의 일 측부 및 더 가벼운 대상물용 다른 측부 지지 장치에 제공되는 경우 특히 유용하다. 일 측부, 예를 들면 상 측부는, 예를 들면 지지 리셉터클을 지지하기 위한 제 1 지지 또는 패드 구조물 또는 지지 표면 구조물을 가지는 반면, 수송 아암의 하부에 예를 들면 웨이퍼를 지지하기 위한 제 2 지지부 또는 패드 구조물이 제공된다. 예를 들면, 웨이퍼가 아래로부터 고정되며 리셉터클은 상부로부터 고정되거나, 또는 반대로도 고정된다. 이러한 본 발명의 핸들링 장치의 일 실시예로, 진공 제어를 제거하고 동일한 진공으로 양 지지 장치를 작동하는 것도 가능한데, 이는 홀딩력이 상이한 패드 구조물, 특히 상이한 표면 상태에 의해 결정되거나 동시 결정되기 때문이다. 게다가, 지지 표면의 마찰 계수는 상부 및 아래가 상이할 수 있다.Support devices for objects, in particular by weight, can be arranged both on one side of the transfer arm. According to one particularly useful embodiment of the present invention, however, the support device is provided on both sides of the transfer arm. It is possible to hold the object to be handled during the handling process on the upper or lower part of the transfer arm, depending on the given condition. According to another embodiment of the present invention, it is particularly useful when provided on one side of the transfer arm support device for heavier objects and the other side support device for lighter objects. One side, for example the upper side, has a first support or pad structure or support surface structure for supporting the support receptacle, for example, while a second support for supporting the wafer, for example under the transport arm, or A pad structure is provided. For example, the wafer is fixed from below and the receptacle is fixed from the top or vice versa. In one embodiment of the handling device of this invention, it is also possible to remove the vacuum control and operate both support devices with the same vacuum, since the holding force is determined or simultaneously determined by different pad structures, in particular different surface conditions. In addition, the coefficient of friction of the support surface can be different at the top and bottom.

본 발명의 매우 유용한 실시예에 따라, 이송 아암은 종방향 축선에 대해 180°만큼 회전가능하다. 결론적으로, 대응하는 대상물에 적용되는 지지 장치를 구비한 측부는 상방 및 하방으로 회전될 수 있다.According to a very useful embodiment of the present invention, the transfer arm is rotatable by 180 ° about the longitudinal axis. In conclusion, the side with the support device applied to the corresponding object can be rotated upwards and downwards.

본 발명의 또 다른 일 실시예에 따라, 두 개 이상의 이송 아암이 제공되며, 이중 적어도 하나의 아암이 무거운 대상물을 지지하기 위해 제공되며 적어도 하나의 다른 아암이 가벼운 중량 대상물을 지지하기 위해 제공된다. 이러한 방식으로, 지지 장치는 각각의 상이한 대상물에 대해 서로로부터 개별적으로 자체 이송 아암에 각각 제공된다.According to another embodiment of the present invention, two or more transfer arms are provided, at least one of which is provided for supporting a heavy object and at least one other arm is provided for supporting a light weight object. In this way, the support device is each provided on its own transfer arm separately from each other for each different object.

본 발명의 다른 유용한 일 실시예에 따라, 진공 제어 장치는 예정된 프로그램 시컨스의 함수로서 제어될 수 있다. 이와 달리 또는 이러한 가능성에 부가하여, 센서, 예를 들면 와이어 변형 게이지가 핸들링되는 대상물의 중량을 측정하기 위해 제공된다. 이러한 중량 측정의 결과, 즉 센서의 출력은 진공 제어 장치를 제어하기 위해 이용된다. 이와 관련하여, 센서는 이송 아암에 직접 제공될 수 있지만 또한 중량이 결정되는 대상물을 우선 약간 상승시키는 것이 가능하여, 대상물을 지지하기 위한 지지 압력이 대상물의 중량을 위한 측정으로서 결정된다. 개별 중량을 결정함으로써, 대상물은 이동 동안 확실하게 홀딩된다. 개별 지지 압력으로, 대상물이 그때 이동된다. 실제 지지 압력에 부가하여, 또한 최대 가속도, 대상물의 미리 고정된 궤도의 선택, 속도 또는 다른 이동 매개변수를 선택 또는 설정하는 것도 가능하다. 이러한 방식으로, 대상물, 예를 들면 웨이퍼 또는 박스의 림을 파지하는 소위 엣지 그러퍼를 제어하는 것이 가능하고, 핸들링 장치에 대해 제 위치에 대상물의 국부적 고정을 달성하기 위해 림에 대상물을 고정하는 것이 가능하다. 이 같은 확실한 홀딩, 예를 들면 기계적으로 실행될 수 있으며, 여기서, 용어 "홀딩 압력"은 또한 대상물에 대해 핸들링 장치의 기계적 부품의 기계적 접촉 압력을 의미하는 것으로 이해되어야 한다.According to another useful embodiment of the present invention, the vacuum control device can be controlled as a function of a predetermined program sequence. Alternatively or in addition to this possibility, a sensor, for example a wire strain gauge, is provided for measuring the weight of the object being handled. The result of this weighing, ie the output of the sensor, is used to control the vacuum control device. In this regard, the sensor can be provided directly to the transfer arm but it is also possible to first slightly raise the object whose weight is to be determined so that the support pressure for supporting the object is determined as a measure for the weight of the object. By determining the individual weight, the object is firmly held during the movement. With individual support pressures, the object is then moved. In addition to the actual supporting pressure, it is also possible to select or set the maximum acceleration, the choice of a fixed trajectory of the object, the speed or other movement parameters. In this way, it is possible to control the so-called edge gripper holding the rim of the object, for example a wafer or a box, and securing the object to the rim to achieve local fixation of the object in place relative to the handling device. It is possible. Such reliable holding, for example mechanically, may be practiced, where the term “holding pressure” should also be understood to mean the mechanical contact pressure of the mechanical parts of the handling device with respect to the object.

본 발명은 도면과 관련하여 본 발명의 바람직한 실시예의 도움으로 후속적으로 상세하게 설명된다.The invention is explained in detail subsequently with the aid of preferred embodiments of the invention in connection with the drawings.

도 1은 대상물, 바람직하게는 디스크형 반도체 웨이퍼(2)의 신속 열 처리를 위한 통상적인 유닛(1)을 보여주는 개략도이다. 웨이퍼(2)는 홀딩 또는 지지 장치(3)에 배치되고 이 장치(3)는 예를 들면 핀형 지지 요소 또는 웨이퍼가 주변에 배치되거나, 어떠한 다른 타입의 웨이퍼 지지부인 장치일 수 있다. 지지 장치(3)를 포함하는 웨이퍼(2)는 프로세스 챔버(4)의 내부에 배치된다. 프로세스 챔버(4)는 투명한 석영으로 적어도 일 부분이 제조되는 것이 바람직한 투명한 챔버이다. 프로세스 가스용 유입구 및 유출구가 표시되지 않으며, 이 가스용 유입구 및 유출구에 의해 프로세스에 적절한 가스 분위기가 발생될 수 있다. 프로세스 챔버(4)의 위 및/또는 아래 및/또는 측부(여기서 표시되지 않음)에 장착된 것은 램프(5 및 6)의 뱅크이다. 이들은 서로에 대해 평행하게 배치되는 다수의 로드형 텅스텐-할로겐 램프인 것이 바람직하다. 그러나, 다른 램프도 이용될 수 있다. 챔버의 다른실시예는 램프의 상부 뱅크(5) 또는 램프의 하부 뱅크(6) 및/또는 측방향으로 배치된 램프가 제거된다. 램프로부터 방출되는 전자기적 방사에 의해, 대상물(2), 예를 들면 웨이퍼가 가열된다. 전체 장치는 외부 노 챔버(7)에 의해 둘러싸일 수 있으며 외부 노 챔버의 벽의 내부에 적어도 부분적으로 반사면이 제공될 수 있으며, 외부 노 챔버의 벽은 스틸 또는 알루미늄과 같은 금속으로 제조될 수 있는 것이 바람직하다. 또한 마지막으로 측정 장치가 제공되고 이 측정 장치는 두 개의 비 접촉 측정 장치(8 및 9)를 포함하는 것이 바람직하다. 측정 장치(8 및 9)는 바람직하게는 두개의 고온계지만, CCD 모니터 또는 센서 또는 방사를 기록하기 위한 다른 장치가 사용될 수도 있다.1 is a schematic view showing a conventional unit 1 for rapid thermal processing of an object, preferably a disk-shaped semiconductor wafer 2. The wafer 2 is arranged in a holding or support device 3 which may be, for example, a pin-shaped support element or a device in which the wafer is arranged around, or any other type of wafer support. The wafer 2 including the support device 3 is arranged inside the process chamber 4. The process chamber 4 is a transparent chamber in which at least a part is made of transparent quartz. The inlet and outlet for the process gas are not shown, and a gas atmosphere suitable for the process can be generated by the inlet and outlet for the gas. Mounted on the top and / or bottom and / or side (not shown here) of the process chamber 4 are banks of lamps 5 and 6. These are preferably a plurality of rod-shaped tungsten-halogen lamps arranged parallel to each other. However, other lamps may also be used. In another embodiment of the chamber, the upper bank 5 of the lamp or the lower bank 6 of the lamp and / or laterally arranged lamps are removed. By electromagnetic radiation emitted from the lamp, the object 2, for example a wafer, is heated. The entire apparatus may be surrounded by an outer furnace chamber 7 and a reflecting surface may be provided at least partially inside the walls of the outer furnace chamber, and the walls of the outer furnace chamber may be made of metal such as steel or aluminum. It is desirable to have. Finally, a measuring device is also provided, which preferably comprises two non-contact measuring devices 8 and 9. The measuring devices 8 and 9 are preferably two pyrometers, but a CCD monitor or sensor or other device for recording radiation may be used.

이 같은 유닛에서 조합 반도체의 열 처리 연결을 성공적으로 하기 위해, 반도체는 반도체 재료의 분해를 방지하기 위해 콘테이너내에 포함되어야 한다. 도 2의 a)는 바람직하게는 원형 디스크형 캐리어(10)의 평면도이다. 도 2의 b)는 도 2의 a)에서 점선을 따른 캐리어(10)의 단면도이다.In order to successfully make heat treatment connections of a combination semiconductor in such a unit, the semiconductor must be contained in a container to prevent degradation of the semiconductor material. 2A is a plan view of the circular disk-shaped carrier 10 preferably. FIG. 2B is a cross-sectional view of the carrier 10 along the dotted line in FIG. 2A.

캐리어(10)는 웨이퍼를 각각 수용하기 위한 상부 디스크 표면(18)에서 동일한 직경의 다수의 원형 리세스(11 내지 17)를 갖는다. 그러나, 리세스를 위한 상이한 직경도 가능하다. 이와 관련하여, 하나의 리세스(12)는 캐리어(10) 중앙에 배치되는 반면, 나머지 6개의 리세스(11, 13, 14, 15, 16 및 17)는 캐리어의 엣지 및 중앙 리세스(12)에 동심이되는 원을 따라 중앙 리세스(12)를 둘러싼다. 캐리어(10)의 직경은 바람직하게는 200 mm이며, 동일한 크기의 리세스의 직경은 바람직하게는 53 mm이다.The carrier 10 has a plurality of circular recesses 11-17 of the same diameter at the upper disk surface 18 for receiving the wafers respectively. However, different diameters for the recesses are also possible. In this regard, one recess 12 is arranged in the center of the carrier 10, while the other six recesses 11, 13, 14, 15, 16 and 17 are the edge and center recesses 12 of the carrier. Surround the central recess 12 along a concentric circle. The diameter of the carrier 10 is preferably 200 mm and the diameter of the recesses of the same size is preferably 53 mm.

캐리어(10)는 바람직하게는 흑연, 사파이어, 석영, 붕소 질화물, 알루미늄 질화물, 규소, 탄화규소, 질화규소, 세라믹 또는 금속으로 제조되는 것이 바람직하다. 캐리어의 상측부(18) 뿐만 아니라 하부(19)는 상측부(18) 및 하부(19) 상의 광학적 균질성을 보장하기 위해 유리 비드를 미세하게 불어넣는 것이 유용하다.The carrier 10 is preferably made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal. The lower portion 19 as well as the upper portion 18 of the carrier is useful to infuse fine glass beads to ensure optical homogeneity on the upper portion 18 and the lower portion 19.

리세스(11 내지 17)에 배치되는 웨이퍼(3)용 폐쇄 컨테이너 또는 리셉터클을 얻기 위해, 리세스에 적어도 하나의 커버가 제공되며, 이 커버도 유리 비드로 미세하게 불어넣을 수 있다. 도 3의 a)에서, 웨이퍼가 들어가는 리세스(11 내지 17) 모두 더 큰 커버(20)에 의해 덮혀진다. 도 3의 b)에 도시된 커버의 또 다른 바람직한 형태에서, 리세스(11 내지 17)에 커버(21 내지 27)가 개별적으로 제공된다. 도 3의 c)에서 리세스(14 및 13)는 커버(28)에 의해 덮혀지고, 리세스(11 및 17)는 커버(29)에 의해 덮혀지며, 리세스(15, 12 및 16)는 커버(30)에 의해 덮혀진다. 도 3의 b)는 커버의 다른 형태를 보여주며 여기서 커버들 중 하나는 임의의, 그러나 하나 이상이고 전부는 아닌 개수의 리세스를 동시에 덮을 수 있다. 여기서 리세스(15, 12, 16, 11 및 17)는 커버(31)에 의해 덮혀지며 리세스(14 및 13)는 커버(28)에 의해 덮혀진다. 도 3의 e)에서, 수 개의 리세스용 커버는 개별 커버와 결합되며, 리세스(15, 12 및 16)는 커버(30)에 의해 덮히는 반면, 리세스(14, 13, 11 및 17)는 대응하는 커버(24, 23, 21 및 27)에 의해 덮혀진다. 도 3의 f)는 마지막으로 개별 커버, 다수의 리세스용 커버, 및 덮히지 않는 리세스을 보여준다. 따라서, 도 3의 a)에서 처럼, 리세스(15, 12 및 16)는 하나의 커버(30)에 의해 덮히고, 리세스(14 및 13)가 대응하는 개별 커버(24 및 25)에 의해 덮히는 반면, 리세스(11 및 17)는 덮히지 않는다. 일반적으로, 임의의 개수의 리세스용 커버가 개별적인 커버 뿐만 아니라 덮히지 않는 리세스와의 어떠한 원하는 방식으로 조합될 수 있다.In order to obtain a closed container or receptacle for the wafer 3 arranged in the recesses 11 to 17, at least one cover is provided in the recess, which can also be finely blown into the glass beads. In FIG. 3 a) all of the recesses 11 to 17 into which the wafer enters are covered by a larger cover 20. In another preferred form of the cover shown in b) of FIG. 3, the covers 21 to 27 are provided separately in the recesses 11 to 17. In FIG. 3 c) the recesses 14 and 13 are covered by a cover 28, the recesses 11 and 17 are covered by a cover 29, and the recesses 15, 12 and 16 are It is covered by the cover 30. 3 b shows another form of the cover wherein one of the covers can cover any, but more than one and not all but the number of recesses simultaneously. The recesses 15, 12, 16, 11 and 17 are here covered by a cover 31 and the recesses 14 and 13 are covered by a cover 28. In e) of FIG. 3, several recessed covers are combined with individual covers, and recesses 15, 12 and 16 are covered by cover 30, while recesses 14, 13, 11 and 17. ) Is covered by corresponding covers 24, 23, 21 and 27. 3 f) finally shows the individual cover, the plurality of recessed covers, and the uncovered recesses. Thus, as in FIG. 3 a), the recesses 15, 12 and 16 are covered by one cover 30, with the recesses 14 and 13 being covered by the corresponding individual covers 24 and 25. While covered, the recesses 11 and 17 are not covered. In general, any number of recessed covers can be combined in any desired manner with recesses as well as individual covers.

커버는 캐리어(10)의 상부면(18)으로 제한되지 않으며 커버(10)를 넘어 측방향으로 돌출될 수 있다.The cover is not limited to the top surface 18 of the carrier 10 and may protrude laterally beyond the cover 10.

커버(10)와 같이, 도 3에 도시된 하나 이상의 커버는 흑연, 사파이어, 석영, 붕소 질화물, 알루미늄 질화물, 규소, 탄화규소, 질화규소, 세라믹 또는 금속으로 제조될 수 있다. 그러나, 캐리어(10) 뿐만 아니라 하나 이상의 커버도 전술한 재료로 제조될 수 있다.Like cover 10, one or more of the covers shown in FIG. 3 may be made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic, or metal. However, not only the carrier 10 but also one or more covers may be made of the materials described above.

RTP 프로세스에 대해, 캐리어 및/또는 적어도 하나의 커버의 낮은 비 열용량을 가지는 적어도 하나의 커버를 가지는 캐러어(10)가 유용하게 선택된다. 열 용량은 0.8J/gK와 0.2J/gK 사이가 바람직하다. 이러한 이유때문에, 캐리어(10)는 5mm를 초과하지 않게 가능하게는 두께가 작아야 한다. 캐리어의 최고 두께는 3mm가 바람직하다.For an RTP process, a carrier 10 having at least one cover having a low specific heat capacity of a carrier and / or at least one cover is usefully selected. The heat capacity is preferably between 0.8 J / gK and 0.2 J / gK. For this reason, the carrier 10 should be as small as possible so as not to exceed 5 mm. The maximum thickness of the carrier is preferably 3 mm.

유사하게, 적어도 하나의 커버를 가지는 캐리어(10)는 캐리어(10) 및/또는 캐리어들 중 적어도 하나가 유용하며, 캐리어(10) 및/또는 캐리어들 중 적어도 하나는 높은 열용량을 갖는다. 열전도도는 10 W/mK와 180 W/mK 사이가 가능하다.Similarly, carrier 10 having at least one cover is useful with at least one of carrier 10 and / or carriers, and at least one of carrier 10 and / or carriers has a high heat capacity. Thermal conductivity can be between 10 W / mK and 180 W / mK.

도 4의 a)에 도시된 커버(33)와 같이, 커버는 캐리어(10)에 배치되고 웨이퍼(2)가 배치되는 리세스(32)를 덮을 수 있다. 커버(33)는 노브 형성부(34) 또는 캐리어(10)의 상부면(18)의 대응하는 함몰부(35)에 정확히 조립되고 미끄러지는 것을 방지하기 위해 커버(33)를 제위치에 조립하는 유사한 대응 장치가 제공되는 것이 바람직하다. 그러나, 이 같은 장치가 없을 수도 있다.Like the cover 33 shown in FIG. 4A, the cover may cover the recess 32 disposed in the carrier 10 and in which the wafer 2 is disposed. The cover 33 is assembled to the knob forming portion 34 or the corresponding depression 35 of the upper surface 18 of the carrier 10 to assemble the cover 33 in place to prevent it from slipping. It is desirable to provide a similar counterpart. However, there may be no such device.

도 4의 b)에 도시된 바와 같이, 커버(33)가 수용되고 링의 방식으로 둘러싸는 톱니부(36)가 리세스(32)에 제공되는 일 실시예가 바람직하다. 톱니부(36)의 깊이는 상부면(18)과 동일한 높이로 제공되고 캐리어(10)의 평면형 상부면을 보정하도록 커버(33)의 두께가 동일한 것이 유용하다. 캐리어(10)의 적어도 부분들, 또는 커버(20 내지 31)들 중 하나의 부분들, 또는 캐리어(10)의 부분들 및 커버(20 내지 31)들 중 적어도 하나의 부분들이 유용하게 코팅된다. 따라서, 예를 들면, 리세스(11 내지 16) 모두 또는 하나의 내부 표면, 뿐만 아니라 리세스를 덮는 하나 이상의 커버(20 내지 31)의 표면에 덮혀있는 리세스(11 내지 16)내에 웨이퍼(3)를 프로세싱하는 동안 발생되는 확학적 프로세스로 불활성이 되는 특별한 층을 부분적으로 제공하는 것이 유용할 수 있는 반면, 캐리어(10)의 외부 표면이 캐리어(10)의 외부 표면이 열 방사에 대한 원하는 흡수 특성을 보여주도록 코팅되지 않도록 한다. 다른 경우, 예를 들면 캐리어(10) 및 커버(20 내지 31)의 국부 광학 특성이 외부 표면 영역의 적절한 코팅에 의해 달성될 수 있다.As shown in b) of FIG. 4, one embodiment is preferred in which the recess 32 is provided with a toothed portion 36 in which the cover 33 is received and enclosed in the manner of a ring. It is useful for the depth of the tooth 36 to be provided at the same height as the top surface 18 and to have the same thickness of the cover 33 to correct the planar top surface of the carrier 10. At least portions of the carrier 10, or portions of one of the covers 20-31, or portions of the carrier 10 and at least one of the covers 20-31 are usefully coated. Thus, for example, the wafer 3 in the recesses 11 to 16 is covered on all or one inner surface of the recesses 11 to 16 as well as the surfaces of the one or more covers 20 to 31 covering the recesses. It may be useful to partially provide a special layer which becomes inert with the analytical process generated during processing, while the outer surface of the carrier 10 has a desired absorption of thermal radiation by the outer surface of the carrier 10. Do not coat to show properties. In other cases, for example, the local optical properties of the carrier 10 and the covers 20 to 31 can be achieved by appropriate coating of the outer surface area.

유사하게, 캐리어(10)의 적어도 부분들, 또는 커버(20 내지 31)들 중 하나의 부분들, 또는 캐리어(10)의 부분들 및 커버(20 내지 31)들 중 하나의 부분이 이들을 예를 들면 석영 또는 사파이어로 제조함으로써 열 방사를 위해 투명하게 된다. 리세스의 베이스 표면에 대응하는 커버(20 내지 31) 뿐만 아니라 캐리어(10)의 부분들이 열 방사를 위해 투명하지 않은 것이 유용하며 캐리어(10)의 다른 부분들은투명한 것이 유용하다.Similarly, at least portions of the carrier 10, or portions of one of the covers 20-31, or portions of the carrier 10 and one of the covers 20-31 may exemplify them. For example, made of quartz or sapphire to be transparent for thermal radiation. It is useful that the parts of the carrier 10 as well as the covers 20 to 31 corresponding to the base surface of the recess are not transparent for heat radiation and it is useful for the other parts of the carrier 10 to be transparent.

캐리어(10)의 바람직한 일 실시예에서, 리세스(20 내지 31) 모두는 동일한 깊이를 갖는다. 이러한 방식으로 로딩된 웨이퍼(2)는 평행한 방위를 가지며 동일한 높이로 그리고 일 평면에 모두 위치한다.In one preferred embodiment of the carrier 10, all of the recesses 20 to 31 have the same depth. The wafer 2 loaded in this way has parallel orientation and is located both at the same height and in one plane.

그러나, 때때로 또한 리세스(20 내지 31)의 깊이가 상이한 것이 유용할 수 있다. 이러한 경우, 비록 웨이퍼(2)가 항상 여전히 평행하지만, 웨이퍼는 높이가 서로로부터 오프셋되며 다양한 평면에 배치된다.However, sometimes it may also be useful to have different depths of the recesses 20 to 31. In this case, although the wafer 2 is always still parallel, the wafers are offset in height from one another and are arranged in various planes.

웨이퍼(2)의 지지부는 웨이퍼와 리세스의 베이스 사이의 접촉을 피하기 위해 리세스(11 내지 17)들 중 적어도 하나내에 유용하게 선택된다. 도 5의 a)에서 볼 수 있는 바와 같이, 이는 웨이퍼(2)가 수용되고 리세스(32)내에 배치되는 핀형 지지 요소(37)에 의해 유용하게 달성된다. 동일한 깊이를 가지는 리세스와 지지 요소(37)의 상이한 길이로, 웨이퍼(2)는 그때 각각의 리세스에 상이한 평면에 배치될 수 있다.The support of the wafer 2 is usefully selected in at least one of the recesses 11 to 17 to avoid contact between the wafer and the base of the recess. As can be seen in FIG. 5 a) this is advantageously achieved by the pinned support element 37 in which the wafer 2 is received and disposed in the recess 32. With different lengths of recesses and support elements 37 having the same depth, the wafer 2 can then be arranged in different planes in each recess.

도 5의 b)는 리세스(32)의 베이스와의 접촉을 피할 수 있는 방식으로 웨이퍼(2)를 배치하기 위한 또 다른 바람직한 가능성을 보여준다. 여기서 웨이퍼(2)가 리세스(32)가 내측으로 원뿔형으로 경사지는 림 영역에 지지된다. 이러한 방식으로 웨이퍼의 림 지지를 가능하게 하는 리세스(32)의 내측으로 경사진 영역이 달성된다. 도 5의 c)에 도시된 또 다른 실시예로, 리세스(32)는 오목하게 형성되고 이는 다시 리세스(32)의 엣지에 웨이퍼(2)의 림의 지지를 초래한다. 원뿔형 및 오목 리세스(32)의 설계에 따라, 웨이퍼를 상이한 높이로 배치할 수 있다.5B shows another preferred possibility for placing the wafer 2 in a manner that avoids contact with the base of the recess 32. The wafer 2 is here supported in a rim region where the recess 32 is inclined in a conical shape. In this way an inclined region inward of the recess 32 is achieved which enables rim support of the wafer. In another embodiment shown in FIG. 5 c), the recess 32 is concave, which in turn results in the support of the rim of the wafer 2 at the edge of the recess 32. Depending on the design of the conical and concave recesses 32, the wafers can be placed at different heights.

캐리어(10)를 로딩하기 위해, 그리퍼는 예를 들면 흡입 장치를 경유하여, 예를 들면 베루누이 원칙에 따라 작동시키기 위해 이용된다. 이 그리퍼는 웨이퍼(2)를 연속적으로 수용하고 리세스(11 내지 17)로 웨이퍼를 배치한다.In order to load the carrier 10, a gripper is used to operate, for example according to the Bernoulli principle, via a suction device, for example. This gripper continuously receives the wafer 2 and places the wafer into recesses 11 to 17.

또 다른 실시예에 따라, 도 6의 a)에 도시된 바와 같이 웨이퍼(2)는 지지 핀(38)에 배치된다. 지지 핀(38)은 각각의 리세스(32)의 베이스에 제공되는 보어(39)를 통하여 가이드된다.According to yet another embodiment, the wafer 2 is disposed on the support pin 38 as shown in FIG. The support pin 38 is guided through a bore 39 provided in the base of each recess 32.

유사하게, 커버(33)는 지지 핀(40)에 배치될 수 있다. 지지 핀(40)은 도 6의 a)에 도시된 바와 같이, 리세스(32)를 넘어 캐리어(10)를 통해 연장하는 보어(41)를 통하여 가이드되거나, 지지 핀(42)이 캐리어(10)의 외부로 완전히 연장된다. 지지 핀(38)은 그리퍼와 직면하는 리세스를 로딩하기 위해 제공되는 지지 핀에 의해 그리퍼로부터 원격에 있는 리세스의 로딩을 방해하지 않도록 상이한 리세스에 대해 상이한 높이를 갖는 것이 유용하다. 동일한 이유때문에, 커버(33)를 위한 지지 핀(40)은 상이한 길이를 가질 수 있다. 지지 핀(40)은 지지 핀(38) 보다 모두 높은 것이 바람직하다.Similarly, cover 33 may be disposed on support pin 40. The support pin 40 is guided through a bore 41 extending through the carrier 10 beyond the recess 32, as shown in FIG. 6 a), or the support pin 42 is guided to the carrier 10. Extends completely outside). The support pin 38 is useful to have different heights for different recesses so as not to interfere with the loading of the recesses remote from the gripper by the support pins provided for loading the recesses facing the gripper. For the same reason, the support pins 40 for the cover 33 can have different lengths. Preferably, the support pins 40 are all higher than the support pins 38.

또 다른 실시예에 따라, 캐리어(10)는 로딩을 위한 수직 축선에 대해 회전한다. 이러한 방식으로, 어떠한 주어진 시간에 로딩되는 리세스(32)가 항상 그리퍼와 직면할 수 있다.According to another embodiment, the carrier 10 rotates about a vertical axis for loading. In this way, the recess 32 loaded at any given time can always face the gripper.

웨이퍼(2)가 지지 핀(38)에 배치될 때, 커버(33)는 지지 핀(40)에 배치되고, 이러한 핀은 캐리어(10)를 통하여 하방으로 이동하고 그 결과로서 웨이퍼(10)가 지지 핀(38)으로부터 상승되고 커버(33)는 지지 핀(40)으로부터 상승된다.웨이퍼(2)는 관련된 리세스로 배치된다. 이와 달리, 캐리어(10)는 또한 상방으로 이동한다.When the wafer 2 is placed on the support pin 38, the cover 33 is placed on the support pin 40, which moves downward through the carrier 10 and as a result the wafer 10 is moved. The support pin 38 is lifted up and the cover 33 is lifted from the support pin 40. The wafer 2 is disposed in an associated recess. In contrast, the carrier 10 also moves upwards.

웨이퍼(10)의 로딩은 프로세스 챔버(4)내에서 뿐만 아니라 프로세스 챔버(4)의 외부로 실행될 수 있다.Loading of the wafer 10 can be carried out not only in the process chamber 4 but also outside the process chamber 4.

도 7 및 도 8에 도시된 본 발명은 핸들링 장치의 수송 아암(41)이 예를 들면 열 처리 프로세스 동안 웨이퍼 및 리셉터클의 핸들링과 관련하여 사용되며 통상적으로 폭(b)이 대상물의 직경 보다 작은 약 35 mm이고 여기서 대상물은 예를 들면 점선으로 도시된 웨이퍼(42) 또는 리셉터클이다. 이러한 방식으로, 인접한 웨이퍼로부터 이격되도록 카세트에 적층되어 수용되는 웨이퍼는 카세트로부터 제거될 수 있으며 프로세싱 후 다시 카세트에 배치된다. 수송 아암(41)의 두께(d)(도 8 참조)는 1 내지 5 mm의 범위에 있으며, 통상적으로 2 mm이다. 두께는 수송 아암(41)이 카세트에 배치되는 두 개의 인접한 웨이퍼들 사이에 조립될 수 있으며 따라서 카세트로부터 웨이퍼(42)를 제거할 수 있다. 수송 아암(41)의 길이는 조건에 부합하게 선택되고 단면 및 두께 프로파일이 동일하다. 상술된 실시예의 수송 아암(41)의 통상적인 길이는 20과 70 cm 사이 이다.The present invention shown in FIGS. 7 and 8 is used in connection with the handling of wafers and receptacles, for example, during the heat treatment process, in which the transport arms 41 of the handling apparatus are typically used where the width b is less than the diameter of the object. 35 mm and the object here is for example a wafer 42 or a receptacle, shown in dashed lines. In this manner, wafers stacked and received in a cassette spaced apart from adjacent wafers can be removed from the cassette and placed back in the cassette after processing. The thickness d (see FIG. 8) of the transport arm 41 is in the range of 1 to 5 mm, typically 2 mm. The thickness can be assembled between two adjacent wafers in which the transport arm 41 is placed in the cassette and thus can remove the wafer 42 from the cassette. The length of the transport arm 41 is chosen according to the conditions and the same cross section and thickness profile. The typical length of the transport arm 41 of the embodiment described above is between 20 and 70 cm.

도 7 및 도 8에 도시된 실시예에 따라, 웨이퍼는 또한 패드로서 알려지고 도시된 실시예에서 또한 (도시되지 않은) 리셉터클의 지지부가 제공되는 3개의 지지 장치(43-1, 43-2, 43-3)에 의해 지지된다. 이와 달리, 한 쪽에는 웨이퍼 다른 쪽에는 리셉터클을 위한 상이한 지지 장치 또는 패드를 제공하는 것도 가능하다.According to the embodiment shown in FIGS. 7 and 8, the wafer is also known as a pad and in the illustrated embodiment also three support devices 43-1, 43-2, in which the support of the receptacle (not shown) is provided. 43-3). Alternatively, it is also possible to provide different support devices or pads for receptacles on one side of the wafer on the other.

연결 라인(46)을 경유하여 진공 또는 부압 공급원(45)과 패드(43-1, 43-2,43-3)가 연결되는 진공 또는 부압 라인(44)이 이송 아암(41)에 제공된다. 진공 제어 요소(47) 예를 들면 제어가능한 밸브가 패드(43-2)들 중 하나로 하나의 진공 라인(44)이 제공된다.The transfer arm 41 is provided with a vacuum or negative pressure line 44 to which the vacuum or negative pressure source 45 and the pads 43-1, 43-2, 43-3 are connected via the connecting line 46. A vacuum control element 47, for example a controllable valve, is provided with one vacuum line 44 as one of the pads 43-2.

이송 아암(41)은 핸들링 장치의 도시되지 않은 부품 및 이동 요소를 구비한 고정 요소(48)를 경유하여 연결된다. 진공 라인 또는 채널(49)이 고정 요소(48)에 유사하게 연장되고, 이들의 단부는 연결 라인(46)으로 연결되는 이송 아암으로부터 향하여 이격된다.The transfer arm 41 is connected via a fastening element 48 with moving parts and unillustrated parts of the handling device. The vacuum line or channel 49 similarly extends to the fixing element 48, the ends of which are spaced apart from the transport arms which are connected to the connecting line 46.

이미 상세하게 설명된 바와 같이, 패드(43-1, 43-2 및 43-3)는 핸들링되는 웨이퍼 뿐만 아니라 리셉터클을 확실히 지지하기 위한 상태에 부합하도록 적용되는 형상, 매스 및 설계를 가질 수 있다.As already described in detail, the pads 43-1, 43-2, and 43-3 may have shapes, masses, and designs that are adapted to conform to the conditions for reliably supporting the receptacle as well as the wafer being handled.

본 발명의 다른 실시예에 따라, 진공 제어 요소(47)가 필요한 경우 나머지 패드에 인가되는 진공과 상이한 패드들 중 하나에 진공이 인가되도록 적용된다.According to another embodiment of the present invention, the vacuum control element 47 is adapted to apply a vacuum to one of the pads that is different from the vacuum applied to the remaining pads if necessary.

게다가, 개별 진공 제어 요소가 각각의 패드에 각각 제공될 수 있다. 진공 제어 장치(51)가 연결 라인(46)에 제공되는데, 예를 들면 이송 아암(41)과 부압 또는 진공 공급원(45) 사이에 제공된다. 이를 위한 일 실시예가 도 9에 개략적으로 도시되어 있다. 연결 라인(46)에서, 이송 아암(41)의 진공 라인(44)과 진공 공급원(45) 사이에, 두 개의 평행한 진공 라인(52 및 53)이 진공 제어 장치(51)에 제공되고 제 1 및 제 2 변환 스위치(54, 55)를 경유하여 진공 라인(46)으로 선택적으로 스위칭될 수 있다. 제 1 진공 라인(52)은 이송 아암(41)의 진공 라인(44)으로 변화하지 않고 진공 공급원(45)으로부터 이용가능하게 된 진공을 이송하는 작용을 한다. 대조적으로, 제 2 연결 라인(53)에서 진공을 변환시키는 진공 조절기(56)가 진공 제어 장치(51)의 제 2 진공 라인(53)에 제공된다.In addition, separate vacuum control elements can be provided on each pad, respectively. A vacuum control device 51 is provided in the connecting line 46, for example between the transfer arm 41 and the negative pressure or vacuum source 45. One embodiment for this purpose is schematically illustrated in FIG. 9. In the connecting line 46, between the vacuum line 44 of the transfer arm 41 and the vacuum source 45, two parallel vacuum lines 52 and 53 are provided to the vacuum control device 51 and the first And via vacuum switch 46 via second conversion switch 54, 55. The first vacuum line 52 serves to transfer the vacuum made available from the vacuum source 45 without changing to the vacuum line 44 of the transfer arm 41. In contrast, a vacuum regulator 56 for converting the vacuum in the second connection line 53 is provided in the second vacuum line 53 of the vacuum control device 51.

도시된 실시예에서 변환 스위치(54 및 55)의 스위칭은 지지 소프트웨어에 의해 제어되는 컴퓨터를 경유하여 실행되며 이 컴퓨터는 도면부호가 "57"이고 적절한 프로그램 지시를 진공 제어 장치(51)의 인터페이스(58)로 이용가능하며 적절한 프로그램 지시는 전선(59 및 60)을 경유하여 변환 스위치(54 및 55)로 제어 신호의 형태로 통과된다.In the illustrated embodiment, the switching of the changeover switches 54 and 55 is carried out via a computer controlled by the supporting software, which is denoted by " 57 " and gives appropriate program instructions to the interface of the vacuum control device 51 58 is available and appropriate program instructions are passed in the form of control signals to conversion switches 54 and 55 via wires 59 and 60.

프로그램에 의한 변환 스위치(54 및 55)를 제어하는 대신, 중량 센서의 출력 신호의 스위칭을 제어하는 것이 가능하고 중량 센서는 핸들링되는 대상물의 중량을 감지한다.Instead of controlling the conversion switches 54 and 55 by program, it is possible to control the switching of the output signal of the weight sensor and the weight sensor senses the weight of the object to be handled.

상대적으로 높은 중량을 가져 핸들링되는 대상물(42)로, 상대적으로 높은 진공, 즉 상대적으로 작은 절대 압력이 지지 장치(43-1, 43-2, 43-3)로 적용되고, 진공 조절기를 갖지 않는 제 1 진공 라인(52)은 도 9에 도시된 변환 스위치(54 및 55)의 스위치 위치를 경유하여 진공 공급원(45)으로 연결된다. 웨이퍼의 온도 처리의 경우, 이러한 대상물-전술된 바와 같이-은 하나 이상의 웨이퍼가 포함되고 예를 들면 흑연, 탄화 규소, 알루미늄 질화물로 제조되는 리셉터클이다.With the object 42 being handled with a relatively high weight, a relatively high vacuum, i.e. a relatively small absolute pressure, is applied to the support devices 43-1, 43-2, 43-3 and without the vacuum regulator. The first vacuum line 52 is connected to the vacuum source 45 via the switch positions of the changeover switches 54 and 55 shown in FIG. In the case of temperature processing of wafers, these objects—as described above—are receptacles that contain one or more wafers and are made of, for example, graphite, silicon carbide, aluminum nitride.

이 같은 흑연의 리셉터클은, 다른 실시예에 따라, 또한 탄화 규소 또는 알루미늄 질화물 재료로 코팅될 수 있다.Such a receptacle of graphite may, according to another embodiment, also be coated with silicon carbide or aluminum nitride material.

상대적으로 높은 압력에 의해, 리셉터클은 핸들 및 수송 프로세스 동안 패드(43-1, 43-2, 43-3)를 경유하여 지지 장치에 확실하게 가압되어 홀딩된다.Due to the relatively high pressure, the receptacle is firmly pressed and held to the support device via pads 43-1, 43-2, 43-3 during the handle and transport process.

그러나, 동일한 핸들링 장치로, 적은 중량을 가지는 대상물, 예를 들면 0.1 내지 20g의 중량을 가지는 반도체 웨이퍼가 이송 또는 핸들링되고 변환 스위치(54 및 55)는 패드(43-1, 43-2 및 43-3)가 제 2 연결 라인(53)을 경유하여 압력 공급원(45)과 소통되는 위치로 변환된다. 이러한 제 2 연결 라인(53)에서, 진공은 진공 조절기(56)에 의해 감소, 즉 절대 압력이 증가되어 적용 압력이 리셉터클 보다 웨이퍼에서 더 적다. 이러한 진공은 따라서 웨이퍼에 적용되고 매우 낮아서 패드에서의 매우 큰 진공에 의한 파손의 위험이 방지된다.However, with the same handling apparatus, a small weight object, for example a semiconductor wafer having a weight of 0.1 to 20 g, is transferred or handled, and the conversion switches 54 and 55 are pads 43-1, 43-2 and 43-. 3) is converted to a position in communication with the pressure source 45 via the second connecting line 53. In this second connecting line 53, the vacuum is reduced by the vacuum regulator 56, ie the absolute pressure is increased so that the application pressure is less in the wafer than in the receptacle. This vacuum is thus applied to the wafer and very low so that the risk of breakage by a very large vacuum in the pad is avoided.

도 10의 a) 및 도 10의 b)에서, 일 실시예는 예를 들면 다수의 패드(61-1, 61-2, 61-3, 62), 이들의 구조, 이들의 형상 및/또는 이들의 크기에 대해 서로 상이할 수 있는 양 측부에 각각의 지지 장치를 가지는 이송 아암(41)이 도시되어 있다. 도 10의 a)에 패드 구조물이 도시된 반면, 도 7의 실시예에 반드시 대응하며 적은 중량을 가지는 대상물, 예를 들면 웨이퍼를 지지하기 위해 제공되고 이송 아암(41)의 다른 측부는 패드 구조물을 가지며 예를 들면 오직 하나의 상태적으로 큰 표면으로 원형 패드를 가지며 이 패드는 오직 하나의 진공 라인에 연결되고 예를 들면 웨이퍼 리셉터클 또는 흑연 박스인 무거운 중량을 가지는 대상물이 제공된다.In Figures a) and 10b), one embodiment, for example, a plurality of pads 61-1, 61-2, 61-3, 62, structures thereof, shapes thereof and / or these A transport arm 41 is shown having respective support devices on both sides, which may differ from each other with respect to the size of. While the pad structure is shown in FIG. 10 a), the pad structure is provided correspondingly to the embodiment of FIG. 7 and is provided to support an object having a low weight, for example a wafer, and the other side of the transfer arm 41 is designed to support the pad structure. A circular pad with only one stately large surface, for example, which is connected to only one vacuum line and is provided with a heavy weight object, for example a wafer receptacle or a graphite box.

회전 화살표(63)에 의해 표시된 바와 같이, 이러한 실시예로 이송 아암(41)이 180°만큼 축선(64)에 대해 회전할 수 있어, 더 큰 중량을 가진 대상물 또는 더 작은 중량을 가진 대상물이 지지되고 핸들링되는지에 따라, 이송 아암(41)의 두 개의 측부 중 하나가 선택적으로 이용될 수 있다.As indicated by the rotation arrow 63, in this embodiment the transfer arm 41 can rotate about the axis 64 by 180 ° such that an object with a larger weight or an object with a smaller weight is supported. Depending on the handling and handling, one of the two sides of the transfer arm 41 may optionally be used.

핸들링 장치가 반도체 산업에 이용되는 경우, 이들의 재료, 및 특히 이송 아암(41)의 재료는 이러한 적용을 위해 적절하여야 하며 흑연, 세라믹 및/또는 수정, 이 재료의 조합을 포함하는 것이 바람직하다. 이러한 재료는 더욱이 프로세스 챔버의 로딩 및 언로딩은 700 ℃까지의 온도로 실행될 수 있다. 더 높은 탄성도의 모듈에 의해, 흑연 및 세라믹은 더 높은 강도를 가지는 장점이 있으며 즉 , 비록 200 g의 중량을 가지는 리셉터클이 배치되는 경우, 이송 아암(41)이 오직 약간만 구브려진다. 이송 아암(41)의 표면은 가능한 부드러워야 한다. 이송 아암(41)의 단일 설계가 가능하며 이는 세척을 촉진시키고 프로세스 챔버로의 입자의 수송 가능성을 감소시킨다.When handling devices are used in the semiconductor industry, their materials, and in particular the materials of the transfer arm 41, should be suitable for this application and preferably include graphite, ceramics and / or quartz, combinations of these materials. Such materials furthermore allow loading and unloading of the process chamber to a temperature of up to 700 ° C. By means of a module of higher elasticity, graphite and ceramics have the advantage of having higher strength, that is, even if a receptacle with a weight of 200 g is placed, the transfer arm 41 is only slightly bent. The surface of the transfer arm 41 should be as smooth as possible. A single design of the transfer arm 41 is possible, which facilitates cleaning and reduces the possibility of transporting particles into the process chamber.

비록 본 발명은 바람직한 실시예에 의해 설명되었지만 이 실시예에 제한되는 것은 아니다. 예를 들면, 캐리어(10)는 각도진 형상을 가질 수 있다. 유사하게, 리세스는 각도진 형상을 가질 수 있다. 게다가, 다수의 리세스가 일곱개로 제한되지 않는다. 또한 원형 리세스를 가지는 캐리어로 리세스의 직경은 100 mm 또는 150 mm의 웨이퍼도 수용할 수 있도록 52 mm와 상이할 수 있다. 캐리어는, 예를 들면 상이한 크기를 가지는 리세스를 가질 수 있다. 더욱이, 상술된 실시예의 개별 특징은 어떠한 양립되는 방식으로 서로 조합되거나 교환될 수 있다.Although the present invention has been described by the preferred embodiment, it is not limited to this embodiment. For example, the carrier 10 may have an angular shape. Similarly, the recess may have an angular shape. In addition, the number of recesses is not limited to seven. In addition, the carrier having a circular recess may have a diameter of 52 mm that can accommodate 100 mm or 150 mm wafers. The carrier may, for example, have recesses with different sizes. Moreover, individual features of the above-described embodiments may be combined or exchanged with one another in any compatible manner.

본 발명의 핸들링 장치는 또한 설명된 실시예의 특징 및 설계로 제한되지 않는다. 예를 들면, 흡입이 베루누이 효과로 실행되는, 즉 진공이 홀딩 장치 또는 패드로 인가되어 버늘리 효과가 발생되는 방식으로, 대상물, 예를 들면 웨이퍼 또는 리셉터클을 지지 장치에 지지하는 것도 가능하다. 이러한 경우, 수평 방향으로의 가속력은 추가 보조 수단을 경유하여 제공되어야 하며, 이 추가 보조 수단은 예를 들면 대상물이 이송 아암(41)에 대해 제위치에 고정될 수 있도록 경유하는 엣지 경계부일 수 있다.The handling device of the present invention is also not limited to the features and designs of the described embodiments. For example, it is also possible to support an object, for example a wafer or a receptacle, to the support device in such a way that the suction is carried out in the Bernoulli effect, i.e., a vacuum is applied to the holding device or pad and the burley effect occurs. In this case, the acceleration force in the horizontal direction must be provided via additional auxiliary means, which can be for example an edge boundary via which the object can be fixed in position with respect to the transfer arm 41. .

Claims (51)

열 처리를 위해 디스크형 대상물, 바람직하게는 반도체 웨이퍼를 수용하기 위한 장치에 있어서,Apparatus for receiving a disk-like object, preferably a semiconductor wafer, for heat treatment, 상기 대상물을 각각 수용하기 위한 두 개 이상의 리세스를 가지는 캐리어를 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And a carrier having two or more recesses, each for receiving the object. 제 1 항에 있어서,The method of claim 1, 하나 이상의 리세스를 덮기위한 하나 이상의 커버를 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.An apparatus for accommodating a disc shaped object, characterized by one or more covers for covering one or more recesses. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 캐리어 및/또는 하나 이상의 커버가 흑연, 사파이어, 석영, 붕소 질화물, 알루미늄 질화물, 규소, 탄화규소, 질화규소, 세라믹 또는 금속으로 제조되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And said carrier and / or one or more covers are made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 캐리어 및/또는 커버는 0.2J/gK 및 0.8J/gK 사이의 열 용량을 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the carrier and / or cover has a heat capacity between 0.2 J / gK and 0.8 J / gK. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 캐리어 및/또는 커버는 10 W/mK 및 180 W/mK 사이의 열 용량을 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And said carrier and / or cover has a heat capacity between 10 W / mK and 180 W / mK. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 커버 및/또는 상기 캐리어의 적어도 일 부분이 코팅되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.At least a portion of the cover and / or the carrier is coated. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 커버 및/또는 상기 캐리어의 적어도 일 부분이 투명한 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And at least a portion of said cover and / or said carrier is transparent. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 서로 상이한 가스 분위기가 상기 개별 리세스에 제공되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.A gas atmosphere different from each other is provided in the individual recesses. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 대상물이 하나의 평면에 배치되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.Apparatus for receiving a disc-shaped object, characterized in that the object is disposed in one plane. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 대상물이 서로 평행하고 서로로부터 이격되어 있는 두 개 이상의 평면에 배치되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the objects are arranged in at least two planes parallel to one another and spaced apart from each other. 제 10 항에 있어서,The method of claim 10, 상기 두 개 이상의 리세스가 상이한 깊이를 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And said at least two recesses have different depths. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 하나 이상의 대상물이 상기 리세스의 베이스 표면에 평평하게 놓이는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.At least one object lies flat on the base surface of the recess. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 10, 하나 이상의 대상물이 상기 리세스의 베이스 표면으로부터 이격되어 있는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And at least one object is spaced apart from the base surface of the recess. 제 13 항에 있어서,The method of claim 13, 하나 이상의 대상물이 지지 요소 상에 놓이는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And at least one object lies on a support element. 제 13 항에 있어서,The method of claim 13, 하나 이상의 대상물이 엣지 영역에 놓이는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And at least one object lies in an edge area. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 하나 이상의 리세스가 적어도 리세스의 외측 영역에 원뿔형상을 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And at least one recess has a conical shape at least in the outer region of the recess. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 하나 이상의 리세스가 오목 형상을 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.Wherein the at least one recess has a concave shape. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 두 개 이상의 리세스가 상이한 크기를 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.At least two recesses having different sizes. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 두 개 이상의 대상물이 상이한 크기를 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.An apparatus for accommodating a disc shaped object, characterized in that two or more objects have different sizes. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 대상물은 조합 반도체인 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the object is a combination semiconductor. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 두 개 이상의 대상물이 상이한 재료를 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.An apparatus for accommodating a disc shaped object, characterized in that two or more objects have different materials. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 대상물이 적어도 부분적으로 코팅되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.Apparatus for receiving a disc-shaped object, characterized in that the object is at least partially coated. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 대상물 재료는 동일하지 않은 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the object material is not the same. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 대상물 및/또는 커버를 구비한 캐리어의 로딩을 위한 지지 핀을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.An apparatus for receiving a disk-shaped object, characterized by a support pin for loading the carrier with the object and / or cover. 제 24 항에 있어서,The method of claim 24, 상기 지지 핀은 상기 캐리어를 관통하는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the support pin passes through the carrier. 제 24 항 또는 제 25 항에 있어서,The method of claim 24 or 25, 상기 핀이 상이한 높이를 가지는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the pins have different heights. 제 24 항 내지 제 26 항 중 어느 한 항에 있어서,The method according to any one of claims 24 to 26, 상기 커버용 지지 핀이 상기 대상물용 보다 더 높은 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the support pin for the cover is higher than for the object. 제 24 항 내지 제 27 항 중 어느 한 항에 있어서,The method according to any one of claims 24 to 27, 상기 커버용 하나 이상의 지지 핀이 상기 캐리어의 외부에 제공되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.At least one support pin for the cover is provided on the outside of the carrier. 제 24 항 내지 제 28 항 중 어느 한 항에 있어서,The method according to any one of claims 24 to 28, 상기 캐리어 및 상기 지지 핀은 수직 방향으로 서로에 대해 가동되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And said carrier and said support pin are movable relative to each other in a vertical direction. 제 29 항에 있어서,The method of claim 29, 상기 지지 핀이 상기 리세스로의 상기 대상물의 배치 및/또는 상기 캐리어상의 상기 커버의 배치를 위해 수직 하방으로 가동되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the support pin is moved vertically downward for placement of the object into the recess and / or placement of the cover on the carrier. 제 29 항에 있어서,The method of claim 29, 상기 지지 핀이 상기 리세스로부터 대상물을 상슬시키고 및/또는 상기 캐리어로부터 상기 커버를 상승시키기 위해 수직 상방으로 가동되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the support pin is movable vertically upward to lift the object from the recess and / or lift the cover from the carrier. 제 29 항에 있어서,The method of claim 29, 상기 캐리어가 수직으로 가동되는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the carrier is operated vertically. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 리세스 및/또는 지지 핀에 대상물을 배치, 및/또는 리세스 및/또는 지지 핀으로부터 대상물의 제거를 위한 흡입 장치를 가지는 그리퍼를 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.A gripper having a suction device for placing an object on a recess and / or support pin, and / or removing the object from the recess and / or support pin. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 수직 축선에 대해 상기 캐리어의 회전을 위한 회전 장치를 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And a rotating device for rotation of said carrier about a vertical axis. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 캐리어가 프로세스 챔버내에 로딩될 수 있는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And said carrier can be loaded into a process chamber. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 상기 캐리어가 상기 프로세스 챔버의 외부에 로딩될 수 있는 것을 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.And the carrier can be loaded outside of the process chamber. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 자동 로딩 및 언로딩 장치를 특징으로 하는, 디스크형 대상물을 수용하기 위한 장치.An apparatus for accommodating disc-shaped objects, characterized by an automatic loading and unloading apparatus. 진공을 경유하여 핸들링되는 하나 이상의 대상물을 지지하기 위한 하나 이상의 지지 장치가 제공되는 하나 이상의 이송 아암을 가지는 핸들링 장치에 있어서,A handling device having at least one transport arm provided with at least one support device for supporting at least one object to be handled via a vacuum, 상기 대상물의 중량의 함수로서 상기 진공의 변경을 위한 진공 제어 장치를 특징으로 하는, 핸들링 장치.And a vacuum control device for changing said vacuum as a function of the weight of said object. 제 38 항에 있어서,The method of claim 38, 상기 진공 제어 장치는 진공 공급원 및 진공 변환 장치를 포함하는 것을 특징으로 하는, 핸들링 장치.And said vacuum control device comprises a vacuum source and a vacuum converting device. 제 38 항 또는 제 39 항에 있어서,The method of claim 38 or 39, 상기 진공 변환 장치에는 진공 조절기를 갖는 라인 및 진공 조절기를 갖지 않는 라인 사이의 변환을 위해 스위치가 제공되는 것을 특징으로 하는, 핸들링 장치.And said vacuum converter is provided with a switch for conversion between a line with a vacuum regulator and a line without a vacuum regulator. 제 38 항 내지 제 40 항 중 어느 한 항에 있어서,41. The method of any of claims 38-40. 상기 진공 제어 장치는 두 개 이상의 개별 진공 시스템을 가지는 것을 특징으로 하는, 핸들링 장치.Said vacuum control device having at least two separate vacuum systems. 전술한 항 중 어느 한 항에 있어서,The method according to any one of the preceding claims, 핸들링되고 상이한 중량을 가지는 상기 대상물에 대한 진공 비율이 10 내지 10,000의 범위에 있는 것을 특징으로 하는, 핸들링 장치.A handling device, characterized in that the vacuum ratio for the object being handled and having a different weight is in the range of 10 to 10,000. 제 38 항 내지 제 42 항 중 어느 한 항에 있어서,43. The method of any of claims 38-42, 적은 중량을 가지는 대상물은 반도체 웨이퍼이며, 큰 중량을 가지는 대상물은 반도체 웨이퍼 리셉터클인 것을 특징으로 하는, 핸들링 장치.A handling device, characterized in that the less weighted object is a semiconductor wafer and the greater weighted object is a semiconductor wafer receptacle. 제 38 항 내지 제 43 항 중 어느 한 항에 있어서,The method according to any one of claims 38 to 43, 상기 지지 장치는 상이한 대상물에 대해 상이하게 실시되는 것을 특징으로 하는, 핸들링 장치.Handling device, characterized in that the support device is implemented differently for different objects. 제 38 항 내지 제 44 항 중 어느 한 항에 있어서,The method according to any one of claims 38 to 44, 3개의 지점 지지 장치가 제공되는 것을 특징으로 하는, 핸들링 장치.A handling device, characterized in that three point support devices are provided. 제 38 항 내지 제 45 항 중 어느 한 항에 있어서,The method according to any one of claims 38 to 45, 상기 지지 장치가 상기 이송 아암의 양 측부에 제공되는 것을 특징으로 하는, 핸들링 장치.And the support device is provided on both sides of the transfer arm. 제 38 항 내지 제 46 항에 있어서,The method of claim 38 to 46, 상기 이송 아암의 일 측부는 큰 중량을 가진 대상물용지지 장치를 가지며 상기 이송 아암의 다른 측부는 적은 중량을 가진 대상물용 지지 장치를 가지는 것을 특징으로 하는, 핸들링 장치.One side of the transfer arm having a support for the object having a large weight and the other side of the transfer arm having a support for the object with a small weight. 제 46 항 또는 제 47 항에 있어서,48. The method of claim 46 or 47, 상기 이송 아암은 종방향 축선에 대해 약 180° 회전가능한 것을 특징으로 하는, 핸들링 장치.And said transfer arm is rotatable about 180 [deg.] About the longitudinal axis. 제 38 항 내지 제 48 항 중 어느 한 항에 있어서,49. The method of any of claims 38-48, 두 개 이상의 이송 아암이 제공되고, 적어도 하나의 이송 아암이 큰 중량을 가지는 대상물의 지지를 위해 제공되고 적어도 다른 하나의 이송 아암이 적은 중량을 가지는 대상물의 지지를 위해 제공되는 것을 특징으로 하는, 핸들링 장치.Two or more transfer arms are provided, wherein at least one transfer arm is provided for the support of the object having a large weight and at least one other transfer arm is provided for the support of the object having a low weight. Device. 제 38 항 내지 제 49 항 중 어느 한 항에 있어서,The method according to any one of claims 38 to 49, 상기 진공 제어 장치가 예정된 프로그램 시컨스의 함수로서 제어가능한 것을 특징으로 하는, 핸들링 장치.And the vacuum control device is controllable as a function of a predetermined program sequence. 제 38 항 내지 제 50 항 중 어느 한 항에 있어서,The method according to any one of claims 38 to 50, 핸들링되는 상기 대상물의 중량을 측정하는 센서를 더 포함하고, 상기 센서의 출력 신호로 상기 진공 제어 장치가 제어될 수 있는 것을 특징으로 하는, 핸들링 장치.And a sensor for measuring the weight of the object to be handled, wherein the vacuum control device can be controlled by an output signal of the sensor.
KR1020037014971A 2001-05-18 2002-05-02 Device for receiving plate-shaped objects and device for handling said objects KR100885343B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE10124647 2001-05-18
DE10124647.1 2001-05-18
DE10156441.4 2001-11-16
DE10156441A DE10156441A1 (en) 2001-05-18 2001-11-16 Device to receive semiconductor wafers for thermal treatment comprises a support with recesses for holding the wafers
PCT/EP2002/004790 WO2002095795A2 (en) 2001-05-18 2002-05-02 Device for receiving plate-shaped objects

Publications (2)

Publication Number Publication Date
KR20030093358A true KR20030093358A (en) 2003-12-06
KR100885343B1 KR100885343B1 (en) 2009-02-26

Family

ID=7685532

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037014971A KR100885343B1 (en) 2001-05-18 2002-05-02 Device for receiving plate-shaped objects and device for handling said objects

Country Status (3)

Country Link
US (1) US20040126213A1 (en)
KR (1) KR100885343B1 (en)
DE (1) DE10156441A1 (en)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003292147A1 (en) 2002-12-23 2004-07-22 Mattson Thermal Products Gmbh Method for determining the temperature of a semiconductor wafer in a rapid thermal processing system
DE10329107B4 (en) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Method for determining at least one state variable from a model of an RTP system
US20040225399A1 (en) * 2003-04-28 2004-11-11 Kuo-Lang Chen Wafer assessment apparatus for a single wafer machine and method thereof
US7338836B2 (en) * 2003-11-05 2008-03-04 California Institute Of Technology Method for integrating pre-fabricated chip structures into functional electronic systems
JP2005158860A (en) * 2003-11-21 2005-06-16 Seiko Epson Corp Method of manufacturing electro-optical device and annealing apparatus for transparent substrate
EP1719166A1 (en) * 2004-02-19 2006-11-08 Koninklijke Philips Electronics N.V. Method of making a small substrate compatible for processing
US7764637B2 (en) * 2004-04-05 2010-07-27 Daniel J. LIN Peer-to-peer mobile instant messaging method and device
US7672255B2 (en) 2004-04-05 2010-03-02 Oomble, Inc. Mobile instant messaging conferencing method and system
US7773550B2 (en) * 2004-04-05 2010-08-10 Daniel J. LIN Peer-to-peer mobile data transfer method and device
DE102007023970A1 (en) * 2007-05-23 2008-12-04 Aixtron Ag Apparatus for coating a plurality of densely packed substrates on a susceptor
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
EP2764536A1 (en) * 2011-10-06 2014-08-13 Roth & Rau AG Substrate turning device
US9099514B2 (en) * 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10309012B2 (en) * 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (en) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (en) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. Method for depositing a gap filling layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (en) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (en) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (en) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (en) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 Thin film deposition method with respect to substrate
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TWI845607B (en) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TWI838458B (en) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for plug fill deposition in 3-d nand applications
TWI842826B (en) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (en) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP7527928B2 (en) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021111783A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (en) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
JP2021177545A (en) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing system for processing substrates
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202146699A (en) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
TW202229601A (en) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (en) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3539759A (en) * 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
JPS4930319B1 (en) * 1969-08-29 1974-08-12
FR2628984B1 (en) * 1988-03-22 1990-12-28 Labo Electronique Physique PLANETARY EPITAXY REACTOR
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5201653A (en) * 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
US5203547A (en) * 1990-11-29 1993-04-20 Canon Kabushiki Kaisha Vacuum attraction type substrate holding device
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
KR100245260B1 (en) * 1996-02-16 2000-02-15 엔도 마코토 Wafer heating apparatus of semiconductor manufacture device
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5788777A (en) * 1997-03-06 1998-08-04 Burk, Jr.; Albert A. Susceptor for an epitaxial growth factor
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6652662B1 (en) * 1998-04-03 2003-11-25 Tokyo Electron Limited Substrate surface processing apparatus and method
JP3764278B2 (en) * 1998-07-13 2006-04-05 株式会社東芝 Substrate heating apparatus, substrate heating method, and substrate processing method
US6113165A (en) * 1998-10-02 2000-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-sensing wafer holder and method of using
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP2002134484A (en) * 2000-10-19 2002-05-10 Asm Japan Kk Semiconductor substrate holding device
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance

Also Published As

Publication number Publication date
US20040126213A1 (en) 2004-07-01
KR100885343B1 (en) 2009-02-26
DE10156441A1 (en) 2002-11-21

Similar Documents

Publication Publication Date Title
KR100885343B1 (en) Device for receiving plate-shaped objects and device for handling said objects
US20060245906A1 (en) Device for accommodating disk-shaped objects and apparatus for handling objects
US20190304825A1 (en) Dual temperature heater
US7077912B2 (en) Semiconductor manufacturing system
CN1294617C (en) Assembly comprising heat-distribution plate and edge support
CN110491756A (en) Real-time monitoring with closed loop grasping force control
KR20170058280A (en) Wafer boat support table and heat treatment apparatus using the same
KR20050028016A (en) Loading and unloading device for a coating unit
US9177850B2 (en) Substrate carrying mechanism, substrate processing apparatus, and semiconductor device manufacturing method
JP4637475B2 (en) Semiconductor substrate transfer system using removable susceptor, and semiconductor substrate transfer method
CN105493231A (en) Circular lamp arrays
US6051512A (en) Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
JP2020526040A (en) Apparatus for transporting a substrate, processing apparatus having a receiving plate adapted to a substrate carrier of such apparatus, and method for processing a substrate using the apparatus for transporting the substrate, and processing system
US20100326797A1 (en) Carrier for transporting solar cell substrates
CN107749407B (en) Wafer bearing disc and supporting structure thereof
JPS6317521A (en) Carrying method of wafer boat
US7141765B2 (en) Heat treating device
JPH0729843A (en) Heat treatment system
KR102413349B1 (en) equipment for deposition thin film
JPH1050802A (en) Substrate processor
JP3575567B2 (en) Semiconductor wafer vapor phase growth deposition method and vertical heat treatment apparatus
JP2986739B2 (en) Heat treatment method in vertical heat treatment device
JP2711247B2 (en) Semiconductor manufacturing equipment
JPH0587129B2 (en)
WO2013027605A1 (en) Conveyance mechanism

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120208

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee