KR20020015167A - Method for foming self aligned contact of semiconductor device - Google Patents

Method for foming self aligned contact of semiconductor device Download PDF

Info

Publication number
KR20020015167A
KR20020015167A KR1020000048338A KR20000048338A KR20020015167A KR 20020015167 A KR20020015167 A KR 20020015167A KR 1020000048338 A KR1020000048338 A KR 1020000048338A KR 20000048338 A KR20000048338 A KR 20000048338A KR 20020015167 A KR20020015167 A KR 20020015167A
Authority
KR
South Korea
Prior art keywords
film
layer
insulating film
gate patterns
forming
Prior art date
Application number
KR1020000048338A
Other languages
Korean (ko)
Inventor
이윤재
윤재만
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1020000048338A priority Critical patent/KR20020015167A/en
Publication of KR20020015167A publication Critical patent/KR20020015167A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

PURPOSE: A method for forming a self-aligned contact of a semiconductor device is provided to increase a lower area of a contact hole and to reduce contact resistance between a contact pad and a lower conductive layer, by forming a spacer composed of a silicon oxide layer and a silicon nitride layer on both sidewalls of a gate pattern and by additionally etching the lower portion of the silicon oxide layer so that an undercut is formed. CONSTITUTION: A plurality of gate patterns(109) are formed on a semiconductor substrate(100). The first insulation layer is formed on the semiconductor substrate including the gate patterns. The second insulation layer is formed on the first insulation layer. An interlayer dielectric covering the gate patterns is formed on the second insulation layer. The interlayer dielectric is patterned to form an opening exposing the second insulation layer between the gate patterns. The second insulation layer is anisotropically etched until the first insulation layer on the bottom of the opening is exposed so that a spacer(115a) is formed on the sidewall of the gate patterns. The exposed first insulation layer is isotropically etched to form the undercut in the lower portion of the opening. A conductive layer filling the opening is formed on the resultant structure having the undercut.

Description

반도체 장치의 자기 정렬 콘택 형성 방법{METHOD FOR FOMING SELF ALIGNED CONTACT OF SEMICONDUCTOR DEVICE}METHOD FOR FOMING SELF ALIGNED CONTACT OF SEMICONDUCTOR DEVICE}

본 발명은 반도체 장치의 제조 방법에 관한 것으로, 좀더 구체적으로는 반도체 장치의 자기 정렬 콘택 형성 방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a self-aligned contact of a semiconductor device.

반도체 장치의 고집적화에 따른 디자인 룰(degine rule)의 감소로 인해 단위 소자의 크기는 점점 감소하고 있다. 이에 따라, 게이트 패턴의 크기도 축소시키는 것이 요구되나, 게이트 패턴을 소정 크기 이하로 형성하면 다이나믹 마진(dynamic margin)이 취약해지는 문제가 발생한다. 따라서, 반도체 소자를 제조할 때 게이트 패턴의 크기는 최대한 증가시키는 방향으로 공정을 진행하게 된다.Due to the reduction of the design rule due to the high integration of semiconductor devices, the size of unit devices is gradually decreasing. Accordingly, it is required to reduce the size of the gate pattern. However, when the gate pattern is formed to a predetermined size or less, a dynamic margin becomes weak. Therefore, when manufacturing a semiconductor device, the process is performed in a direction in which the size of the gate pattern is increased as much as possible.

이와 같이, 디자인 룰의 감소에도 불구하고 게이트 패턴의 크기는 일정한 수준으로 유지됨에 따라, 자기 정렬 콘택 형성 공정에서 게이트 패턴들 사이의 간격이 감소하게 되어, 콘택홀 형성시 오픈 마진(open margin)이 감소하는 문제가 발생한다.As such, despite the reduction of design rules, the size of the gate pattern is maintained at a constant level, thereby reducing the spacing between the gate patterns in the self-aligned contact forming process. There is a decreasing problem.

이하, 도 1을 참조하여 종래 기술의 문제점을 설명한다.Hereinafter, the problems of the prior art will be described with reference to FIG. 1.

도 1a 내지 도 1d는 종래 기술에 의한 반도체 장치의 자기 정렬 콘택 형성 방법을 설명하기 위한 단면도들이다.1A to 1D are cross-sectional views illustrating a method for forming a self-aligned contact of a semiconductor device according to the prior art.

도 1a를 참조하면, 반도체 기판(10)의 소정 영역을 활성 영역으로 한정하기 위한 소자분리막(12)을 형성한다. 소자분리막(12)이 형성된 반도체 기판(10) 전면에 게이트 산화막(14), 폴리실리콘막(15), 텅스텐 실리사이드막(16), 실리콘 질화막(17) 및 HTO(high temperature oxide)막(18)이 차례로 적층된 게이트 패턴(19)을 형성한다. 게이트 패턴(19) 양옆에 도전형의 불순물 이온을 주입하여 소오스/드레인(20) 영역을 형성한다.Referring to FIG. 1A, an isolation layer 12 is formed to define a predetermined region of the semiconductor substrate 10 as an active region. The gate oxide film 14, the polysilicon film 15, the tungsten silicide film 16, the silicon nitride film 17, and the high temperature oxide (HTO) film 18 are formed over the semiconductor substrate 10 on which the device isolation film 12 is formed. The gate patterns 19 stacked in this order are formed. Conductive impurity ions are implanted on both sides of the gate pattern 19 to form source / drain 20 regions.

도 1b 및 도 1c를 참조하면, 게이트 패턴(19)을 포함하는 반도체 기판(10) 전면에 실리콘 질화막(22)을 형성한다. 실리콘 질화막(22) 상에 게이트 패턴(19)을 덮는 층간절연막(26)을 형성한다. 층간절연막(26)을 패터닝하여 게이트 패턴(19)들 사이의 실리콘 질화막(22)을 노출시키는 콘택홀(27)을 형성한다. 이때, 게이트 패턴(19)들 상부에는 층간절연막 패턴(26a)이 남아있게 된다. 소오스/드레인(20) 영역이 노출되도록 실리콘 질화막(220)을 이방성 식각하여 게이트 패턴(19)의 양측벽에 스페이서(22b)를 형성한다.1B and 1C, a silicon nitride film 22 is formed on the entire surface of the semiconductor substrate 10 including the gate pattern 19. An interlayer insulating film 26 covering the gate pattern 19 is formed on the silicon nitride film 22. The interlayer insulating layer 26 is patterned to form a contact hole 27 exposing the silicon nitride layer 22 between the gate patterns 19. At this time, the interlayer insulating layer pattern 26a remains on the gate patterns 19. The silicon nitride film 220 is anisotropically etched to expose the source / drain 20 region to form spacers 22b on both sidewalls of the gate pattern 19.

도 1d를 참조하면, 콘택홀(27)이 형성된 결과물 전면에 콘택홀(27)을 채우는 폴리실리콘막을 형성한다. 층간절연막 패턴(26a)이 노출되도록 폴리실리콘막을 평탄화 식각하여 콘택 패드(30)를 형성한다.Referring to FIG. 1D, a polysilicon film filling the contact hole 27 is formed on the entire surface of the resultant in which the contact hole 27 is formed. The contact pad 30 is formed by planarizing etching the polysilicon layer so that the interlayer insulating layer pattern 26a is exposed.

이와 같은 종래 기술에 의하면, 디자인 룰이 감소하여 게이트 패턴(19)들 사이의 간격이 점점 작아지는 반면에 콘택홀(27) 형성을 위한 식각 공정에서 게이트 패턴(19)이 노출되는 것을 방지하기 위한 실리콘 질화막(22)은 충분한 두께로 형성되어야 하므로, 콘택홀(27)의 오픈 마진은 점점 감소하게 된다.According to the related art, as the design rule decreases, the gap between the gate patterns 19 becomes smaller, while the gate pattern 19 is prevented from being exposed in the etching process for forming the contact hole 27. Since the silicon nitride film 22 should be formed to a sufficient thickness, the open margin of the contact hole 27 is gradually reduced.

뿐만 아니라, 콘택 패드(30)와 소오스/드레인 영역(20)과의 접촉 면적의 감소로 인해 콘택 패드(30)의 접촉 저항이 증가하게 되므로, 소자의 전기적인 특성을 저하시키는 문제가 발생한다.In addition, since the contact resistance of the contact pad 30 is increased due to a decrease in the contact area between the contact pad 30 and the source / drain region 20, a problem of deteriorating electrical characteristics of the device occurs.

본 발명은 상술한 제반 문제를 해결하기 위해 제안된 것으로, 콘택 패드와하부 도전막간의 접촉 면적을 최대화할 수 있는 자기 정렬 콘택 형성 방법을 제공하는 데 그 목적이 있다.The present invention has been proposed to solve the above-mentioned problems, and an object thereof is to provide a method for forming a self-aligned contact capable of maximizing a contact area between a contact pad and a lower conductive film.

도 1a 내지 도 1d는 종래 기술에 의한 반도체 장치의 자기 정렬 콘택 형성 방법을 설명하기 위한 단면도들이다.1A to 1D are cross-sectional views illustrating a method for forming a self-aligned contact of a semiconductor device according to the prior art.

도 2a 내지 도 2f는 본 발명의 실시예에 의한 반도체 장치의 자기 정렬 콘택 형성 방법을 설명하기 위한 단면도들이다.2A to 2F are cross-sectional views illustrating a method of forming a self-aligned contact of a semiconductor device according to an embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

10, 100 : 반도체 기판 12, 102 : 소자분리막10, 100: semiconductor substrate 12, 102: device isolation film

14, 104 : 게이트 산화막 15, 105 : 폴리실리콘막14, 104: gate oxide film 15, 105: polysilicon film

16, 106 : 텅스텐 실리사이드막 17, 107 : 게이트 캡핑막16, 106: tungsten silicide film 17, 107: gate capping film

18, 108 : 마스크층 19, 109 : 게이트 패턴18 and 108 mask layers 19 and 109 gate patterns

22 : 실리콘 질화막 115 : 제 1 절연막22 silicon nitride film 115 first insulating film

116 : 제 2 절연막 26, 118 : 층간절연막116: second insulating film 26, 118: interlayer insulating film

22a, 115a, 116a : 스페이서 27, 123 : 콘택홀22a, 115a, 116a: spacer 27, 123: contact hole

30, 126 : 콘택 패드30, 126: contact pad

(구성)(Configuration)

상술한 목적을 달성하기 위하여 본 발명은, 반도체 기판 상에 복수개의 게이트 패턴들을 형성한다. 게이트 패턴들을 포함하는 반도체 기판 전면에 콘포말한 제 1 절연막을 형성하고, 제 1 절연막 상에 제 1 절연막과 식각선택비가 높은 제 2 절연막을 형성한다. 제 2 절연막 상에 게이트 패턴들을 덮는 층간절연막을 형성한다. 층간절연막을 패터닝하여 게이트 패턴들 사이의 제 2 절연막을 노출시키는 오프닝을 형성한다. 오프닝 바닥의 제 1 절연막이 노출될 때까지 제 2 절연막을 이방성 식각하여 스페이서를 형성한다. 노출된 제 1 절연막을 등방성 식각으로 제거하여 오프닝의 하단에 언더컷을 형성한다. 언더컷이 형성된 결과물 전면에 도전막을 형성한 후 평탄화 식각하여 콘택 패드를 형성한다.In order to achieve the above object, the present invention forms a plurality of gate patterns on a semiconductor substrate. A conformal first insulating film is formed over the entire semiconductor substrate including the gate patterns, and a second insulating film having a high etching selectivity is formed on the first insulating film. An interlayer insulating film covering the gate patterns is formed on the second insulating film. The interlayer insulating film is patterned to form an opening that exposes the second insulating film between the gate patterns. The second insulating film is anisotropically etched until the first insulating film of the opening bottom is exposed to form a spacer. The exposed first insulating film is removed by isotropic etching to form an undercut at the bottom of the opening. After the conductive film is formed on the entire surface of the resulting undercut, the contact pad is formed by flattening etching.

여기서, 상기 제 1 절연막은 실리콘 산화막으로 형성하고, 상기 제 2 절연막은 실리콘 질화막으로 형성하는 것이 바람직하다.The first insulating film may be formed of a silicon oxide film, and the second insulating film may be formed of a silicon nitride film.

(실시예)(Example)

이하, 도 2를 참조하여 본 발명의 실시예를 상세히 설명한다.Hereinafter, an embodiment of the present invention will be described in detail with reference to FIG. 2.

도 2a 내지 도 2g는 본 발명의 실시예에 의한 반도체 장치의 자기 정렬 콘택 형성 방법을 설명하기 위한 단면도들이다.2A to 2G are cross-sectional views illustrating a method of forming a self-aligned contact of a semiconductor device according to an embodiment of the present invention.

도 2a를 참조하면, 반도체 기판(100)의 소정 영역을 활성 영역으로 한정하기위한 소자분리막(102)을 형성한다. 소자분리막(102)은 통상의 로코스(local oxidation of silicon; LOCOS) 공정 또는 트렌치 소자분리 공정을 사용하여 형성한다. 소자분리막(102)이 형성된 반도체 기판(100) 전면에 게이트 산화막(104)을 형성한다. 게이트 산화막(104) 상에 폴리실리콘막(105)과 텅스텐 실리사이드막(106)을 차례로 적층시켜 폴리사이드(polycide) 구조의 게이트 전극막을 형성한다. 게이트 전극막 상에 게이트 캡핑막(107) 및 마스크층(108)을 차례로 형성한다. 게이트 전극막이 노출되는 것을 방지하기 위한 게이트 캡핑막(107)은 실리콘 질화막으로 형성하고, 게이트 패턴 형성용 식각마스크로 사용하기 위한 마스크층(108)은 HTO(high temperature oxide)막으로 형성한다. 통상적인 사진 공정으로 마스크층(108)을 패터닝한 후 패터닝된 마스크층(108)을 식각마스크로 사용하여 게이트 캡핑막(107), 게이트 전극막(105,106) 및 게이트 산화막(104)을 차례로 식각하여 게이트 패턴(109)을 형성한다. 게이트 패턴(109) 양옆의 활성 영역에 도전형의 불순물 이온을 주입하여 소오스/드레인 영역(112)을 형성한다.Referring to FIG. 2A, an isolation layer 102 is formed to limit a predetermined region of the semiconductor substrate 100 to an active region. The device isolation film 102 is formed using a conventional local oxidation of silicon (LOCOS) process or a trench device isolation process. A gate oxide film 104 is formed on the entire surface of the semiconductor substrate 100 on which the device isolation film 102 is formed. The polysilicon film 105 and the tungsten silicide film 106 are sequentially stacked on the gate oxide film 104 to form a gate electrode film having a polycide structure. The gate capping film 107 and the mask layer 108 are sequentially formed on the gate electrode film. The gate capping layer 107 for preventing the gate electrode layer from being exposed is formed of a silicon nitride layer, and the mask layer 108 for use as an etching mask for forming a gate pattern is formed of a high temperature oxide (HTO) layer. After the mask layer 108 is patterned by a conventional photolithography process, the gate capping layer 107, the gate electrode layers 105 and 106, and the gate oxide layer 104 are sequentially etched using the patterned mask layer 108 as an etching mask. The gate pattern 109 is formed. The source / drain regions 112 are formed by implanting conductive type impurity ions into the active regions on both sides of the gate pattern 109.

도 2b를 참조하면, 소오스/드레인 영역(112)이 형성된 결과물 전면에 제 1 절연막(115)을 콘포말하게 형성한다. 제 1 절연막(115)은 실리콘 산화막, 예를 들어 HTO(high temperature oxide)막으로 형성하는 것이 바람직하다. 그러면, 게이트 패턴 상에 실리콘 질화막을 형성하는 종래 기술에 비해, 게이트 패턴에 가해지는 스트레스를 감소시킬 수 있게 된다. 제 1 절연막(115) 상에 제 2 절연막(116)을 형성한다. 제 2 절연막(116)은 제 1 절연막(115)과 식각선택비가 높은 물질, 예를 들어 실리콘 질화막으로 형성하는 것이 바람직하다. 이와 같이, 게이트 패턴(109)들상에 형성된 제 1 절연막(115) 및 제 2 절연막(116)은 후속 식각 공정에서 게이트 전극막이 노출되는 것을 방지하는 식각저지막으로 작용한다. 제 2 절연막(116) 상에 게이트 패턴(109)을 덮은 층간절연막(118)을 형성한다. 층간절연막(118)은 예를 들어, BPSG(borophosphosilicate glass)막, USG(undoped silicate glass)막 또는 플라즈마 산화막으로 형성한다. 패터닝 공정 및 배선 형성 공정 등과 같은 후속 공정을 용이하게 하기 위해 층간절연막(118)을 CMP(chemical mechanical polishing) 또는 에치백(etch-back) 공정을 사용하여 평탄화 식각한다.Referring to FIG. 2B, the first insulating film 115 is conformally formed on the entire surface of the resultant source / drain region 112. The first insulating film 115 is preferably formed of a silicon oxide film, for example, a high temperature oxide (HTO) film. As a result, compared with the prior art of forming a silicon nitride film on the gate pattern, the stress applied to the gate pattern can be reduced. The second insulating film 116 is formed on the first insulating film 115. The second insulating layer 116 may be formed of a material having a high etching selectivity with the first insulating layer 115, for example, a silicon nitride layer. As such, the first insulating layer 115 and the second insulating layer 116 formed on the gate patterns 109 serve as an etch stop layer to prevent the gate electrode layer from being exposed in a subsequent etching process. An interlayer insulating film 118 covering the gate pattern 109 is formed on the second insulating film 116. The interlayer insulating film 118 is formed of, for example, a borophosphosilicate glass (BPSG) film, an undoped silicate glass (USG) film, or a plasma oxide film. The interlayer insulating film 118 is planarized etched using a chemical mechanical polishing (CMP) or etch-back process to facilitate subsequent processes such as a patterning process and a wiring forming process.

도 2c를 참조하면, 평탄화된 층간절연막(118) 상에 포토레지스트막을 형성한 후 패터닝하여 콘택홀을 정의하는 포토레지스트 패턴(120)을 형성한다. 포토레지스트 패턴(120)을 식각마스크로 사용하여 층간절연막(118)을 식각하여 게이트 패턴(109)들 사이의 제 2 절연막(116)을 노출시키는 콘택홀(123)을 형성한다. 이때, 게이트 패턴(123) 상부에는 콘택 패드들을 전기적으로 격리시키기 위한 층간절연막 패턴(118a)이 형성된다. 이후, 포토레지스트 패턴(120)을 산소 플라즈마 애싱(ashing) 공정으로 제거하고 잔류하는 오염 물질들을 제거하기 위한 습식 세정 공정을 실시한다.Referring to FIG. 2C, a photoresist film is formed on the planarized interlayer insulating film 118 and then patterned to form a photoresist pattern 120 defining contact holes. The interlayer insulating layer 118 is etched using the photoresist pattern 120 as an etching mask to form a contact hole 123 exposing the second insulating layer 116 between the gate patterns 109. In this case, an interlayer insulating layer pattern 118a is formed on the gate pattern 123 to electrically isolate the contact pads. Thereafter, the photoresist pattern 120 is removed by an oxygen plasma ashing process and a wet cleaning process is performed to remove residual contaminants.

도 2d를 참조하면, 콘택홀(123) 바닥의 제 1 절연막(115)이 노출될 때까지 제 2 절연막(116)을 이방성 식각하여 게이트 패턴(119) 양측벽에 제 2 절연막 스페이서(116a)를 형성한다. 여기서, 제 2 절연막 스페이서(116a)를 형성하기 위한 식각 공정시 반도체 기판(100) 상에는 제 1 절연막(115)이 남아 있게 되므로, 반도체 기판(100)에 식각 손상이 가해지는 것을 방지할 수 있게 된다.Referring to FIG. 2D, the second insulating layer 116 is anisotropically etched until the first insulating layer 115 at the bottom of the contact hole 123 is exposed to form the second insulating layer spacer 116a on both sidewalls of the gate pattern 119. Form. Here, since the first insulating film 115 remains on the semiconductor substrate 100 during the etching process for forming the second insulating film spacer 116a, it is possible to prevent the etching damage from being applied to the semiconductor substrate 100. .

도 2e를 참조하면, 콘택홀(123) 바닥에 노출된 제 1 절연막(115)을 등방성 식각으로 제거하여 제 1 절연막 스페이서(115a)를 형성한다. 동시에, 제 2 절연막 스페이서(116a) 하단의 제 1 절연막(115)이 추가로 식각되도록 함으로써 콘택홀(123)의 하단에 언더컷(124)을 형성한다. 그러면, 콘택홀(123)의 바닥으로 노출되는 소오스/드레인 영역(112)의 면적이 확대되므로 콘택 패드와의 접촉 면적을 증가시킬 수 있다. 이때, 등방성 식각 공정은 건식 식각 또는 습식 식각을 사용하여 진행한다.Referring to FIG. 2E, the first insulating layer 115 exposed on the bottom of the contact hole 123 is removed by isotropic etching to form the first insulating layer spacer 115a. At the same time, the first insulating film 115 under the second insulating film spacer 116a is further etched to form the undercut 124 at the bottom of the contact hole 123. Then, since the area of the source / drain area 112 exposed to the bottom of the contact hole 123 is enlarged, the contact area with the contact pad may be increased. In this case, the isotropic etching process is performed using dry etching or wet etching.

도 2f를 참조하면, 언더컷(124)이 형성된 결과물 전면에 콘택홀(123)을 채우는 도전막, 예를 들어 폴리실리콘막을 형성한다. 층간절연막 패턴(118a)이 노출되도록 도전막을 평탄화 식각하여 소오스/드레인 영역(112)과 전기적으로 접속되는 콘택 패드(126)를 형성한다. 이때, 평탄화 식각은 CMP 공정 또는 에치백 공정을 사용하여 진행한다.Referring to FIG. 2F, a conductive film, for example, a polysilicon film, is formed on the entire surface of the resultant undercut 124 to fill the contact hole 123. The conductive layer is planarized and etched to expose the interlayer insulating layer pattern 118a to form a contact pad 126 electrically connected to the source / drain regions 112. At this time, the planarization etching is performed using a CMP process or an etch back process.

본 발명은 게이트 패턴 양측벽에 실리콘 산화막 및 실리콘 질화막이 적층된 스페이서를 형성한 후 실리콘 산화막의 하단부를 추가 식각하여 언더컷을 형성함으로써, 콘택홀의 하부 면적을 증가시켜 콘택 패드와 하부 도전막 간의 접촉 저항을 감소시키는 효과가 있다.According to an embodiment of the present invention, a spacer in which a silicon oxide film and a silicon nitride film are stacked on both sidewalls of a gate pattern is formed, and then the bottom portion of the silicon oxide film is additionally etched to form an undercut. Has the effect of reducing

또한, 게이트 패턴 상에 형성되는 절연막으로 실리콘 산화막을 사용함으로써, 게이트 패턴에 가해지는 스트레스를 감소시키는 효과가 있다.In addition, by using the silicon oxide film as the insulating film formed on the gate pattern, there is an effect of reducing the stress applied to the gate pattern.

이에 더하여, 실리콘 질화막 스페이서를 형성하기 위한 식각 공정시 반도체기판에 실리콘 산화막이 남아 있게 되므로, 반도체 기판에 식각 손상이 가해지는 것을 감소시키는 효과가 있다.In addition, since the silicon oxide film remains on the semiconductor substrate during the etching process for forming the silicon nitride film spacer, there is an effect of reducing the etching damage to the semiconductor substrate.

Claims (8)

반도체 기판 상에 복수개의 게이트 패턴들을 형성하는 단계;Forming a plurality of gate patterns on the semiconductor substrate; 상기 게이트 패턴들을 포함하는 상기 반도체 기판 전면에 제 1 절연막을 형성하는 단계;Forming a first insulating film on an entire surface of the semiconductor substrate including the gate patterns; 상기 제 1 절연막 상에 제 2 절연막을 형성하는 단계;Forming a second insulating film on the first insulating film; 상기 제 2 절연막 상에 상기 게이트 패턴들을 덮는 층간절연막을 형성하는 단계;Forming an interlayer insulating film covering the gate patterns on the second insulating film; 상기 층간절연막을 패터닝하여 상기 게이트 패턴들 사이의 상기 제 2 절연막을 노출시키는 오프닝을 형성하는 단계;Patterning the interlayer insulating film to form an opening exposing the second insulating film between the gate patterns; 상기 오프닝 바닥의 상기 제 1 절연막이 노출될 때까지 상기 제 2 절연막을 이방성 식각하여 상기 게이트 패턴들의 양측벽에 스페이서를 형성하는 단계;Forming an spacer on both sidewalls of the gate patterns by anisotropically etching the second insulating layer until the first insulating layer of the opening bottom is exposed; 상기 노출된 제 1 절연막을 등방성 식각으로 제거하여 상기 오프닝의 하단에 언더컷을 형성하는 단계; 및Removing the exposed first insulating layer by isotropic etching to form an undercut at the bottom of the opening; And 상기 언더컷이 형성된 결과물 전면에 상기 오프닝을 채우는 도전막을 형성하는 단계를 포함하는 것을 특징으로 하는 자기 정렬 콘택 형성 방법.And forming a conductive film filling the opening on the entire surface of the resultant product in which the undercut is formed. 제 1 항에 있어서,The method of claim 1, 상기 제 1 절연막은 실리콘 산화막으로 형성하는 것을 특징으로 하는 자기 정렬 콘택 형성 방법.And the first insulating film is formed of a silicon oxide film. 제 2 항에 있어서,The method of claim 2, 상기 실리콘 산화막은 HTO(high temperature oxide)막인 것을 특징으로 하는 자기 정렬 콘택 형성 방법.And the silicon oxide layer is a high temperature oxide (HTO) layer. 제 1 항에 있어서,The method of claim 1, 상기 제 2 절연막은 상기 제 1 절연막에 대해 식각선택비가 높은 물질로 형성하는 것을 특징으로 하는 자기 정렬 콘택 형성 방법.And the second insulating layer is formed of a material having a high etching selectivity with respect to the first insulating layer. 제 4 항에 있어서,The method of claim 4, wherein 상기 제 2 절연막은 실리콘 질화막으로 형성하는 것을 특징으로 하는 자기 정렬 콘택 형성 방법.And the second insulating film is formed of a silicon nitride film. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막은 BPSG(borophosphosilicate glass), USG(undoped silicate glass)막 및 플라즈마 산화막 중 어느 하나로 형성하는 것을 특징으로 하는 자기 정렬 콘택 형성 방법.The interlayer insulating layer is formed of any one of a borophosphosilicate glass (BPSG), an undoped silicate glass (USG) film and a plasma oxide film. 제 1 항에 있어서,The method of claim 1, 상기 등방성 식각은 습식 식각 또는 건식 식각을 사용하여 진행하는 것을 특징으로 하는 자기 정렬 콘택 형성 방법.And the isotropic etching is performed using wet etching or dry etching. 제 1 항에 있어서,The method of claim 1, 상기 도전막은 폴리실리콘막으로 형성하는 것을 특징으로 하는 자기 정렬 콘택 형성 방법.And the conductive film is formed of a polysilicon film.
KR1020000048338A 2000-08-21 2000-08-21 Method for foming self aligned contact of semiconductor device KR20020015167A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020000048338A KR20020015167A (en) 2000-08-21 2000-08-21 Method for foming self aligned contact of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000048338A KR20020015167A (en) 2000-08-21 2000-08-21 Method for foming self aligned contact of semiconductor device

Publications (1)

Publication Number Publication Date
KR20020015167A true KR20020015167A (en) 2002-02-27

Family

ID=19684214

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000048338A KR20020015167A (en) 2000-08-21 2000-08-21 Method for foming self aligned contact of semiconductor device

Country Status (1)

Country Link
KR (1) KR20020015167A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040009391A (en) * 2002-07-23 2004-01-31 삼성전자주식회사 Method of manufacturing of semiconductor device
KR101010442B1 (en) * 2003-12-30 2011-01-21 엘지디스플레이 주식회사 Fabrication method of polycrystalline thin film transistor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040009391A (en) * 2002-07-23 2004-01-31 삼성전자주식회사 Method of manufacturing of semiconductor device
KR101010442B1 (en) * 2003-12-30 2011-01-21 엘지디스플레이 주식회사 Fabrication method of polycrystalline thin film transistor

Similar Documents

Publication Publication Date Title
EP1122772B1 (en) Method of forming self-aligned contact structures in semiconductor integrated circuit devices
KR100467020B1 (en) Semiconductor Device With Self-Aligned Junction Contact Hole And Method Of Fabricating The Same
KR100414220B1 (en) Semiconductor device having shared contact and fabrication method thereof
KR100378200B1 (en) Method for forming contact plug of semiconductor device
US6562651B2 (en) Method of manufacturing a semiconductor device having contact pads
KR100268443B1 (en) Method for forming self-aligned contact of semiconductor device
US7205232B2 (en) Method of forming a self-aligned contact structure using a sacrificial mask layer
KR100317042B1 (en) Cylindrical capacitor having hsg silicons on its inner surface and a method for fabricating thereof
KR100268447B1 (en) Capacitor and method of fabricating same
US20040219462A1 (en) Fabrication method for a damascene bit line contact plug
KR100407570B1 (en) Structure Of Gate Contact And Method Of Forming The Same
US6156636A (en) Method of manufacturing a semiconductor device having self-aligned contact holes
KR100252039B1 (en) Method for forming a self-aligned contact hole
US6458680B2 (en) Method of fabricating contact pads of a semiconductor device
US6404020B1 (en) Method of forming contact pads in a semiconductor device and a semiconductor device formed using the method
KR20020015167A (en) Method for foming self aligned contact of semiconductor device
KR19990070614A (en) Bit line planarization method of semiconductor device
KR20020015168A (en) Method for forming self aligned contat of semiconductor device
KR20050024977A (en) Semicondcutor device having self-alinged contact and method of the same
KR20020039096A (en) Method for forming self aligned contact
KR100213203B1 (en) Semiconductor device with contact hole and process for fabricating the same
KR20010109370A (en) Method for forming self aligned contact hole
KR20020048618A (en) Semiconductor device with self aligned silicide layer and method for forming the same
KR20040019172A (en) Contact Plug Of Semiconductor Device And Method Of Forming The Same
KR19980068806A (en) Method for forming self-aligned contacts in memory devices

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination