KR20010067397A - 압축가능한 부재를 이용한 전기도금 장치 및 방법 - Google Patents

압축가능한 부재를 이용한 전기도금 장치 및 방법 Download PDF

Info

Publication number
KR20010067397A
KR20010067397A KR1020000077666A KR20000077666A KR20010067397A KR 20010067397 A KR20010067397 A KR 20010067397A KR 1020000077666 A KR1020000077666 A KR 1020000077666A KR 20000077666 A KR20000077666 A KR 20000077666A KR 20010067397 A KR20010067397 A KR 20010067397A
Authority
KR
South Korea
Prior art keywords
plating
compressible member
substrate
wafer
sponge
Prior art date
Application number
KR1020000077666A
Other languages
English (en)
Other versions
KR100395470B1 (ko
Inventor
에릭그레고리 왈튼
딘에스. 청
라라산드라 콜린스
윌리엄이. 코빈
하리클리아 델리지안니
다니엘찰스 에델스타인
제임스이. 플루겔
조세프워렌 코레즈와
피터에스. 락
사이프리안에메카 우조
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR20010067397A publication Critical patent/KR20010067397A/ko
Application granted granted Critical
Publication of KR100395470B1 publication Critical patent/KR100395470B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/22Electroplating combined with mechanical treatment during the deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • C25D17/14Electrodes, e.g. composition, counter electrode for pad-plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • C25D5/06Brush or pad plating

Abstract

도금될 기판의 실질적인 전체 표면을 피복하는 도전성 표면을 갖는 압축가능한 부재(compressible member)를 포함하는 금속 도금 장치(metal plating apparatus)가 기술된다. 도금 전류는 몇개의 국부적인 접촉점이 아니라 기판의 넓은 면적을 통해 전송된다. 압축가능한 부재는 도금액을 흡수하여 이를 기판으로 전달할 수 있도록 다공성(porous)을 가진다. 웨이퍼와 압축가능한 부재는 서로에 관해 회전할 수 있다. 압축가능한 부재는 캐쏘드 전위(cathode potential)에 있으며 수동형 회로 소자(passive circuit element)이다.

Description

압축가능한 부재를 이용한 전기도금 장치 및 방법{ELECTROPLATING APPARATUS AND METHOD USING A COMPRESSIBLE CONTACT}
본 발명은 반도체 공정에 관한 것으로, 보다 구체적으로는 저항성 기판상에 금속 및/또는 합금을 도금하기 위한 장치 및 방법에 관한 것이다.
기판상에 금속을 도금하는 것은 반도체 장치 제조에서 중요한 공정이다. "파운틴 도금기(fountain plator)"라 알려진 종래 기술의 도금 장치가 도 1에 개략적으로 도시되어 있다. 반도체 웨이퍼(1)은 접촉부(3)에 의해 캐쏘드(2)에 접속된다. 접촉부(3)은 웨이퍼 가장자리를 지지하며 가장자리 부근의 웨이퍼의 전면의 일부를 덮는다. 웨이퍼 및 소모형 애노드(4)는 도금액에 침잠된다. 전형적으로, 도금액 내에는 애노드로부터 캐쏘드로의 유체 흐름이 설정된다. (도1에 개략적으로 도시된 바와 같이) 전압원(V)를 포함하며 전류(I)를 운반하는 전기 회로가 캐쏘드 및 애노드 사이에 설정된다. 또한, 캐쏘드와 웨이퍼는 웨이퍼 표면으로의 개선된 대량 전송을 제공하기 위해 애노드에 관하여 회전한다.
반도체 산업에서, 도금하고자하는 웨이퍼상의 피쳐(feature)의 크기를 감소시키고자하는 지속적이 노력이 있어왔다. 따라서, 특히 "듀얼 다마신" 공정이라 알려진 공정에서 더 얇은 씨드층(seed layer)이 필요하다. 감소된 씨드층 두께는 기판이 더 저항성을 가지도록 만들고, 나아가 웨이퍼 접촉점 부근의 도금된 금속의 두께 불균일성을 더욱 크게 만든다. 또한 웨이퍼 두께 불균일성 문제(즉, 웨이퍼상의 각 위치에서의 금속 피착률 차이)는 얇은 도금 피착물이 요구될 때 더 악화된다. 도금하고자하는 피쳐 영역이 아닌 웨이퍼의 필드 영역상에 피착되는 과도 금속(excess metal)은 후속된 공정에서 제거된다. 도금 공정에서의 불균일성으로 인해, 과도 금속 피착이 증가하고 도금후 공정(post-plating)이 더 길어지며 비용이 증가한다.
웨이퍼 전면부를 덮는 도금 접촉부의 사용은 많은 공정상의 문제점을 초래한다. 도금 접촉부의 표면상, 특히, 애노드로부터의 유체 흐름에 노출된 표면(3a) 상에는 금속이 피착된다. 접촉부에 의해 덮히는 웨이퍼 영역은 도금되지 않으므로 이들 영역을 포함하는 칩들은 소실된다. 또한, 전류 밀도(마찬가지로 금속 피착률)는 웨이퍼 상의 위치에 따라 변동한다. 전류 밀도는 전형적으로 접촉부보다 웨이퍼 가장자리에서 더 높다. 이에 따라, 가장자리 칩상에서 과도 금속의 생성을 유발하여, 웨이퍼상의 다른 곳에서 이들 과도 금속이 제거된 후에도 이들 칩들은 전기적 단락에 놓인다.
도 1에 도시된 바와 같이 캐쏘드와 접촉하는 웨이퍼는 도금 회로에서 저항 소자에 해당됨을 이해할 것이다. 특히, (도금하고자 하는) 웨이퍼의 표면상의 씨드층은, 전류가 반드시 동일하지는 않아 웨이퍼 표면 전체에 걸쳐 도금 전류 밀도가 불균일하게되는 저항망의 역할을 하는 것이 그 특징이다. 도금하고자 하는 피쳐의 크기 및 씨드층의 두께 모두가 감소함에 따라 불균일성은 악화된다. 접촉부의 개수를 증가시키면 전류 밀도는 개선되지만 앞서 언급한 바람직하지 못한 효과는 여전하다.
이 분야에서 다른 유형의 도금 접촉부도 역시 잘 알려져 있다. 예를 들어, 미국특허 제1,739,657호는 도금액에 침잠된 다공성 물질이 캐쏘드 작업물과 접촉하는 도금 장치를 기술하고 있다. 미국특허 제5,277,785호는 플라스틱 브러쉬를 사용하여 작업물의 표면에 도금액을 가하는 경우를 기술하고 있다. 이들 문헌에서는, 주어진 시간에 작업물의 일부만이 접촉되고 있으며, 작업물 전체에 걸친 불균일한 전류 밀도 문제는 해결되고 있지 않다.
따라서, 종래의 웨이퍼-가장자리 도금 접촉부를 사용하지 않으며 도금 공정의 균일성이 개선되는 도금 장치가 필요하다. 특히, 작업물의 저항적 특성을 고려하고 작업물의 전체 표면과의 전기적 접촉을 허용하는 도금 장치 구조가 필요하다.
본 발명은, (씨드층 및 도금된 금속을 포함한) 금속층 내의 전류 밀도가 웨이퍼 전체에 걸쳐 균일하게 분포하는 (전형적으로 반도체 웨이퍼인) 기판상에 금속을 도금하기 위한 도금 장치를 제공함으로써 이 문제를 해결하고 있다. 본 발명에 따르면, 이것은 웨이퍼 및 애노드 사이에 압축가능한 다공성 부재를 제공함으로써 달성된다. 압축가능한 부재는, 전기 도금 전류가 기판으로 전송되도록 도금될 기판의 실질적인 전체 표면을 덮는 도전성 표면을 가진다. 압축가능한 부재는 다공성이기 때문에, 도금액을 흡수하여 이를 기판으로 전송한다. 도금될 기판의 표면은 전형적으로 씨드층을 포함한다. 압축가능한 부재는 씨드층의 실질적 전부와 전기적으로 접촉한다. 도전성 표면은 폴리아닐린 물질(polyaniline)로 형성된다.
기판과 압축가능한 부재사이에는 분리 거리(separation distance)가 유지될 것이다. 이 거리는, 압축가능한 부재와 전기적 접촉을 유지하면서 도금 공정 동안에 압축가능한 부재에 관한 기판의 이동을 허용하기 위해, (예를 들어, 압축가능한 부재를 이동시킴으로써) 제어된다. 보다 구체적으로는, 얇은 도금액층이 압축가능한 부재로부터 웨이퍼를 분리시킴으로써 웨이퍼가 웨이퍼 상의 구조물에 손상을 주지 않고 압축가능한 부재에 관하여 이동할 수 있다.
도금 장치는 압축가능한 부재 내에 도금 첨가물을 주입하기 위한 수단을 포함할 수도 있다. 이하에서 보다 상세히 설명하는 바와 같이, 압축가능한 부재의 도전성 표면과 웨이퍼 상의 소정 위치사이의 분리 거리에 따라, 상기 소정 위치에서의 도금을 금지하는 도금 첨가물을 사용함으로써 도금 공정이 개선된다.
압축가능한 부재가, 공기를 환기시켜 압축가능한 부재로부터 웨이퍼로 도금액이 용이하게 전달될 수 있도록 하기 위한 환기구를 포함할 수도 있다.
본 발명의 한 특징에 따르면, 도금 장치는 기판과 전기적으로 접촉하며 캐쏘드 전위를 갖는 캐쏘드를 포함한다. 압축가능한 부재의 도전성 표면은 캐쏘드 전위에 있다. 본 발명의 또 다른 특징에 따르면, 압축가능한 부재는 도금 전류를 운반하는 회로 내의 수동 소자이다.
본 발명의 또 다른 특징에 따르면, 도금 장치는 애노드를 포함하며, 압축가능한 부재는 애노드와 접촉하는 수동 회로 소자이다.
애노드는 그 내부에 복수개의 홀(hole)을 가짐으로써 도금액을 압축가능한 부재로 전달한다.
본 발명의 역시 또 다른 특징에 따르면, 상술한 도금 장치를 이용하여 기판의 표면 상에 금속을 도금하기 위한 방법이 제공된다. 구체적으로, 이 방법은 기판의 실질적인 전체 표면을 덮는 도전성 표면을 가지며 도금액을 흡수할 수 있도록 다공성 물질로 된 압축가능한 부재를 제공하는 단계와, 도금 전류를 압축가능한 부재로부터 도전성 표면을 통하여 기판의 표면으로 전달하는 단계와, 압축가능한 부재로부터 기판으로 도금액이 전달되도록 하는 단계를 포함한다.
도 1은 웨이퍼를 캐쏘드에 고정시키기 위한 도금 접촉부를 포함하는 종래의 도금 셀 배치에 대한 개략적인 도면.
도 2는 도금액과 전기 도금 전류를 기판으로 전달하기 위해 웨이퍼와 접촉하는 스폰지가 사용되고 있는 본 발명의 제1 실시예에 따른 도금 셀의 개략적 도면.
도 2a는 도금액으로 채워진 스폰지 부근에 있는 웨이퍼의 표면 특징을 도시하는 상세도.
도 3a, 3b, 및 3c는 본 발명에서 사용된 스폰지의 형상 및 구조에서의 가능한 변형을 도시하는 도면.
도 4는 스폰지 내에 도금 첨가물이 주입되는 도 2의 배치에 대한 한 변형예를 도시한 도면.
도 5는 스폰지가 전기 도금 회로 내의 한 수동 소자를 이루는 본 발명의 또 다른 실시예에 대한 개략도.
도 6은 도 5의 실시예의 한 대안적 배치의 개략도.
본 발명의 제1 실시예가 도 2에 도시되어 있다. 웨이퍼(1)은, 도금 접촉부에 의해 캐쏘드에 접속되는 것이 아니라 (도시되지 않은) 진공 척(vaccum chuck)을 사용하여 회전 헤드(22)에 고정된다. 다공성의, 압축가능한, 전기적 도전 부재(21, 이후부터는 스폰지라 언급됨)는 웨이퍼(1)에 가깝게 고정된다. 스폰지는 탄성체 또는 중합체로 만들어지며, 애노드 어셈블리(5)에 접속된 (예를 들어, 플라스틱과 같은) 비-도전성 지지물(23)에 의해 지지된다. 대안으로, 스폰지(21)에 대한 기계적 지지를 제공하기 위해, (도2에 개략적으로 도시된) 전류 운반 와이어(24)가 와이어 메쉬(wire mesh, 양호하게는 Ti 또는 스텐레스강)로서 구성될 수도 있다. 이 실시예에서, 헤드(22) 및 웨이퍼(1)은 도금액 내의 화학종(species)의 대량 전송을 개선하기 위해 스폰지(21)에 관하여 회전한다.
스폰지의 표면상에는 도금이 일어나지 않으며 웨이퍼의 뒤면은 진공 척에 의해 도금이 방지된다는 것을 이해할 것이다. 이렇게 하여 웨이퍼 뒷면의 금속 오염이 방지된다.
적어도 웨이퍼(1), 스폰지(21), 및 애노드(4)는 도금액에 침잠되기 때문에, 스폰지(21)은 도금액으로 채워진다. 웨이퍼(1)과 스폰지(21) 사이의 상대적 이동을 허용하는 한편 웨이퍼의 전면(1f)상의 씨드층과 스폰지(21)의 뒷면(21b)간에 접기적 접촉을 유지하기 위해, 스폰지와 웨이퍼 사이의 갭(25, 역시 도금액으로 채워짐)이 조절된다. 애노드 어셈블리에 관해 위쪽 또는 아래쪽으로 지지물(23)을 이동시킴으로써, 스폰지를 웨이퍼에 관해 용이하게 조절할 수 도 있다.
웨이퍼가 회전할 때 스폰지가 웨이퍼의 표면(1f) 상에서 수상비행(hydroplane)할 수 있도록, 스폰지는 웨이퍼로부터 일정 거리를 유지한채 (이하에서 기술되는 바와 같이) 도금 접촉을 유지한다. 갭(25) 내의 도금액은, 그 두께가 웨이퍼 표면의 형상에 의존하는 유체역학층의 역할을 하는 것이 특징이다. 예를 들어, 도 2a에 도시된 바와 같이, 유체역학층의 국부적으로 두터운층(26)이 도금액으로 채워진 웨이퍼 내의 트렌치 구조에 해당된다.
도 2에서 알 수 있는 바와 같이, 스폰지의 표면(21b)는 캐쏘드 전위에 있고 이 전위는 웨이퍼 표면(1f) 상의 씨드층의 전체 표면에 인가된다. 웨이퍼 표면(1f)와 스폰지의 표면(21)는 각각 (저항층 내의 침강부 또는 공동이 도금액으로 채워져 있는) 저항층, 및 전자활성 접촉층(electroactive contact layer)으로 간주될 수 있다. 따라서, 전자들이 씨드층 내에 효과적으로 주입되어 도금이 효율적으로 진행된다. 이러한 배치에서 전체 씨드층은, 도 1의 배치에서 웨이퍼 가장자리의 선택된 영역과 접촉하는 것과는 달리, 캐쏘드 전위에 있는 표면과 접촉한다.
앞서 지적한 바와 같이, 스폰지(1)의 뒷면(21b)의 물질은 DC(또는 AC) 전류를 운반할 수 있어야 하고, (2) 씨드층 또는 도금층을 손상시키지 않고 이들과 접촉을 유지하면서 웨이퍼에 관하여 움직일 수 있어야 한다는 것이 중요하다. 구리와 같은 연성 금속(soft metal)이 도금될 때 후자의 요건은 특히 엄격하다. 한 적절한 물질은 독일 아머스벡 오메콘 케미 겜바하사(지플링 케슬러 & 코포레이션사의 자회사)로부터 입수가능한 ORMECON Incofilm G300-D9이다. 이 물질은 도전성 폴리아닐린인 얇은 ORMECON층으로 코팅된 폴리에스테르막이다. ORMECON 코팅의 전도도는 100/(ohmㆍ㎝) 정도이다. 웨이퍼상의 씨드층의 전체 표면 영역이 이 물질과 전기적으로 접촉하기 때문에, 이 물질에 의해 지원되어야 하는 전류 밀도는 종래의 도금 접촉부에 의해 운반되는 전류 밀도보다 훨씬 작다. 도 2의 배치에서 전류 밀도는 전형적으로 10㎃/㎠이지만, 응용에 따라 0.1㎃/㎠ 내지 약 150㎃/㎠의 범위 내에 있을 수도 있다. 예를 들어, 펄스 도금 공정은 일반적으로 100㎃/㎠보다 큰 전류 밀도를 가진다.
스폰지는 공기 방울을 환기시킬 수 있어야 한다는 것도 역시 중요하다. 스폰지는 도 2에 도시된 바와 같이 웨이퍼에 의해 덮히지 않는 표면적을 증가시키기위해 돔형(dome-shaped)일 수도 있다. 또한 스폰지는 스폰지의 바깥쪽 가장자리로 공기를 유도하기 위한 환기용의 홀(hole) 또는 홈(groove)을 가지도록 제조된다(예를 들어, 도 3a에 도시된 바와 같이 스폰지의 뒷면에는 직선형의 홈(31)이 가로지른다). 그 대안으로, 스폰지(31)이 도 3b에 도시된 바와 같이 방사형 방향(radial direction)으로 배향된 공동(cavity, 32)를 가질 수도 있다.
스폰지(21)과 웨이퍼(1)간의 기계적 접촉부는 스폰지를 이동시키거나 구부림으로써 제어될 수 있다. 구체적으로, 웨이퍼와 스폰지간의 거리는 스폰지 지지물(23)을 위 또는 아래로 기계적으로 이동시킴으로써 제어될 수 있다. 예를 들어, 스폰지는 스폰지(21)의 가장자리에 두른 링 또는 지지물(23)을 포위하는 링을 죄거나 느슨하게 함으로써 기계적으로 구부릴 수도 있다.
어떤 응용에서는, 전류의 분포 또는 밀도를 제어할 수 있는 것이 바람직하다. 이것은 스폰지(21)의 구조 또는 조성을 변경시킴으로써 이루어질 수 있다. 예를 들어, 도 3c에 개략적으로 도시된 바와 같이, 스폰지는 다공성 정도가 서로 다른 2개 이상의 구획(33, 34)로 구성될 수도 있고, 다공성 정도가 방사형 방향으로 연속적으로 변하도록 제작될 수도 있다. 하나 이상의 추가 도전층(35)가 스폰지에 추가되어 특정 위치에서의 전기 전도도를 변경시킬 수도 있다. 전류 밀도는 스폰지 가장자리에 절연체(36)을 추가함으로써 제어될 수도 있다.
웨이퍼 전면 표면 상의 전체 씨드층과 접촉하는 스폰지의 사용은, 도 4에 개략적으로 도시된 바와 같이 농축된 도금 첨가물이 웨이퍼 표면에 도입될 수 있도록 허용한다. 이것은 또한 "point-of-use dopant spiking"이라고 불려진다. 펌프(41)은, 도금 첨가물이 저장소(42)로부터 공급 튜브(43)을 통해 하나 이상의 주입점(44) 및 스폰지(21)의 내부로 흐를 수 있도록 해준다. 이 첨가물은 스폰지의 몸체 내에 직접 주입될 수도 있고 스폰지에 임베딩된 메니폴드(manifold)에 공급될 수도 있다.
도금 첨가물은 금속이 요구되는 웨이퍼 표면상에서의 도금 속도를 향상시키기 위해 사용될 수도 있다. 예를 들어, 도 2a는, 필드 영역에 의해 분리된 도금이 필요한 트렌치 영역 또는 비아 영역을 도시하고 있다. 도금을 금지하도록 설계된 도금 첨가물은, 얇은 영역(27)보다 두터운 영역(26)에서 더 느리게 전송되는 경향이 있다. 이러한 경향은, 스폰지와 웨이퍼가 밀착되는 곳, 즉, 웨이퍼의 필드 영역에서의 도금을 금지하는 화학종(chemical species)으로 스폰지 표면(21b)의 표면을 도핑함으로써 더욱 향상될 수 있다.
본 발명의 또 다른 실시예가 도 5에 도시되어 있다. 이 실시예에서, 스폰지(21)은 캐쏘드(2)와 애노드(4) 사이에 있는 수동 회로 소자이다. 제1 실시예에서와 같이, 스폰지(21)은, 웨이퍼의 전면 전체 표면과 전기적으로 접촉하도록 유지되고 스폰지 몸체(를 통한 도금액의 확산을 허용한다. 웨이퍼(1)과 스폰지(21) 사이의 갭(50)에 있는 도금액은 웨이퍼가 스폰지에 관하여 회전할 수 있도록 해주는 얇은 유체역학층을 형성한다.
이 실시예의 구조의 한 변형이 도 6에 도시되어 있다. 이 구조에서, 웨이퍼(1), 캐쏘드(2), 스폰지(21), 및 애노드(4)는 도금액에 침잠된 샌드위치 구조를 이루고 있다. 캐쏘드(2)와 웨이퍼(1)은 (앞서 기술된 바와 같이, 웨이퍼와 스폰지 사이에 유체역학층이 형성되어) 스폰지(21)에 관하여 회전할 수 있다. 스폰지(21)은 앞선 실시예에서와 같이 웨이퍼와 접촉하는 도전성 폴리아닐린층을 포함한다. 애노드는 도금액이 스폰지 및 웨이퍼에 도달할 수 있도록 해주는 복수개의 작은 홀(61)을 가진다. 도금액의 유압 및 유속은 (예를 들어) 스폰지 물질, 스폰지 두께, 또는 웨이퍼 회전 속도를 변경시킴으로써 제어될 수 있다. 도 4를 참조하여 앞서 기술된 바와 같이 스폰지 내에 도금 첨가물이 역시 주입될 수 있다.
압축가능하며, 전기 도전성의 도금 접촉부의 사용은, 종래의 도금 접촉부에 연관된 문제를 피하면서 균일한 전류 밀도(또는 제어된 전류 밀도 분포)와 더불어 웨이퍼의 전면 표면으로 도금액을 전달할 수 있도록 해준다.
본 발명의 특정의 실시예의 관점에서 기술되었지만, 앞의 설명에 비추어 다양한 대안, 수정, 및 변형이 당업자에게는 가능명백할 것이다. 따라서, 본 발명은 본 발명의 정신과 영역 및 첨부된 특허청구범위 내에 해당되는 이러한 모든 대안, 수정, 및 변형을 포함하는 것으로 해석되어야 한다.
종래의 웨이퍼-가장자리 도금 접촉부를 사용하지 않으며 도금 공정의 균일성이 개선되며, 작업물의 저항적 특성을 고려하고 작업물의 전체 표면과의 전기적 접촉을 허용하는 도금 장치 구조가 제공된다.

Claims (22)

  1. 기판의 표면 상에 금속을 도금하기 위해 도금액과 전기 도금 전류를 이용하는 도금 장치에 있어서,
    상기 기판의 실질적인 전체 표면을 피복하여 상기 도금 전류를 기판으로 전송하는 도전성 표면을 가지며, 상기 도금액을 흡수하여 이를 기판으로 전송할 수 있도록 다공성(porous)을 갖는 압축가능한 부재(compressible member)를 포함하는 도금 장치.
  2. 제1항에 있어서, 상기 기판의 표면은 도금이 수행되는 씨드층(seed layer)을 포함하며, 상기 압축가능한 부재는 상기 씨드층의 실질적 전체와 전기적으로 접촉하는 도금 장치.
  3. 제1항에 있어서, 상기 도금 장치는 상기 기판과 전기적으로 접촉하는 캐쏘드 전위를 갖는 캐쏘드를 포함하며, 상기 압축가능한 부재의 상기 도전성 표면은 상기 캐쏘드 전위에 있는 도금 장치.
  4. 제1항에 있어서, 상기 기판과 상기 압축가능한 부재간에 전기적 접촉을 유지하면서 도금 공정 동안에 상기 기판이 상기 압축 부재에 관하여 움직일 수 있도록 하기 위해, 상기 기판과 상기 압축가능한 부재간의 분리 거리(separationdistance)를 제어하기 위한 수단을 더 포함하는 도금 장치.
  5. 제1항에 있어서, 상기 압축가능한 부재 내에 도금 첨가물(plating additive)을 주입하기 위한 수단을 더 포함하는 도금 장치.
  6. 제5항에 있어서, 상기 도금 첨가물은, 상기 압축가능한 부재의 상기 도전성 표면과 상기 기판 상의 소정의 위치간의 분리 거리에 따라, 상기 기판 상의 상기 소정의 위치에서의 도금을 금지하는 도금 장치.
  7. 제1항에 있어서, 상기 압축가능한 부재는 그 내부의 공기를 환기시키기 위한 환기구(vent)를 갖는 도금 장치.
  8. 제1항에 있어서, 상기 도금 전류는 전기 회로 내에 있고, 상기 압축가능한 부재는 상기 전기 회로에서 수동형 소자인 도금 장치.
  9. 제1항에 있어서, 상기 도금 장치는 애노드를 포함하며, 상기 압축가능한 부재는 상기 애노드와 접촉하는 도금 장치.
  10. 제9항에 있어서, 상기 애노드는 상기 도금액을 상기 압축가능한 부재로 유도하기 위해 그 내부에 복수개의 홀(hole)을 갖는 도금 장치.
  11. 제1항에 있어서, 상기 도전성 표면은 폴리아닐린(polyaniline) 물질로 형성되는 도금 장치.
  12. 도금액과 전기 도금 전류를 이용하여 기판의 표면 상에 금속을 도금하기 위한 방법에 있어서,
    상기 기판의 실질적인 전체 표면을 피복하는 도전성 표면을 갖는 압축가능한 부재-상기 부재는 도금액을 흡수할 수 있도록 다공성을 가짐-를 제공하는 단계와,
    상기 압축가능한 부재로부터 상기 도전성 표면을 통해 상기 기판의 표면으로 상기 도금 전류를 전송하는 단계와,
    상기 도금액이 상기 압축가능한 부재로부터 상기 기판으로 전송되도록 허용하는 단계
    를 포함하는 도금 방법.
  13. 제12항에 있어서, 상기 기판의 표면은 도금이 수행되는 씨드층을 포함하며, 상기 압축가능한 부재는 상기 씨드층의 실질적 전체와 전기적으로 접촉하는 도금 방법.
  14. 제12항에 있어서, 상기 기판과 전기적으로 접촉하는 캐쏘드 전위를 갖는 캐쏘드를 제공하는 단계를 더 포함하며, 상기 압축가능한 부재의 상기 도전성 표면은 상기 캐쏘드 전위에 있는 도금 방법.
  15. 제12항에 있어서, 상기 기판과 상기 압축가능한 부재간에 전기적 접촉을 유지하면서 도금 공정 동안에 상기 기판이 상기 압축 부재에 관하여 움직일 수 있도록 하기 위해, 상기 기판과 상기 압축가능한 부재간의 분리 거리를 제어하는 단계를 더 포함하는 도금 방법.
  16. 제12항에 있어서, 상기 압축가능한 부재 내에 도금 첨가물을 주입하는 단계를 더 포함하는 도금 방법.
  17. 제16항에 있어서, 상기 도금 첨가물은, 상기 압축가능한 부재의 상기 도전성 표면과 상기 기판 상의 소정의 위치간의 분리 거리에 따라, 상기 기판 상의 상기 소정의 위치에서의 도금을 금지하는 도금 방법.
  18. 제12항에 있어서, 상기 압축가능한 부재를 제공하는 단계는 그 내부의 공기를 환기시키기 위한 환기구를 상기 압축가능한 부재 내에 제공하는 단계를 더 포함하는 도금 방법.
  19. 제12항에 있어서, 상기 도금 전류를 위한 전기 회로를 제공하는 단계를 더포함하고, 상기 압축가능한 부재는 상기 전기 회로에서 수동형 소자인 도금 방법.
  20. 제12항에 있어서, 상기 도금은 애노드를 사용하여 수행되며, 상기 압축가능한 부재를 상기 애노드에 접촉시키는 단계를 더 포함하는 도금 방법.
  21. 제20항에 있어서, 상기 도금액을 상기 압축가능한 부재로 유도하기 위해 상기 애노드 내에 복수개의 홀을 제공하는 단계를 더 포함하는 도금 방법.
  22. 제12항에 있어서, 상기 도전성 표면은 폴리아닐린 물질로 형성되는 도금 방법.
KR10-2000-0077666A 1999-12-28 2000-12-18 압축가능한 부재를 이용한 전기도금 장치 및 방법 KR100395470B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/473,909 US6270646B1 (en) 1999-12-28 1999-12-28 Electroplating apparatus and method using a compressible contact
US09/473,909 1999-12-28

Publications (2)

Publication Number Publication Date
KR20010067397A true KR20010067397A (ko) 2001-07-12
KR100395470B1 KR100395470B1 (ko) 2003-08-25

Family

ID=23881515

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0077666A KR100395470B1 (ko) 1999-12-28 2000-12-18 압축가능한 부재를 이용한 전기도금 장치 및 방법

Country Status (5)

Country Link
US (1) US6270646B1 (ko)
KR (1) KR100395470B1 (ko)
MY (1) MY121455A (ko)
SG (1) SG91313A1 (ko)
TW (1) TW574432B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100698063B1 (ko) * 2004-12-23 2007-03-23 동부일렉트로닉스 주식회사 전기화학 도금 장치 및 방법
CN111235611A (zh) * 2019-03-25 2020-06-05 浙江宏途电气科技有限公司 一种提升电镀效率的自动化设备

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7201829B2 (en) * 2001-03-01 2007-04-10 Novellus Systems, Inc. Mask plate design
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US6855239B1 (en) 2002-09-27 2005-02-15 Rahul Jairath Plating method and apparatus using contactless electrode
US6776885B2 (en) * 2002-11-14 2004-08-17 International Business Machines Corporation Integrated plating and planarization apparatus having a variable-diameter counterelectrode
US6773570B2 (en) * 2002-11-14 2004-08-10 International Business Machines Corporation Integrated plating and planarization process and apparatus therefor
US7648622B2 (en) 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
JP2008509395A (ja) * 2004-08-05 2008-03-27 エス・ブイ・プローブ・プライベート・リミテッド プローブ要素を処理する方法、プローブ要素の先端部分にコーティングを施すためのコーティングシステム、およびプローブカードアセンブリ
EP1839695A1 (en) * 2006-03-31 2007-10-03 Debiotech S.A. Medical liquid injection device
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US20090090634A1 (en) * 2007-10-03 2009-04-09 Sifco Selective Plating Method of plating metal onto titanium
US7985325B2 (en) 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US7935231B2 (en) * 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
US9512538B2 (en) 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
JP5237924B2 (ja) * 2008-12-10 2013-07-17 ノベルス・システムズ・インコーポレーテッド ベースプレート、及び電気メッキ装置
KR100992716B1 (ko) * 2009-10-13 2010-11-05 석상엽 접촉 비표면적을 증대시킨 유가금속 회수용 전해조
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
KR102112881B1 (ko) 2012-03-28 2020-05-19 노벨러스 시스템즈, 인코포레이티드 전자도금 기판 홀더들을 세정하기 위한 방법들 및 장치들
US9476139B2 (en) 2012-03-30 2016-10-25 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US9312140B2 (en) 2014-05-19 2016-04-12 International Business Machines Corporation Semiconductor structures having low resistance paths throughout a wafer
EP2995703B1 (de) 2014-09-09 2019-08-28 Christian-Albrechts-Universität zu Kiel Verfahren zur Herstellung von Flächenableitelektroden und Halbzeug zur Durchführung des Verfahrens
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
EP4266839A1 (en) * 2022-04-21 2023-10-25 Continental Automotive Technologies GmbH Method for applying an electrochemical process

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1739657A (en) 1928-01-16 1929-12-17 Reuben B Shemitz Electroplating device
US4159934A (en) 1977-12-05 1979-07-03 Kadija Igor V Selective plating brush applicator
DE3603856C2 (de) 1986-02-07 1994-05-05 Bosch Gmbh Robert Verfahren und Vorrichtung zur Galvanisierung von ebenen Werkstücken wie Leiterplatten
US4786389A (en) 1987-09-25 1988-11-22 Amp Incorporated Electroplating apparatus
US5024735A (en) 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5277785A (en) 1992-07-16 1994-01-11 Anglen Erik S Van Method and apparatus for depositing hard chrome coatings by brush plating
US6030550A (en) * 1995-11-15 2000-02-29 International Business Machines Corporation Methods of fabrication of cross-linked electrically conductive polymers and precursors thereof
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US6004880A (en) * 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100698063B1 (ko) * 2004-12-23 2007-03-23 동부일렉트로닉스 주식회사 전기화학 도금 장치 및 방법
CN111235611A (zh) * 2019-03-25 2020-06-05 浙江宏途电气科技有限公司 一种提升电镀效率的自动化设备
CN111235611B (zh) * 2019-03-25 2021-05-25 浙江宏途电气科技有限公司 一种提升电镀效率的自动化设备

Also Published As

Publication number Publication date
SG91313A1 (en) 2002-09-17
TW574432B (en) 2004-02-01
KR100395470B1 (ko) 2003-08-25
US6270646B1 (en) 2001-08-07
MY121455A (en) 2006-01-28

Similar Documents

Publication Publication Date Title
KR100395470B1 (ko) 압축가능한 부재를 이용한 전기도금 장치 및 방법
US6942780B2 (en) Method and apparatus for processing a substrate with minimal edge exclusion
US6143155A (en) Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6132586A (en) Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US6121152A (en) Method and apparatus for planarization of metallized semiconductor wafers using a bipolar electrode assembly
US6551483B1 (en) Method for potential controlled electroplating of fine patterns on semiconductor wafers
KR100773164B1 (ko) 기판의 도금장치 및 도금방법과 전해처리방법 및 그 장치
US20060243598A1 (en) Auxiliary electrode encased in cation exchange membrane tube for electroplating cell
US20030070930A1 (en) Device providing electrical contact to the surface of a semiconductor workpiece during metal plating and method of providing such contact
JP2001234396A (ja) 金属層ための導電性バイアス部材
JP2006506523A (ja) メッキと平坦化の一体化プロセスおよびそのための装置
US20070141818A1 (en) Method of depositing materials on full face of a wafer
US6855239B1 (en) Plating method and apparatus using contactless electrode
US20050189229A1 (en) Method and apparatus for electroplating a semiconductor wafer
JP2004225119A (ja) めっき方法およびこれに使用するめっき装置
JP3790758B2 (ja) 電気メッキ装置
CN108330518B (zh) 用于填充互连结构的方法及设备
US7632382B2 (en) Plating apparatus
JP2009516775A (ja) 液晶ディスプレイ用のガラス基板上に、パターン形成された導電層を作成するための方法および装置
TWI221862B (en) Apparatus and method for plating a substrate, and method and apparatus for electrolytic treatment
EP4006210B1 (en) Distribution system for a process fluid and an electric current for electrolytic surface treatment of a substrate
KR100698063B1 (ko) 전기화학 도금 장치 및 방법
CN102492971A (zh) 用于半导体基片表面进行电镀的装置
CN117210911A (zh) 一种改善晶圆镀层均匀性的电镀设备及电镀方法
KR20070059351A (ko) 전기 도금 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100429

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee