KR19980086762A - Deposition chamber and method for depositing a film having low dielectric constant - Google Patents

Deposition chamber and method for depositing a film having low dielectric constant Download PDF

Info

Publication number
KR19980086762A
KR19980086762A KR1019980016047A KR19980016047A KR19980086762A KR 19980086762 A KR19980086762 A KR 19980086762A KR 1019980016047 A KR1019980016047 A KR 1019980016047A KR 19980016047 A KR19980016047 A KR 19980016047A KR 19980086762 A KR19980086762 A KR 19980086762A
Authority
KR
South Korea
Prior art keywords
chamber
gas
outlet
gas distributor
substrate
Prior art date
Application number
KR1019980016047A
Other languages
Korean (ko)
Inventor
시지안 리
야신 왕
프레드 씨. 레데커
테쯔야 이시카와
앨런 더블유. 콜린스
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR19980086762A publication Critical patent/KR19980086762A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

개선된 증착 챔버(2)는 기판 지지대(14)를 하우징하는 챔버(18)를 한정하는 하우징(4)을 포함한다. 산소와 SiF4의 혼합물은 제 1 노즐 세트(34)를 통해 이송되고 실란은 제 2 노즐 세트(34a)를 통해 기판 지지대의 주변(40) 둘레의 챔버내로 이송된다. 실란(또는 실란과 SiF4의 혼합물)과 산소는 개별적으로 구멍(64, 76)으로부터 기판에 걸쳐 일반적으로 중심적으로 챔버내에 주입된다. 각각의 가스에 대한 최적 흐름 속도의 사용과 결합된 가스의 균일한 분배는 막에 걸쳐 균일하게 낮은 유전 상수(3.4 이하)를 초래한다.The improved deposition chamber 2 comprises a housing 4 defining a chamber 18 housing the substrate support 14. The mixture of oxygen and SiF 4 is conveyed through the first nozzle set 34 and the silane is conveyed through the second nozzle set 34a into the chamber around the perimeter 40 of the substrate support. Silane (or a mixture of silane and SiF 4 ) and oxygen are injected into the chamber, generally centrally, across the substrate from the holes 64, 76. Uniform distribution of the gas combined with the use of the optimum flow rate for each gas results in a uniformly low dielectric constant (below 3.4) across the membrane.

Description

낮은 유전 상수를 갖는 막을 증착하기 위한 증착 챔버 및 그 방법Deposition chamber and method for depositing a film having low dielectric constant

본 발명은 낮은 유전 상수를 갖는 막을 증착하기 위한 증착 챔버 및 그 방법에 관한 것이다.The present invention relates to a deposition chamber and method for depositing a film having a low dielectric constant.

현대 반도체 소자의 제조에서 중요한 단계 중 하나는 가스의 화학 반응에 의해 반도체 기판 상에 박막을 형성하는 것이다. 이런 증착 공정은 화학 기상 증착(CVD)으로서 인용된다. 일반적인 열적 CVD 공정은 열 유도된 화학 반응이 요구된 막을 형성하도록 발생할 수 있는 기판 표면에 반응 가스를 공급한다. 플라즈마 CVD 공정은 기판 표면에 근접하는 반응대에 무선 주파수(RF) 에너지의 적용에 의해 반응 가스의 여기 및/또는 해리를 증진시켜 높은 반응성 종(species)의 플라즈마를 형성한다. 방출된 종의 높은 반응성은 발생할 화학 반응에 필요한 에너지를 감소시키고, 그러므로 CVD 공정에 요구되는 온도를 더 낮춘다.One of the important steps in the fabrication of modern semiconductor devices is the formation of thin films on semiconductor substrates by chemical reaction of gases. This deposition process is referred to as chemical vapor deposition (CVD). Typical thermal CVD processes supply reactant gas to the substrate surface, which can occur to form films in which thermally induced chemical reactions are required. The plasma CVD process promotes excitation and / or dissociation of the reactant gas by the application of radio frequency (RF) energy to a reaction zone proximate the substrate surface to form a plasma of highly reactive species. The high reactivity of the released species reduces the energy needed for the chemical reactions to occur and therefore lowers the temperature required for the CVD process.

플라즈마 CVD 챔버의 디자인 중 하나에서, 진공 챔버는 일반적으로 캐소드로서 기능하고 하부를 따르는 평면 기판 지지대, 상부를 따르는 평면 애노드, 하부로부터 위쪽으로 연장하는 상대적으로 짧은 측벽, 및 상부와 측벽을 접속하는 유전체 돔에 의해 한정된다. 유도 코일이 돔 둘레에 장착되어 소스 무선 주파수(SRF : source radio frequency) 발생기에 접속된다. 애노드와 캐소드는 전형적으로 바이어스 무선 주파수(BRF : bias radio frequency) 발생기에 결합된다. SRF 발생기로부터 유도 코일에 인가된 에너지는 챔버내에 유도성 결합 플라즈마를 형성한다. 이런 챔버는 고밀도 플라즈마 CVD(HDP-CVD: high density plasma CVD) 챔버로서 참조된다.In one of the designs of the plasma CVD chamber, the vacuum chamber generally functions as a cathode and has a flat substrate support along the bottom, a planar anode along the top, a relatively short sidewall extending upward from the bottom, and a dielectric connecting the top and sidewalls. Defined by the dome. An induction coil is mounted around the dome and connected to a source radio frequency (SRF) generator. The anode and cathode are typically coupled to a bias radio frequency (BRF) generator. The energy applied from the SRF generator to the induction coil forms an inductively coupled plasma in the chamber. This chamber is referred to as a high density plasma CVD (HDP-CVD) chamber.

일부 HDP-CVD 챔버에서, 노즐과 같이 균등하게 일정 간격 배치되고 기판 지지 표면의 에지 위의 영역내로 연장되는 2개 이상의 분배기 세트를 측벽에 장착하는 것이 전형적이다. 각각의 분배기 세트에 대한 가스 노즐은 상기 세트에 대한 공통 매니폴드에 결합되고; 매니폴드는 가스 노즐에 처리 가스를 제공한다. 챔버내로 유입된 가스의 구성은 주로 기판에 형성될 재료의 형태에 의존한다. 예를 들면, 플루오로실리케이트 글라스(FSG) 막이 챔버내에서 증착될 때, 처리 가스는 실란(SiH4), 실리콘 테트라플루오르화물(SiF4), 산소(O2) 및 아르곤(Ar)을 포함할 수 있다. 가스 노즐 세트는 일반적으로 다른 가스가 공통 매니폴드를 통해 공통 노즐 세트로 운반될 수 있는 동안 다른 가스와는 개별적으로 챔버내로 약간의 가스를 유입하는 것이 바람직하기 때문에 사용된다. 예를 들면, 상기 FSG 처리에서 O2와 SiF4가 서로 쉽게 운반될 수 있는 동안 O2와는 개별적으로 SiH4를 유입하는 것이 바람직하다. 상기 노즐 팁은 기판 지지대의 주변 둘레 상에 일정 간격 배치되고 그것을 통해 처리 가스가 흐르는 출구, 전형적으로 구멍을 가진다.In some HDP-CVD chambers, it is typical to mount two or more distributor sets on the sidewalls spaced evenly, such as nozzles, and extending into an area above the edge of the substrate support surface. A gas nozzle for each distributor set is coupled to a common manifold for the set; The manifold provides process gas to the gas nozzle. The composition of the gas introduced into the chamber depends primarily on the type of material to be formed on the substrate. For example, when a fluorosilicate glass (FSG) film is deposited in the chamber, the process gas may include silane (SiH 4 ), silicon tetrafluoride (SiF 4 ), oxygen (O 2 ), and argon (Ar). have. Gas nozzle sets are generally used because it is desirable to introduce some gas into the chamber separately from other gases while other gases can be carried through the common manifold to the common nozzle set. For example, O 2 than individually, it is preferred to introduce the SiH 4, while in the FSG process is O 2 and SiF 4 can be easily carried together. The nozzle tip has an outlet, typically a hole, disposed at regular intervals on the perimeter of the substrate support and through which the processing gas flows.

소자 크기가 더 작아지고 집적 밀도가 증가함에 따라, 반도체 제조업자의 처리 요구에 부합하여 처리 기술의 발전이 요구된다. 이런 처리에서 중요한 하나의 파라미터는 막 증착 균일도이다. 무엇보다도 높은 막 균일도를 달성하기 위하여, 증착 챔버내로의 웨이퍼 표면에 걸친 가스 운반을 정확히 제어하는 것이 필요하다. 이상적으로, 웨이퍼 표면에 따라 여러 지점에서 유입되는 가스 비율(예를 들면, O2대 (SiH4+SiF4)의 비율)이 동일해야 한다.As device sizes become smaller and integration densities increase, the development of processing technologies is required to meet the processing needs of semiconductor manufacturers. One parameter important in this process is the film deposition uniformity. Above all, to achieve high film uniformity, it is necessary to precisely control the gas transport across the wafer surface into the deposition chamber. Ideally, the ratio of gas introduced at several points (eg, the ratio of O 2 to (SiH 4 + SiF 4 )) should be the same depending on the wafer surface.

도 1은 이미 개시된 챔버와 같은 종래 증착 챔버에 대한 전형적인 도핑되지않은 실리케이트 글라스(USG) 증착 두께 변화 플롯(46)을 도시한다. 평균 두께는 기준선(48)에 의해 도시된다. 플롯(46)에 의해 알 수 있는 바와 같이, 기판(20)의 주변(42)에 대응하는 플롯(46)의 끝점(50과 52)에서 상대적으로 가파르게 증가하고 있다. 또한 플롯(46)의 중앙(54)은 실질적으로 급강하한다.1 shows a typical undoped silicate glass (USG) deposition thickness variation plot 46 for a conventional deposition chamber, such as the chamber already disclosed. The average thickness is shown by the baseline 48. As can be seen by the plot 46, it is increasing relatively steeply at the endpoints 50 and 52 of the plot 46 corresponding to the perimeter 42 of the substrate 20. In addition, the center 54 of the plot 46 substantially descends.

95년 12월 13일에 제출된 미국 특허 출원 제08/571,618호는 제 3 가스 제어기(60)와 제 3 가스 공급 라인(62)을 통해 제 3 가스 소스(58)에 결합된 중앙 노즐(56)의 사용으로 플롯(46)이 개선되는 방법을 개시한다. 중앙 노즐(56)은 기판지지용 표면(16) 상에 중심적으로 배치된 구멍(64)을 가진다. 중앙 노즐(56)의 사용은 도 1의 USG 증착 두께 변화 플롯(46)으로부터 도 2의 바람직한 플롯(68)으로의 변형을 허용한다. 바람직한 증착 두께 변화 플롯(68)은 증착 두께의 표준 편차가 1 시그마의 1 내지 2%가 될 수 있을 만큼 충분히 평탄하다. 이것은 주로 끝점(50, 52)에서 플롯의 가파른 기울기를 감소하고, 플롯(46)의 중앙(54)에서의 하부 위치에서 상승시킴으로써 달성된다.US patent application Ser. No. 08 / 571,618, filed December 13, 95, discloses a central nozzle 56 coupled to a third gas source 58 via a third gas controller 60 and a third gas supply line 62. Discloses how the plot 46 is improved. The central nozzle 56 has a hole 64 centered on the substrate support surface 16. The use of the central nozzle 56 allows a variation from the USG deposition thickness change plot 46 of FIG. 1 to the preferred plot 68 of FIG. 2. The preferred deposition thickness change plot 68 is flat enough that the standard deviation of the deposition thickness can be 1 to 2% of one sigma. This is mainly achieved by reducing the steep slope of the plot at the endpoints 50, 52 and raising it at a lower position at the center 54 of the plot 46.

3개, 4개, 또는 그 이상의 금속층이 반도체 상에 형성되는 멀티 레벨 금속 기술의 도래로, 반도체 제조의 다른 목표는 금속간 유전체층과 같은 유전체층의 유전 상수를 낮추는 것이다. 낮은 유전 상수 막은 특히 상호 접속 금속화 공정의 RC 시간 지연을 감소하고, 금속화 공정의 서로 다른 레벨 사이의 혼선을 방지하며, 소자 전력 소모를 감소하기 위해 금속간 유전체(IMD) 층으로 바람직하다.With the advent of multi-level metal technology in which three, four, or more metal layers are formed on a semiconductor, another goal of semiconductor fabrication is to lower the dielectric constant of dielectric layers, such as intermetallic dielectric layers. Low dielectric constant films are particularly desirable as intermetal dielectric (IMD) layers to reduce the RC time delay of interconnect metallization processes, prevent crosstalk between different levels of metallization processes, and reduce device power consumption.

낮은 유전 상수를 달성하려는 많은 시도가 진행되어 왔다. 가장 장래성 있는 해결책 중 하나는 플루오르 또는 다른 할로겐 원소(예를 들어 염소 또는 브롬)를 실리콘 산화물층내에 혼합시키는 것이다. 플루오르가 전체 SiOF 망상 조직의 분극화를 감소시키는 음성 원자이기 때문에 실리콘 산화막을 위한 바람직한 할로겐 도판트인 플루오르가 실리콘 산화막의 유전 상수를 낮추는 것으로 믿어진다. 플루오르 도핑된 실리콘 산화막은 플루오르 실리케이트 글라스(FSG) 막으로서 참조된다.Many attempts have been made to achieve low dielectric constants. One of the most promising solutions is to mix fluorine or other halogen elements (eg chlorine or bromine) in the silicon oxide layer. Since fluorine is a negative atom that reduces the polarization of the entire SiOF network, it is believed that fluorine, which is a preferred halogen dopant for silicon oxide, lowers the dielectric constant of the silicon oxide film. The fluorine doped silicon oxide film is referred to as a fluorosilicate glass (FSG) film.

상기한 바와 같이, FSG 막과 같은 감소된 유전 상수를 가지는 산화막을 제조하는 것이 바람직하다는 것을 알 수 있다. 동시에, 또한 막 균일도와 같은 특성을 개선하기 위하여 웨이퍼 표면에 따른 모든 위치에 대한 처리 가스의 운반을 정확히 제어하는 방법을 제공하는 것이 바람직하다. 이미 개시된 바와 같이, 막 증착 균일도를 개선하는데 사용된 한가지 방법은 미국 특허 출원 제08/571,618호에 개시되어 있다. 이런 개선에도 불구하고, 상기 관련 목적을 달성하기 위한 새로운 기술들이 최근의 과학 기술과 보조를 맞추기 위해 지속적으로 연구되고 있다.As mentioned above, it can be seen that it is desirable to produce an oxide film having a reduced dielectric constant, such as an FSG film. At the same time, it is also desirable to provide a method for precisely controlling the delivery of processing gas to all locations along the wafer surface in order to improve properties such as film uniformity. As already disclosed, one method used to improve film deposition uniformity is disclosed in US patent application Ser. No. 08 / 571,618. In spite of these improvements, new techniques for achieving these related objectives are constantly being researched to keep pace with recent scientific techniques.

본 발명의 목적은 개선된 가스 운반 시스템을 결합한 개선된 증착 챔버 및 낮은 유전 상수와 개선된 균일도를 가지는 막을 증착하는 방법을 제공하는 것이다.It is an object of the present invention to provide an improved deposition chamber incorporating an improved gas delivery system and a method for depositing films having low dielectric constants and improved uniformity.

도 1은 종래 기술의 특징적 M형 증착 두께 변화 플롯을 도시하는 과장도. 도 2는 미국 특허 출원 제 08/571,618호의 장치를 사용한 도 1의 증착 두께 변화의 개선을 도시하는 도면.1 is an exaggerated diagram showing a characteristic M-type deposition thickness variation plot of the prior art. FIG. 2 shows an improvement of the deposition thickness change of FIG. 1 using the apparatus of US patent application 08 / 571,618.

도 3은 본 발명의 일실시예에 따라 제조된 증착 챔버를 도시하는 개략적 단면도.3 is a schematic cross-sectional view illustrating a deposition chamber made in accordance with one embodiment of the present invention.

도 4는 SiF4대 실란의 서로 다른 흐름 속도비에 대한 유전 상수 대 산소 흐름의 그래프.4 is a graph of dielectric constant versus oxygen flow for different flow rate ratios of SiF 4 to silane.

도 5는 3개 구멍을 가지는 도 3의 중앙 노즐에 대한 다른 실시예의 개략도.5 is a schematic representation of another embodiment of the central nozzle of FIG. 3 with three holes;

도 6은 부가적 산소 통로를 도시하는 중앙 노즐의 영역도.6 is an area view of a central nozzle showing additional oxygen passages.

* 도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

34, 34a : 노즐 38 : 구멍34, 34a: nozzle 38: hole

56 : 중앙 노즐 70 : 가스 통로56 center nozzle 70 gas passage

76 : 환형 구멍 78 : 유체 시일76: annular hole 78: fluid seal

본 발명은 개선된 가스 운반 시스템을 결합한 개선된 증착 챔버의 제공에 관한 것이다. 상기 가스 운반 시스템은 적당한 비율의 처리 가스가 웨이퍼 표면에 걸쳐 균일하게 운반되도록 한다. 또한 본 발명은 낮은 유전 상수와 개선된 균일도를 가지는 FSG막을 증착하는 방법에 관한 것이다. 이것은 (1) 기판에 대한 가스(바람직하게 실란, SiF4또는 CF4와 같은 플로오르 공급용 가스, 및 O2또는 N20과 같은 산소 공급용 가스)의 적용, (2) 바람직하게 특별한 챔버를 사용하여 테스트 결과로서 결정되어지는 상기 가스의 최적 흐름 속도 선택의 조합에 의해 달성된다. 일부 실시예에서, 도핑된 FSG막은 3.4 또는 3.3 만큼 낮은 유전 상수를 가진다. 바람직하게, 상기 FSG막의 유전 상수는 적어도 3.5 이하이다.The present invention relates to the provision of an improved deposition chamber incorporating an improved gas delivery system. The gas delivery system ensures that an appropriate proportion of process gas is uniformly transported across the wafer surface. The invention also relates to a method for depositing an FSG film having a low dielectric constant and improved uniformity. This includes (1) application of a gas to the substrate (preferably silane, a gas for flow supply such as SiF 4 or CF 4 , and an oxygen supply gas such as O 2 or N 2 0), (2) preferably a special chamber By means of a combination of the optimum flow rate selection of the gas, which is determined as a test result. In some embodiments, the doped FSG film has a dielectric constant as low as 3.4 or 3.3. Preferably, the dielectric constant of the FSG film is at least 3.5 or less.

개선된 증착 챔버는 증착 챔버를 한정하는 하우징을 포함한다. 기판 지지대는 증착 챔버내에 하우징된다. 제 1 가스 분배기는 기판 지지용 표면으로부터 일정 간격 배치되고 일반적으로 기판 지지용 표면의 주변 둘레에 중첩하는 주변 패턴내에 있는 증착 챔버내로 개방되는 구멍 또는 다른 출구를 가진다. 기판 지지용 표면으로부터 그 위에 일정 간격 배치되는 제 2 가스 분배기, 바람직하게 중앙 노즐이 사용되며, 제 3 가스 분배기가 기판 상에 중심적인 영역에서 하우징 상부를 통해 챔버로 산소 공급용 가스(예를 들면, O2)를 운반한다. 이것은 바람직하게 실란(그리고 다른 가스)을 운반하는 중앙 노즐과 하우징의 상부에 있는 홀 사이에 형성된 환형 구멍을 통해 산소를 통과시킴으로써 달성된다. 일실시예에서 제 1 가스 분배기는 제 1 및 제 2 노즐 세트를 포함한다.The improved deposition chamber includes a housing defining a deposition chamber. The substrate support is housed in the deposition chamber. The first gas distributor has holes or other outlets that are spaced from the substrate support surface and open into the deposition chamber that are generally in a peripheral pattern that overlaps around the periphery of the substrate support surface. A second gas distributor, preferably a central nozzle, is used which is spaced above it from the substrate support surface, and wherein the third gas distributor is used for supplying oxygen (e. G. , O 2 ). This is preferably accomplished by passing oxygen through an annular hole formed between a central nozzle carrying silane (and other gases) and a hole in the top of the housing. In one embodiment the first gas distributor comprises a first and a second nozzle set.

본 발명의 일실시예에서, FSG 막은 실란, 산소 및 SiF4를 포함하는 처리 가스로부터 증착된다. 산소와 SiF4는 제 1 노즐 세트를 통해 챔버로 함께 운반되고, 실란(또는 실란과 SiF4)은 제 2 노즐 세트를 통해 운반된다. 산소와 SiF4의 혼합 및 제 1 노즐 세트를 통한 이런 혼합물의 유입은 장비 복잡성을 감소시켜 비용이 감소될 수 있다. 또한 실란(또는 실란과 SiF4)은 제 2 가스 분배기의 사용없이 달성되는 기판 상부에 대한 가스의 균일한 적용을 개선하기 위해 제 2 가스 분배기로부터 진공 챔버내로 주입되고, 산소는 제 3 가스 분배기를 통해 운반된다. 이런 식으로, 산소는 바람직하게 SiF4와 함께 제 1 가스 분배기의 제 1 노즐 세트를 통해 측면으로부터, 또한 기판 상의 실란과 같이 동일 영역내로 공급된다. 또한, 환형 구멍을 통한 산소의 통로는 챔버내의 반응 가스가 하우징의 상부와 중앙 노즐이 연장하는 몸체 사이에 사용된 시일의 손상을 방지한다. 이런 장점은 실란이 환형 노즐을 통해 통과하고 산소가 중앙 노즐을 통해 통과한다면 계속 유지된다.In one embodiment of the present invention, the FSG film is deposited from a processing gas comprising silane, oxygen and SiF 4 . Oxygen and SiF 4 are carried together through the first nozzle set to the chamber, and silane (or silane and SiF 4 ) is carried through the second nozzle set. Mixing oxygen and SiF 4 and introducing this mixture through the first nozzle set can reduce equipment complexity and reduce costs. Silane (or silane and SiF 4 ) is also injected from the second gas distributor into the vacuum chamber to improve uniform application of gas to the substrate top, which is achieved without the use of a second gas distributor, and oxygen is injected into the third gas distributor. Is carried through. In this way, oxygen is preferably supplied together with SiF 4 from the side through the first set of nozzles of the first gas distributor and into the same region as the silane on the substrate. In addition, the passage of oxygen through the annular aperture prevents damage of the seal in which the reactive gas in the chamber is used between the top of the housing and the body from which the central nozzle extends. This advantage persists if silane passes through the annular nozzle and oxygen passes through the central nozzle.

또한 막 두께와 유전 상수 균일도는 기판의 온도가 기판에 걸쳐 균일하게 유지되는 것을 보장하고 스퍼터링 균일도를 달성하도록 디자인된 소스 RF 발생기를 사용함으로써 증진된다.Film thickness and dielectric constant uniformity are also enhanced by using a source RF generator designed to ensure that the temperature of the substrate remains uniform across the substrate and to achieve sputtering uniformity.

본 발명의 주요 특징 중 하나는 챔버에 진입하는 산소의 균일한 분배를 보장하는 것이 매우 중요하다고 하는 인식이다. 이것은 챔버의 상부와 챔버의 측면으로부터 산소를 흘려줌으로써 달성된다. 부가적으로, 챔버의 상부를 통한 산소 흐름 경로의 적당한 구성에 의해, 산소는 플루오르와 같은 반응 가스와의 접촉에서 발생하는 유해한 현상으로부터 시일링 엘리먼트를 보호하도록 소용될 수 있다.One of the main features of the present invention is the recognition that it is very important to ensure a uniform distribution of oxygen entering the chamber. This is accomplished by flowing oxygen from the top of the chamber and from the side of the chamber. In addition, by proper configuration of the oxygen flow path through the top of the chamber, oxygen can be used to protect the sealing element from harmful phenomena that occur in contact with the reactant gas, such as fluorine.

기판에 균일하게 가스를 공급하는 필요에 부가적으로, 안정된 막을 증착하고 막에 대한 최소 유전 상수를 달성하기 위하여 O2, SiH4및 SiF4와 같은 가스의 정확한 비율을 사용하는 것이 필요하다. 각각에 대한 적당한 흐름 속도는 사용된 특정 챔버에 따라 다를 것이다. 따라서, 최소 유전 상수를 갖는 고밀도 유전체 막을 제공하는 다양한 흐름 속도 비율을 테스트하는 것이 본 발명의 다른 특징이다.In addition to the need to supply gas evenly to the substrate, it is necessary to use the correct proportions of gases such as O 2 , SiH 4 and SiF 4 to deposit stable films and achieve minimum dielectric constants for the films. The appropriate flow rate for each will depend on the specific chamber used. Thus, it is another feature of the present invention to test various flow rate ratios that provide high density dielectric films with a minimum dielectric constant.

본 발명의 다른 특징과 장점은 바람직한 실시예가 첨부된 도면과 관련하여 상세히 설명되는 다음의 상세한 설명으로부터 도출될 것이다.Other features and advantages of the invention will be derived from the following detailed description in which preferred embodiments are described in detail in conjunction with the accompanying drawings.

도 3은 2개 세트의 RF 유도 코일(8, 9)에 의해 둘러싸여진 일반적으로 실린더화된 유전체 밀폐체(6)를 구비하는 하우징(4)을 포함하는 증착 챔버(2)를 설명한다. 밀폐체(6)는 유전체 재료 외에 RF 투명 재료로 제조될 수 있다. 코일(8, 9)은 한쌍의 소스 RF 발생기(10, 11)에 의해 전력이 공급된다. 또한 챔버(2)는 하우징(4)내에 한정된 상기 진공 챔버(18)내에 기판 지지용 표면(16)을 가지는 수냉식 기판 지지대(14)를 포함한다. 표면(16)은 챔버(18)내에서 기판(20)을 지지하는데 사용된다. 기판 지지대(14)는 캐소드로서 기능하고 정합 회로(24)를 통해 바이어스 RF 발생기(22)에 접속된다. 하우징(4)의 일반적으로 실린더형 측벽(30)은 하우징(4)의 하부(32)를 유전체 밀폐체(6)에 접속시킨다. 측벽(30)은 애노드로서 기능한다.FIG. 3 illustrates a deposition chamber 2 comprising a housing 4 having a generally cylinderized dielectric enclosure 6 surrounded by two sets of RF induction coils 8, 9. The seal 6 can be made of an RF transparent material in addition to the dielectric material. The coils 8, 9 are powered by a pair of source RF generators 10, 11. The chamber 2 also includes a water cooled substrate support 14 having a substrate support surface 16 in the vacuum chamber 18 defined in the housing 4. Surface 16 is used to support substrate 20 in chamber 18. The substrate support 14 functions as a cathode and is connected to the bias RF generator 22 through a matching circuit 24. The generally cylindrical sidewall 30 of the housing 4 connects the lower portion 32 of the housing 4 to the dielectric enclosure 6. Side wall 30 functions as an anode.

처리 가스는 균등하게 일정 간격 배치된 2개 세트의 12개 노즐(34, 34a)을 통해 기판(20)을 둘러싸는 영역에서 진공 챔버(18)로 유입된다. 노즐(34, 34a)은 링형 패턴으로 배열되고 각각 가스 매니폴드(36, 36a)에 유동적으로 결합된다. 매니폴드(36, 36a)는 제 1 및 제 2 가스 소스(35, 35a)로부터 제 1 및 제 2 가스 제어기(33, 37a)와 제 1 및 제 2 가스 공급 라인(39, 39a)을 통해 처리 가스를 공급한다. 각각의 노즐(34, 34a)은 그것의 말단부에 구멍(38)을 가진다. 상기 노즐(34, 34a)의 구멍(38)은 기판 지지대(14)의 주변 상에 배열되고 그러므로 기판(20)의 주변(42) 상에 배열된다. 진공 챔버(18)는 배기 포트(44)를 통해 배기된다.The processing gas enters the vacuum chamber 18 in a region surrounding the substrate 20 through two sets of twelve nozzles 34, 34a evenly spaced apart. The nozzles 34, 34a are arranged in a ring pattern and are fluidly coupled to the gas manifolds 36, 36a, respectively. Manifolds 36 and 36a are processed from first and second gas sources 35 and 35a through first and second gas controllers 33 and 37a and first and second gas supply lines 39 and 39a. Supply gas. Each nozzle 34, 34a has a hole 38 at its distal end. The holes 38 of the nozzles 34, 34a are arranged on the periphery of the substrate support 14 and are therefore arranged on the perimeter 42 of the substrate 20. The vacuum chamber 18 is exhausted through the exhaust port 44.

상기 챔버(2)의 여러 가지 컴포넌트는 처리기(도시 안됨)에 의해 제어된다. 상기 처리기는 컴퓨터 판독 가능 매체(또한 도시 안됨)의 제어하에 동작한다. 상기 컴퓨터 프로그램은 여러 가지 동작 파라미터, 이를테면 시간, 가스의 혼합, 챔버 압력, 기판 지지 온도 및 RF 전력 레벨을 명령한다.Various components of the chamber 2 are controlled by a processor (not shown). The processor operates under the control of a computer readable medium (also not shown). The computer program commands various operating parameters, such as time, mixing of gases, chamber pressure, substrate support temperature and RF power level.

본 발명은 기판 상에 배치된 개선된 가스 운반 컴포넌트(65)를 제공함으로써 이미 개시된 구조에서 개선된다. 바람직한 실시예에서, 가스 운반 컴포넌트(65)는 밀폐체(6)의 상부에 장착된 몸체(72)내에 형성된 가스 통로(70)를 포함한다. 중앙 노즐(56)이 상부(75)에 형성된 개구부(74)를 통과한다. 노즐(56)과 개구부(74)는 진공 챔버(18)와 가스 통로(70)와 유체 연통하는 환형 구멍(76)을 제공한다. 유체 시일(78)이 몸체(72)와 상부(75) 사이에 제공된다. 그러므로 가스는 통로(70)를 통해, 유체 시일(78)에 의해 구속되며, 최종적으로 환형 구멍(76)을 따라 몸체(72)와 상부(75) 사이에 한정된 영역내로 진행한다.The present invention is improved in the structure already disclosed by providing an improved gas delivery component 65 disposed on a substrate. In a preferred embodiment, the gas delivery component 65 comprises a gas passage 70 formed in a body 72 mounted on top of the closure 6. The central nozzle 56 passes through the opening 74 formed in the upper portion 75. The nozzle 56 and the opening 74 provide an annular hole 76 in fluid communication with the vacuum chamber 18 and the gas passage 70. A fluid seal 78 is provided between the body 72 and the top 75. The gas is therefore constrained by the fluid seal 78 through the passage 70 and finally progresses along the annular hole 76 into the area defined between the body 72 and the top 75.

바람직한 실시예에서, 본 발명의 장치는 실란, 산소 및 SiF4선구물질 가스로부터 FSG 막을 증착하는데 사용된다. 이런 실시예에서, 본 발명은 바람직하게 제 1 가스 소스(35)로부터 SiF4와 산소의 혼합물을 노즐(34)의 구멍(38)을 통해 챔버(18)내로 유입한다. 이렇게 하여 가스 운반을 단순화함으로써 비용 절감을 가져온다. 실란(SiH4)은 바람직하게 제 2 가스 소스(35a)로부터 제 2 가스 제어기(37a)와 노즐(34a)을 통해 챔버(18)내로 운반된다. 부가적으로, 제 3 가스 소스(58)는 바람직하게 상기 기판(20)으로부터 챔버(18)내로 실란(또는 실란과 SiF4의 혼합물)을 유입하는데 사용된다. 이와 관련하여, 또한 산소는 기판(20) 상부의 위치로부터 통로(70)와 환형 구멍(76)을 통해 실란의 흐름 경로와 분리된 흐름 경로를 따라 챔버(18)내로 향하게 된다.In a preferred embodiment, the apparatus of the present invention is used to deposit FSG films from silane, oxygen and SiF 4 precursor gases. In this embodiment, the present invention preferably introduces a mixture of SiF 4 and oxygen from the first gas source 35 into the chamber 18 through the aperture 38 of the nozzle 34. This leads to cost savings by simplifying gas delivery. Silane SiH 4 is preferably conveyed from the second gas source 35a into the chamber 18 through the second gas controller 37a and the nozzle 34a. In addition, a third gas source 58 is preferably used to introduce silane (or a mixture of silane and SiF 4 ) from the substrate 20 into the chamber 18. In this regard, oxygen is also directed from the position above the substrate 20 through the passage 70 and the annular aperture 76 into the chamber 18 along a flow path separate from the flow path of the silane.

산소는 상대적으로 안정한 가스, 이를테면 SiF4와 혼합될 수 있다. 그러나, 실란과 산소의 반응 특성 때문에 이런 성분들은 이들의 챔버(18)내로의 유입때까지 개별적으로 유지되어야 한다. 이것을 달성하기 위하여, 개별 노즐(34, 34a)이 기판 지지대(14) 둘레의 영역에 사용된다. 또한 산소가 몸체(72)에 형성된 가스 통로(70)를 통해 유입된다. 이런 식으로 산소를 주입함으로써, 마찬가지로 유체 시일(78)에 대한 유해한 현상을 가질 수 있는 플루오르 성분과 같은 가스가 산소 흐름의 세정 현상 또는 세척 현상에 의해 유체 시일에 도달하지 못하도록 한다. 다른 실시예에서, 또한 시일(78)이 열화되지 않도록 하는 산소외의 가스가 사용될 수 있다.Oxygen can be mixed with a relatively stable gas, such as SiF 4 . However, due to the reaction properties of silane and oxygen, these components must be maintained individually until their entry into the chamber 18. To achieve this, individual nozzles 34 and 34a are used in the area around the substrate support 14. Oxygen is also introduced through the gas passage 70 formed in the body 72. By injecting oxygen in this way, gases such as fluorine components, which may likewise have a deleterious effect on the fluid seal 78, are prevented from reaching the fluid seal by a cleaning phenomenon or a cleaning phenomenon of the oxygen flow. In other embodiments, gases other than oxygen may also be used to prevent the seal 78 from deteriorating.

가스 통로(70)를 통해 산소를 운반하는 다른 장점은 산소가 실란 또는 일부 다른 가스와 비교할 때 상대적으로 긴 체류 시간을 가진다는 것이다. 실란의 짧은 체류 시간 때문에, 실란이 구멍(76)을 통해 유입될 때 상대적으로 빨리 분해될 수 있어 구멍내에 미립자를 형성하고 통로(70)에 있는 구멍의 업스트림을 초래한다. 분자 산소는 실란보다 더 긴 체류 시간을 가지고, 그러므로 대신에 산소가 구멍(76)을 통해 운반될 때 문제가 발생하지 않는다.Another advantage of transporting oxygen through the gas passage 70 is that the oxygen has a relatively long residence time when compared to silane or some other gas. Because of the short residence time of the silane, the silane can decompose relatively quickly as it enters through the hole 76, forming particulates in the hole and causing upstream of the hole in the passage 70. Molecular oxygen has a longer residence time than silane and therefore no problem occurs when oxygen is transported through the hole 76 instead.

이런 방식의 FSG 막의 증착은 3.5 미만, 및 3.4 또는 3.3 이하 조차의 유전 상수를 가지는 안정한 막(450℃까지의 온도에서 HF 또는 H2O 가스 방출이 없는)을 초래한다. 이런 낮은 유전 상수값은 기판(20)에 걸쳐 일반적으로 균일한 방식으로 달성된다. 유전 상수의 균일한 감소는 소자 크기가 감소되고 가깝게 배치된 도체 사이의 캐패시턴스가 자연적으로 증가할 것이기 때문에 중요하다. 캐패시턴스를 감소하여 소자의 동작 속도를 증가하기 위해, 증착된 유전체 막의 유전 상수는 감소되어야 한다.Deposition of FSG films in this manner results in stable films (without HF or H 2 O gas release at temperatures up to 450 ° C.) with dielectric constants below 3.5, and even below 3.4 or 3.3. This low dielectric constant value is achieved in a generally uniform manner across the substrate 20. Uniform reduction in dielectric constant is important because device size is reduced and capacitance between closely spaced conductors will naturally increase. In order to reduce capacitance and increase the device's operating speed, the dielectric constant of the deposited dielectric film must be reduced.

이미 기술된 상기 구조를 사용하는 가스 분배의 균일도와 관련하여, 균일한 유전 상수는 또한 기판(20)에 걸친 온도 균일도와 스퍼터링 균일도에 의존한다. 예를 들면, 기판에 따른 더욱 균일한 온도 분배를 달성하는데 사용될 수 있는 구조의 설명을 위해 1996년 4월 25일에 제출되고 감소된 접촉 면적과 온도 피드백을 가지는 압력대를 갖는 기판 지지대로 명명되고 어플라이드 머티어리얼스, 인코포레이티드에 양도된 미국 특허 출원 제 08/641,147호를 참조하라. 1995년 2월 15일에 제출되고 유도 결합된 플라즈마 반응기의 RF 전력 소스에 대한 자동 주파수 튜닝으로 명명된 미국 특허 제08/389,888호와 1995년 7월 26에 제출되고 전기적 가변 밀도 프로파일을 가진 플라즈마 소스로 명명되고 또한 어플라이드 머티어리얼스, 인코포레이티드에 양도된 미국 특허 출원 제08/507,726호는 증진된 스퍼터링 균일도를 위한 구조를 개시하고 있다.Regarding the uniformity of gas distribution using the above described structure, the uniform dielectric constant also depends on the temperature uniformity and the sputtering uniformity across the substrate 20. For example, filed on April 25, 1996 for the description of a structure that can be used to achieve a more uniform temperature distribution along the substrate and named as a substrate support having a pressure zone with reduced contact area and temperature feedback. See US patent application Ser. No. 08 / 641,147, assigned to Applied Materials, Inc. US Patent No. 08 / 389,888, filed Feb. 15, 1995 and named Automatic Frequency Tuning for RF Power Sources of Inductively Coupled Plasma Reactors, and Plasma Sources with Electrically Variable Density Profiles, filed on July 26, 1995 US Patent Application No. 08 / 507,726, also assigned to Applied Materials, Inc., discloses a structure for enhanced sputtering uniformity.

SiF4와 실란의 전체 흐름의 변화는 증착 속도와 처리량에 영향을 끼친다. 높은 처리량은 높은 스퍼터링과 높은 에칭 속도를 형성하도록 바이어스 전력 소스(22)로부터 높은 바이어스 전력을 요구한다. 에칭 속도는 기판의 온도에 의해 강하게 영향을 받기 때문에 높은 바이어스 전력과 높은 처리량은 기판(20)에 걸친 온도 균일도가 달성되는 경우에만 가능하다.Changes in the overall flow of SiF 4 and silane affect the deposition rate and throughput. High throughput requires high bias power from bias power source 22 to form high sputtering and high etch rate. Since the etch rate is strongly influenced by the temperature of the substrate, high bias power and high throughput are only possible if temperature uniformity across the substrate 20 is achieved.

사용될 상기 SiF4, 실란(SiH4)과 산소의 양의 결정은 전체 새로운 층의 복잡성을 형성한다. 실리콘(예를 들어 SiH4와 SiF4)의 흐름 속도가 일정하게 유지한다고 가정하면, 수개의 기본적인 보고서가 이런 여러 가지 구성의 사용을 고려하여 형성될 수 있다고 믿어진다. 너무 적은 산소가 사용된다면, 상기 증착 속도는 극도로 떨어져 너무 비능률적인 처리를 형성한다. 너무 적은 산소는 상기 막에 편입된 과잉 플루오르를 가진 실리콘이 풍부한 막을 남길 수 있다. 너무 많은 산소가 사용된다면, 얻어지는 막은 더많은 USG가 되고 상기 유전 상수는 높아진다. 너무 많은 SiF4가 사용된다면, 노화 문제를 초래할 수 있고, 노화 문제는 시간에 걸쳐 플루오르가 상기 얻어지는 막의 복잡한 화학적 작용 상태에서 단단히 결합되지 않고 방출되기 때문에 초래되고 소자의 열화를 초래한다. 너무 많은 실란은 상기 막이 더욱 USG 처럼 행동하도록 할 것이고 그러므로 바람직하지 않은 레벨의 유전 상수를 초래한다.The determination of the amount of SiF 4 , silane (SiH 4 ) and oxygen to be used forms the complexity of the whole new layer. Assuming that the flow rates of silicon (eg SiH 4 and SiF 4 ) remain constant, it is believed that several basic reports can be formed considering the use of these different configurations. If too little oxygen is used, the deposition rate is extremely low, forming too inefficient treatment. Too little oxygen can leave a silicon rich film with excess fluorine incorporated into the film. If too much oxygen is used, the resulting film becomes more USG and the dielectric constant becomes higher. If too much SiF 4 is used, it can lead to aging problems, which are caused over time because fluorine is released without being tightly bound in the complex chemical state of the resulting film and leads to degradation of the device. Too much silane will cause the membrane to behave more like USG and therefore lead to undesirable levels of dielectric constant.

상기 기판 표면에서 산소, SiF4및 실란의 광학적 양은 화학량론적 비율이다. 그러나, 챔버(2)와 다른 증착 챔버를 포함하는 증착 챔버내의 흐르는 가스의 화학량론적 비율은 상기 화학량론적 비율이 아닌 기판 표면에서의 가스 비율을 초래할 것이다. 상기 기판 표면에서의 화학량론적 비율을 달성하는데 요구되는 상기 증착 챔버내로 흐르는 가스의 실제 비율은 특정 챔버의 구조에 따라 적어도 부분적으로 상기 화학량론적 비율으로부터 변화할 것이다. 상기 챔버에 더욱 효과적이고, 화학량론적 양에 더 가까운 가스 흐름 속도가 사용될 수 있도록 가스는 적게 낭비된다.The optical amounts of oxygen, SiF 4 and silane at the substrate surface are in stoichiometric proportions. However, the stoichiometric ratio of the flowing gas in the deposition chamber, including chamber 2 and other deposition chambers, will result in a gas ratio at the substrate surface that is not the stoichiometric ratio. The actual proportion of gas flowing into the deposition chamber required to achieve the stoichiometric ratio at the substrate surface will vary from the stoichiometric ratio at least in part depending on the structure of the particular chamber. Less gas is wasted so that a gas flow rate that is more effective for the chamber and closer to stoichiometric amounts can be used.

3.5 이하, 바람직하게 3.4 이하 및 더욱 바람직하게 3.3 이하의 바람직한 유전 상수를 달성하도록 특별한 챔버에 대한 SiF4, 실란 및 산소의 적당한 관련 흐름 속도를 결정하기 위하여, 3개 성분의 비율은 기판(20)상에 다수의 유전체막을 형성하도록 어떤 요구된 방식으로 변경될 수 있다. 다음에 각각의 유전체막에 따른 서로 다른 위치에서의 유전 상수가 측정될 수 있다. 그러나, 일부는 정리되어 있는 관련 양으로 제한된다. SiF4의 비율은 너무 많거나 너무 적은 SiF4와 실란을 초래하는 문제를 감소하거나 제거하도록 전체 실리콘 공급용 가스의 약 40% 내지 60%가 되어야 한다. 산소는 전체 실리콘 공급용 가스의 약 60% 내지 100% 사이가 될 것이다.In order to determine the appropriate relative flow rates of SiF 4 , silane and oxygen for a particular chamber to achieve a desired dielectric constant of 3.5 or less, preferably 3.4 or less and more preferably 3.3 or less, the ratio of the three components is determined by the substrate 20. It can be altered in any desired manner to form multiple dielectric films on it. The dielectric constants at different locations along each dielectric film can then be measured. However, some are limited to the relevant amounts that are listed. Ratio of SiF 4 should be approximately 40% to 60% of total silicon fed gas so as to reduce or eliminate the problems that result in too much or too little SiF 4 and silane. Oxygen will be between about 60% and 100% of the total silicon feed gas.

도 4는 SiF4: 실란 : 산소의 비율을 변경하여 실행된 테스트 세트의 결과를 도시한다. 전체 반응 가스 흐름 속도(일정한 양의 실란으로 초래하는), 즉 SiF4와 실란의 조합을 위한 흐름 속도, 즉 SiF4와 실란의 화합물에 대한 흐름 속도(일정한 양의 실리콘을 초래하는)를 선택하고, SiF4와 실란의 여러 가지 비율을 얻기 위하여 SiF4와 실란 사이의 합계를 분할하고 다음에 이런 비율을 사용하여 산소 흐름을 변화시킴으로써, 유전 상수 대 산소 흐름의 도 4에 도시된 그래프가 형성된다. 이런 형태의 그래프는 매우 유용한 데이터를 제공한다.4 shows the results of a test set performed by varying the ratio of SiF 4 : silane: oxygen. Select the whole reaction gas flow rates (which results in a certain amount of the silane), that is, SiF 4 and the flow rate for the combination of the silane, that is, SiF 4 and (resulting in the amount of silicon constant) flow rate for the compounds of the silane and , by dividing the sum between SiF 4 and silane in order to obtain a number of the ratio of SiF 4 and the silane and varying the oxygen flow using this rate in the following, the dielectric constant for the oxygen flow is formed in the graph shown in Figure 4 . This type of graph provides very useful data.

44 sccm SiF4대 36.4 sccm 실란으로부터 초래하는 플롯 A는 약 62 sccm의 산소 흐름에서 3.4로부터 약 110 sccm의 산소 흐름 속도에서 약 3.8까지 변화하는 유전 상수를 초래한다. 상기 최소 유전 상수가 SiF4대 실란의 이런 비율을 위한 것이라는 것에 대해서는 상기 그래프로부터 명확하지 않다. 그러나, 최소값은 부적절하게 낮은 산소 흐름 속도에서 발생한다는 것을 나타낸다. 36 대 44.4의 SiF4대 실란의 sccm 흐름 속도비를 가지는 플롯 B는 최저 유전 상수, 즉 60 sccm의 산소 흐름에서 약 3.2를 제공한다. 플롯 C와 D는 각각 약 3.5와 3.6의 최소 유전 상수를 가진다. 이런 그래프로부터 특별한 비율의 SiF4대 실란에 대해, 플롯 B에 대한 비율은 수용할 수 있는 레벨에 있는 산소 흐름으로 최저 유전 상수를 제공한다는 것을 알 수 있다. 플롯 A와 B의 검토는 이런 2개 플롯에 대한 특성 사이의 SiF4대 실란의 특성이 플롯 B에 대한 특성으로 달성할 수 있는 것보다 더 낮은 유전 상수를 산출할 수 있다는 것을 제시한다.Plot A resulting from 44 sccm SiF 4 vs. 36.4 sccm silane results in a dielectric constant that varies from 3.4 to about 3.8 at an oxygen flow rate of about 110 sccm at an oxygen flow of about 62 sccm. It is not clear from the graph that the minimum dielectric constant is for this ratio of SiF 4 to silane. However, the minimum value indicates that it occurs at an inappropriately low oxygen flow rate. Plot B with a sccm flow rate ratio of 36 to 44.4 SiF 4 to silane gives the lowest dielectric constant, about 3.2, at an oxygen flow of 60 sccm. Plots C and D have minimum dielectric constants of about 3.5 and 3.6, respectively. From this graph it can be seen that for a particular ratio of SiF 4 to silane, the ratio for plot B gives the lowest dielectric constant with oxygen flow at an acceptable level. A review of plots A and B suggests that the properties of SiF 4 versus silane between the properties for these two plots can yield lower dielectric constants than can be achieved with properties for plot B.

따라서, 본 발명은 감소된 유전 상수를 달성하도록 SiF4(또는 다른 플루오르 공급용 가스)와 실란 화학을 사용하여 낮은 유전 상수를 가진 막을 달성하는 방법을 결정하는 유용하고 효과적인 방법을 제공한다. 각각의 테스트에 대한 단일 합계 반응 가스 흐름 속도를 선택하기 위해 이미 기술된 방법이 현재 채용되지만, 유전 상수 정보의 정돈된 수집을 위한 다른 방법이 또한 추구될 수 있다. 예를 들면, 모든 3개 변수가 전체 파라미터내에서 변화될 것이 요구될 수 있다.Accordingly, the present invention provides a useful and effective method of determining how to achieve films with low dielectric constants using SiF 4 (or other fluorine supply gas) and silane chemistry to achieve reduced dielectric constants. While the methods previously described are currently employed to select a single sum reaction gas flow rate for each test, other methods for orderly collection of dielectric constant information can also be pursued. For example, all three variables may be required to be changed within the overall parameter.

사용중에, 낮은 유전 상수를 가지는 막은 우선 전형적으로 서로 다른 테스트 결과를 플롯팅함으로써 이미 개시된 방법으로 상기 SiF4, 실란 및 산소의 적당한 흐름 속도를 결정함으로써 기판(20) 상에 증착될 수 있다. 특별한 챔버에 대한 요구 속도가 결정될 때, 실란은 제 2 가스 소스(35a)로부터 챔버(18)내로 유입되고, 실란과 SiF4의 혼합물은 제 3 가스 소스(58)로부터 챔버내로 유입되고, 산소는 산소 소스(71)로부터 상기 챔버내로 유입되며, 산소와 SiF4의 혼합물은 제 1 가스 소스(35)로부터 챔버(18)내로 유입된다. 또한 아르곤은 제 1 및 제 3 소스(35, 58)로부터 유입된다. 또한 증착 균일도는 기판(20)의 온도가 기판 표면 상에서 균일하게 제어되도록 보장함으로써, 그리고 균일한 스퍼터링의 달성을 보조하는 가변 주파수 소스 RF 발생기(10, 11)의 사용에 의해 보조된다.In use, a film having a low dielectric constant can be deposited on the substrate 20 by first determining the proper flow rate of the SiF 4 , silane and oxygen in the already disclosed method, typically by plotting different test results. When the required rate for a particular chamber is determined, silane enters the chamber 18 from the second gas source 35a, a mixture of silane and SiF 4 flows into the chamber from the third gas source 58, and oxygen From the oxygen source 71 is introduced into the chamber, a mixture of oxygen and SiF 4 is introduced from the first gas source 35 into the chamber 18. Argon also flows in from the first and third sources 35, 58. Deposition uniformity is also assisted by ensuring that the temperature of the substrate 20 is uniformly controlled on the substrate surface, and by the use of variable frequency source RF generators 10 and 11 to assist in achieving uniform sputtering.

이미 기술된 실시예는 8인치(20 ㎝)의 직경을 가지는 기판(20)을 위해 디자인된다. 더 큰 직경의 기판, 이를테면 12 인치(30 ㎝)의 직경을 가지는 기판은 상기 노즐 장치(56')에 의해 도 5에 도시된 바와 같은 다중 중앙 노즐(56a)의 사용을 요구할 수 있다. 이런 실시예에서 상기 증착 두께 변화 플롯은 아마 3개 범프(도 3에 도시된 바와 같은), 4개 범프 또는 5개 범프 모양을 가질 것이다. 상기 증착 두께 플롯에 대한 특별한 모양은 중앙 노즐(54A)과 구멍(64)의 형태, 수, 방향 및 간격에 의해 영향을 받게 될 것이다.The embodiment already described is designed for a substrate 20 having a diameter of 8 inches (20 cm). Larger diameter substrates, such as substrates having a diameter of 12 inches (30 cm), may require the use of multiple central nozzles 56a as shown in FIG. 5 by the nozzle arrangement 56 '. In this embodiment the deposition thickness change plot will probably have three bumps (as shown in FIG. 3), four bumps or five bump shapes. The particular shape for the deposition thickness plot will be affected by the shape, number, direction and spacing of the central nozzle 54A and the aperture 64.

구멍(76)에 부가적으로, 또한 산소는 도 6에 도시된 바와 같은 다수의 하향 및 외부로 연장하는 통로(80)를 통해 챔버(18)내로 진입될 수 있다. 각각의 통로(80)는 산소가 챔버(18)내로 진입하는 구멍(82)을 가진다. 필요하다면, 다른 가스, 이를테면 아르곤은 구멍(64)을 통과하는 실란 또는 환형 구멍(76) 또는 구멍(82)을 통과하는 산소 중 하나 또는 둘다와 혼합될 수 있다.In addition to the aperture 76, oxygen may also enter the chamber 18 through a number of downward and outwardly extending passageways 80 as shown in FIG. 6. Each passage 80 has a hole 82 through which oxygen enters the chamber 18. If desired, other gases, such as argon, may be mixed with one or both of silane passing through the holes 64 or annular holes 76 or oxygen passing through the holes 82.

변형 및 변화가 다음의 청구범위에 한정된 바와 같은 본 발명의 주제를 벗어나지 않고 개시된 실시예로 만들어질 수 있다. 예를 들면, 중앙 노즐(56)은 다중 출구 또는 가스 출구의 원형 어레이를 가지는 가스 분배기의 샤워 헤드 형태에 의해 대체될 수 있다. 유사하게, 노즐(34, 34a 또는 56a)은 처리 가스가 챔버(18)내로 운반되는 가스 출구 또는 구멍을 가지는 링 또는 링형 구조물에 의해 대체될 수 있다. 개별적 노즐(34, 34a)이 바람직하더라도, 단일 세트의 노즐(34)이 산소가 아닌 실란과 SiF4를 공급하는데 사용될 수 있다. 구멍(76)은 환형 링이라기 보다 중앙 노즐(56) 근처에 원형 형태로 배열된 다수의 작은 개구를 포함할 수 있다. 또한, 산소 소스(71)와 제 3 가스 소스(58)는 소스(71)가 노즐(56)에 연결되고 소스(58)가 통로(70)에 연결되도록 전환될 수 있다.Modifications and variations may be made to the disclosed embodiments without departing from the spirit of the invention as defined in the following claims. For example, the central nozzle 56 may be replaced by the shower head form of a gas distributor having multiple outlets or circular arrays of gas outlets. Similarly, nozzles 34, 34a or 56a may be replaced by a ring or ring shaped structure having a gas outlet or hole through which process gas is delivered into chamber 18. Although separate nozzles 34 and 34a are preferred, a single set of nozzles 34 can be used to supply silane and SiF 4 rather than oxygen. The hole 76 may include a number of small openings arranged in a circular shape near the central nozzle 56 rather than as an annular ring. In addition, the oxygen source 71 and the third gas source 58 may be switched such that the source 71 is connected to the nozzle 56 and the source 58 is connected to the passage 70.

부가적으로, 실란, 산소 및 SiF4이외의 가스가 사용될 수 있다. 테트라에틸옥시실란(TEOS)과 같은 다른 실리콘 소스, N2O와 같은 다른 산소 소스, 및 C2F6,CF4등과 같은 플루오르 소스가 사용될 수 있다. 또한, 본 발명의 챔버는 다른 할로겐 도핑된 막, USG 막, 낮은 k 탄소막 등을 증착하는데 사용될 수 있다. 이런 실시예의 일부, 예를 들어 낮은 k 탄소막이 증착되는 실시예에서, 산소는 처리 가스로 포함될 수 없다. 그러므로, 질소와 같은 다른 가스가 이런 실시예에서 구멍(76)을 통해 유입될 것이다. 이런 동등물과 대안은 본 발명의 범위내에 포함될 수 있다고 간주된다. 이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.In addition, gases other than silane, oxygen and SiF 4 may be used. Other silicon sources such as tetraethyloxysilane (TEOS), other oxygen sources such as N 2 O, and fluorine sources such as C 2 F 6 , CF 4 and the like can be used. In addition, the chambers of the present invention can be used to deposit other halogen doped films, USG films, low k carbon films, and the like. In some of these embodiments, for example embodiments in which a low k carbon film is deposited, oxygen may not be included as the process gas. Therefore, other gases, such as nitrogen, will enter through the holes 76 in this embodiment. It is contemplated that such equivalents and alternatives may be included within the scope of the present invention. Although the present invention has been described above in accordance with one preferred embodiment of the present invention, various modifications may be made without departing from the spirit of the present invention as defined by the appended claims. It is obvious to those skilled in the art.

본 발명은 각각의 가스에 대한 최적 흐름 속도의 사용과 결합되는 가스의 균일한 분배가 막에 걸쳐 균일하게 낮은 유전 상수를 초래하여 낮은 유전 상수를 가진 유전체 막을 제공한다.The present invention provides a dielectric film with a low dielectric constant whereby a uniform distribution of gases combined with the use of an optimum flow rate for each gas results in a uniformly low dielectric constant across the film.

Claims (21)

챔버를 한정하는 하우징, 상기 챔버내의 기판 지지용 표면을 가지는 기판 지지대, 상기 기판 지지용 표면 둘레의 상기 챔버내로 개방되는 제 1 출구를 가지는 제 1 가스 분배기, 상기 기판 지지용 표면의 중앙 영역으로부터 일정 간격 배치되어 중첩하는 제 2 출구를 가지는 제 2 가스 분배기 및 상기 기판 지지용 표면 상의 중심적인 위치에서 상기 진공 챔버내로 개방되는 제 3 출구를 가지는 제 3 가스 분배기를 포함하며, 상기 제 3 출구는 상기 제 2 출구를 둘러싸는 것을 특징으로 하는 기판 처리 장치.A first gas distributor having a housing defining a chamber, a substrate support having a substrate support surface within the chamber, a first outlet opening into the chamber around the substrate support surface, constant from a central region of the substrate support surface A second gas distributor having a second outlet spaced therebetween and a third gas distributor having a third outlet opening into the vacuum chamber at a central location on the substrate support surface, the third outlet being the And a second outlet. 제 1항에 있어서, 상기 하우징은 상부를 포함하며, 상기 제 2 가스 분배기는 상기 상부를 통과하고 상기 제 2 출구로부터 상기 챔버내에서 종결되는 연장부를 포함하는 것을 특징으로 하는 기판 처리 장치.The apparatus of claim 1, wherein the housing includes a top, and the second gas distributor includes an extension passing through the top and terminating in the chamber from the second outlet. 제 2항에 있어서, 상기 상부는 상기 하우징을 통과하는 접근 개구부를 한정하고, 상기 제 2 가스 분배기는 상기 접근 개구부에 중첩하여 상기 상부에 장착된 몸체를 더 포함하고, 상기 몸체와 상기 상부 사이에 포획된 유체 시일이 상기 접근 개구부를 둘러싸며, 통로가 상기 유체 시일에 의해 부분적으로 한정되고 상기 제 3 출구에 유동적으로 결합되어, 상기 통로를 따라가는 가스의 통로는 상기 챔버내로부터의 가스가 상기 시일에 접촉하지 못하도록 보조하는 것을 특징으로 하는 기판 처리 장치.3. The apparatus of claim 2, wherein the upper portion defines an access opening through the housing, the second gas distributor further comprising a body mounted on the upper portion overlapping the access opening, between the body and the upper portion. A captured fluid seal surrounds the access opening, a passage defined in part by the fluid seal and fluidly coupled to the third outlet, such that a passage of gas along the passage results in the gas from the chamber being sealed. Substrate processing apparatus characterized in that it is assisted not to contact. 제 1항에 있어서, 상기 제 3 출구는 다수의 개구부를 포함하는 것을 특징으로 하는 기판 처리 장치.The substrate processing apparatus of claim 1, wherein the third outlet includes a plurality of openings. 제 1항에 있어서, 상기 제 3 출구는 환형 구멍을 포함하는 것을 특징으로 하는 기판 처리 장치.The apparatus of claim 1, wherein the third outlet comprises an annular hole. 챔버를 한정하는 하우징, 상기 챔버내의 기판 지지용 표면을 가지는 기판 지지대, 상기 기판 지지대 표면 둘레의 챔버내로 개방되는 제 1 출구를 가지는 제 1 가스 분배기, 상기 기판 지지용 표면으로부터 일정 간격 배치되어 중첩하는 제 2 출구를 가지는 제 2 가스 분배기 및 상기 기판 지지용 표면 상에 중심적인 위치에서 상기 진공 챔버내로 개방되는 제 3 출구를 가지는 산소 공급용 가스 분배기를 포함하는 것을 특징으로 하는 증착 챔버.A first gas distributor having a housing defining a chamber, a substrate support having a substrate support surface in the chamber, a first outlet opening into the chamber around the substrate support surface, and spaced apart from and overlapping the substrate support surface And a gas distributor for supplying oxygen having a second gas distributor having a second outlet and a third outlet opening into the vacuum chamber at a central location on the substrate support surface. 제 6항에 있어서, 상기 하우징은 접근 개구부를 한정하는 상부를 포함하고, 상기 산소 공급용 분배기와 제 2 가스 분배기 중 선택된 하나는 상기 접근 개구부에 중첩하여 상기 상부에 장착된 몸체를 포함하고, 상기 선택된 제 2 가스 분배기는 상기 접근 개구부를 통과하고 상기 제 2 출구로부터 상기 진공 챔버내에서 종결하는 연장부를 포함하고, 상기 몸체와 상기 상부 사이에 포획된 유체 시일이 상기 접근 개구부를 둘러싸며, 통로가 상기 유체 시일에 의해 부분적으로 한정되고 상기 다른 가스 분배기의 출구에 유동적으로 결합되어, 상기 산소 공급용 통로를 따라가는 가스의 통로는 상기 챔버내로부터의 가스가 상기 시일에 접촉하지 못하도록 보조하는 것을 특징으로 하는 증착 챔버.7. The apparatus of claim 6, wherein the housing includes an upper portion defining an access opening, wherein the selected one of the oxygen supply distributor and the second gas distributor includes a body mounted on the upper portion overlapping the access opening, The selected second gas distributor includes an extension that passes through the access opening and terminates in the vacuum chamber from the second outlet, wherein a fluid seal captured between the body and the top surrounds the access opening, A passage of gas partially defined by the fluid seal and fluidly coupled to the outlet of the other gas distributor, the passage along the oxygen supply passage assisting the gas from within the chamber from contacting the seal. Deposition chamber. 제 7항에 있어서, 상기 다른 가스 분배기는 상기 산소 공급용 가스 분배기인 것을 특징으로 하는 증착 챔버.8. The deposition chamber of claim 7, wherein said other gas distributor is said oxygen distributor gas distributor. 제 7항에 있어서, 상기 하우징은 유전체 밀폐체를 포함하는데, 상기 유전체 밀폐체는 상기 상부를 포함하는 것을 특징으로 하는 증착 챔버.8. The deposition chamber of claim 7, wherein said housing comprises a dielectric enclosure, said dielectric enclosure comprising said top. 제 7항에 있어서, 상기 통로는 상기 접근 개구부를 통과하는 상기 연장부를 둘러싸는 경로부를 포함하는 것을 특징으로 하는 증착 챔버.8. The deposition chamber of claim 7, wherein said passageway comprises a passageway surrounding said extension through said access opening. 제 7항에 있어서, 상기 통로는 상기 연장부로부터 일정 간격 배치되고 상기 다른 가스 분배기의 출구 중 부가적 출구를 한정하는, 외부 및 하향으로 연장하는 다수의 경로부를 포함하는 것을 특징으로 하는 증착 챔버.8. The deposition chamber of claim 7, wherein said passageway comprises a plurality of outwardly and downwardly extending passageways spaced from said extension and defining an additional outlet of said outlet of said other gas distributor. 제 6항에 있어서, 상기 산소 공급용 가스 분배기는 다수의 상기 제 3 출구를 포함하는 것을 특징으로 하는 증착 챔버.7. The deposition chamber of claim 6, wherein said oxygen supply gas distributor comprises a plurality of said third outlets. 제 6항에 있어서, 상기 하우징에 장착되고 무선 주파수 발생기에 결합된 유도 코일을 더 포함하는 것을 특징으로 하는 증착 챔버.7. The deposition chamber of claim 6, further comprising an induction coil mounted to the housing and coupled to a radio frequency generator. 제 6항에 있어서, 상기 제 1 가스 분배기는 상기 기판 지지용 표면의 중앙에 대해 균등하게 일정 간격 배치된 다수의 노즐을 포함하는 것을 특징으로 하는 증착 챔버.7. The deposition chamber of claim 6, wherein said first gas distributor comprises a plurality of nozzles spaced evenly with respect to the center of said substrate support surface. 제 6항에 있어서, 상기 제 1 가스 분배기는 제 1 및 제 2 노즐 세트를 포함하고, 상기 제 1 노즐 세트는 상기 제 2 노즐 세트로부터 유동적으로 절연되는 것을 특징으로 하는 증착 챔버.7. The deposition chamber of claim 6, wherein said first gas distributor comprises a first and a second set of nozzles, said first set of nozzles being fluidly insulated from said second set of nozzles. 제 6항에 있어서, 상기 제 2 가스 분배기는 노즐을 포함하고, 상기 제 2 출구는 단일 구멍을 포함하는 것을 특징으로 하는 증착 챔버.7. The deposition chamber of claim 6, wherein said second gas distributor comprises a nozzle and said second outlet comprises a single hole. 제 6항에 있어서, 상기 제 2 가스 분배기는 다수의 노즐을 포함하고, 상기 제 2 출구는 다수의 구멍을 포함하는 것을 특징으로 하는 증착 챔버.7. The deposition chamber of claim 6, wherein said second gas distributor comprises a plurality of nozzles and said second outlet comprises a plurality of holes. 기판 지지대와 상기 기판 지지대 둘레에 배치된 제 1 처리 가스 출구를 갖는 처리 가스 분배기를 하우징하는 진공 챔버를 포함하는 형태의 증착 챔버에 있어서, 상기 기판 지지대 상에 중심적으로 일정 간격 배치되는 제 2 처리 가스 출구를 가지는 제 2 처리 가스 분배기 및 상기 기판 지지대 상에 중심적으로 일정 간격 배치되는 제 3 출구를 가지는 산소 공급용 가스 분배기를 포함하는 것을 특징으로 하는 증착 챔버.A deposition chamber in the form of a deposition chamber comprising a substrate support and a vacuum chamber housing a processing gas distributor having a first processing gas outlet disposed around the substrate support, wherein the second processing gas is positioned at regular intervals on the substrate support. And a gas distributor for supplying oxygen having a second process gas distributor having an outlet and a third outlet centrally spaced on the substrate support. 제 18항에 있어서, 상기 제 2 처리 가스 출구는 노즐에 의해 한정되고, 상기 제 3 출구는 상기 노즐을 둘러싸는 것을 특징으로 하는 증착 챔버.19. The deposition chamber of claim 18, wherein said second process gas outlet is defined by a nozzle and said third outlet surrounds said nozzle. 증착 챔버내에서 기판 상에 막을 증착하기 위한 방법에 있어서, 상기 챔버내에서 기판을 둘러싸는 다수의 위치에서 상기 챔버내로 제 1 처리 가스를 주입하는 단계, 상기 기판 상에 중심적으로 일정 간격 배치된 제 1 영역에서 상기 챔버내로 제 2 처리 가스를 주입하는 단계 및 상기 기판 상에 중심적으로 일정 간격 배치된 제 2 영역에서 상기 챔버내로 산소 공급용 가스를 주입하는 단계를 포함하는 것을 특징으로 하는 기판상의 막 증착 방법.A method for depositing a film on a substrate in a deposition chamber, the method comprising: injecting a first process gas into the chamber at a plurality of locations surrounding the substrate in the chamber; Injecting a second process gas into the chamber in one region and injecting an oxygen supply gas into the chamber in a second region centered at regular intervals on the substrate; Deposition method. 증착 챔버내에서 기판 상에 막을 증착하기 위한 방법에 있어서, 상기 챔버내에서 기판을 둘러싸는 다수의 위치에서 상기 챔버내로 제 1 처리 가스를 주입하는 단계, 상기 기판을 마주하게 일정 간격 배치된 제 1 영역에서 상기 챔버내로 제 2 처리 가스를 주입하는 단계 및 상기 기판을 둘러싸면서 마주하게 일정 간격 배치된 제 2 영역에서 상기 챔버내로 제 3 처리 가스를 주입하는 단계를 포함하는 것을 특징으로 하는 기판상의 막 증착 방법.A method for depositing a film on a substrate in a deposition chamber, the method comprising: injecting a first processing gas into the chamber at a plurality of locations surrounding the substrate in the chamber, the first spaced first facing the substrate Injecting a second process gas into the chamber in a region and injecting a third process gas into the chamber in a second region disposed at regular intervals facing the substrate. Deposition method.
KR1019980016047A 1997-05-06 1998-05-06 Deposition chamber and method for depositing a film having low dielectric constant KR19980086762A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/851,856 1997-05-06
US08/851,856 US6070551A (en) 1996-05-13 1997-05-06 Deposition chamber and method for depositing low dielectric constant films

Publications (1)

Publication Number Publication Date
KR19980086762A true KR19980086762A (en) 1998-12-05

Family

ID=25311887

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980016047A KR19980086762A (en) 1997-05-06 1998-05-06 Deposition chamber and method for depositing a film having low dielectric constant

Country Status (5)

Country Link
US (5) US6070551A (en)
EP (1) EP0877410A1 (en)
JP (1) JPH10321613A (en)
KR (1) KR19980086762A (en)
TW (1) TW380279B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100406173B1 (en) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 Heater Block Having Catalyst Injection means
KR100870853B1 (en) * 2001-01-26 2008-11-27 어플라이드 머티어리얼스, 인코포레이티드 Method of reducing plasma charge damage for plasma processes
KR100897176B1 (en) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 Inductively Coupled Plasma Processing Apparatus

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
KR100292410B1 (en) * 1998-09-23 2001-06-01 윤종용 Process chamber for reducing particulate contamination for manufacturing semiconductor device
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100331271B1 (en) 1999-07-01 2002-04-06 박종섭 Method of forming capacitor with TaON dielectric layer
JP4066292B2 (en) * 1999-06-09 2008-03-26 株式会社小糸製作所 Method for forming protective film of automotive plastic parts
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6165915A (en) * 1999-08-11 2000-12-26 Taiwan Semiconductor Manufacturing Company Forming halogen doped glass dielectric layer with enhanced stability
AU1606101A (en) * 1999-11-15 2001-05-30 Lam Research Corporation Materials and gas chemistries for processing systems
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
JP2001308086A (en) * 2000-04-18 2001-11-02 Nec Corp Film-forming method
US6468927B1 (en) * 2000-05-19 2002-10-22 Applied Materials, Inc. Method of depositing a nitrogen-doped FSG layer
TW521386B (en) * 2000-06-28 2003-02-21 Mitsubishi Heavy Ind Ltd Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
KR100688479B1 (en) * 2000-08-21 2007-03-08 삼성전자주식회사 Plasma chemical vapor deposition chamber for providing cleaning gas uniformly
KR100436941B1 (en) * 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20030012875A1 (en) * 2001-07-10 2003-01-16 Shreyas Kher CVD BST film composition and property control with thickness below 200 A for DRAM capacitor application with size at 0.1mum or below
US6797605B2 (en) * 2001-07-26 2004-09-28 Chartered Semiconductor Manufacturing Ltd. Method to improve adhesion of dielectric films in damascene interconnects
KR100434516B1 (en) * 2001-08-27 2004-06-05 주성엔지니어링(주) semiconductor manufacturing apparatus
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
KR20030037092A (en) * 2001-11-02 2003-05-12 주성엔지니어링(주) semiconductor manufacturing apparatus
US6730367B2 (en) * 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
EP1512771A1 (en) * 2002-03-08 2005-03-09 Mitsubishi Heavy Industries, Ltd. Method and apparatus for production of metal film
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
WO2004088729A1 (en) * 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
DE10320597A1 (en) * 2003-04-30 2004-12-02 Aixtron Ag Method and device for depositing semiconductor layers with two process gases, one of which is preconditioned
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP4306403B2 (en) 2003-10-23 2009-08-05 東京エレクトロン株式会社 Shower head structure and film forming apparatus using the same
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
JP4513329B2 (en) 2004-01-16 2010-07-28 東京エレクトロン株式会社 Processing equipment
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20060048707A1 (en) * 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
KR101033123B1 (en) * 2004-06-30 2011-05-11 엘지디스플레이 주식회사 chamber type apparatus for liquid crystal display device
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100589046B1 (en) * 2004-09-23 2006-06-12 삼성전자주식회사 Method for forming a thin film
US7465475B2 (en) * 2004-11-09 2008-12-16 Eastman Kodak Company Method for controlling the deposition of vaporized organic material
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20060196417A1 (en) * 2005-03-03 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution systems for deposition processes
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR101352365B1 (en) * 2006-08-09 2014-01-16 엘아이지에이디피 주식회사 Plasma processing apparatus
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
CN101688306B (en) * 2007-05-17 2011-08-17 埃克阿泰克有限责任公司 Apparatus and method for depositing multiple coating materials in a common plasma coating zone
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101003382B1 (en) * 2008-02-13 2010-12-22 주식회사 유진테크 plasma processing apparatus and plasma processing method
TWI498988B (en) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
EP2347030B1 (en) * 2008-10-08 2018-05-16 Abcd Technology Sarl Vapor phase deposition system
KR20110074912A (en) * 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Plasma source for chamber cleaning and process
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (en) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
KR101092122B1 (en) * 2010-02-23 2011-12-12 주식회사 디엠에스 Gas injection system for etching profile control
JP2013521650A (en) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド Conformal layer by radical component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
JP5902896B2 (en) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 Substrate processing equipment
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TWI659674B (en) 2011-10-05 2019-05-11 應用材料股份有限公司 Plasma processing apparatus and lid assembly
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
DE102012201953A1 (en) * 2012-02-09 2013-08-14 Singulus Technologies Ag Method and device for passivation of solar cells with an aluminum oxide layer
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9790596B1 (en) * 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
KR102104018B1 (en) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 Multi-zone gas injection assembly with azimuthal and radial distribution control
US8993446B2 (en) * 2013-04-23 2015-03-31 Globalfoundries Inc. Method of forming a dielectric film
CN105164309B (en) * 2013-05-01 2019-04-12 应用材料公司 For controlling the injection and discharge design of epitaxial deposition chamber flow
JP6292769B2 (en) * 2013-05-30 2018-03-14 小島プレス工業株式会社 Plasma CVD apparatus and plasma CVD film forming method
JP6562946B2 (en) * 2014-04-09 2019-08-21 ビューラー アルツェナウ ゲゼルシャフト ミット ベシュレンクテル ハフツングBuehler Alzenau GmbH Gas distribution device for vacuum chamber with gas guide device
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
JP5840268B1 (en) * 2014-08-25 2016-01-06 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6126155B2 (en) 2015-03-31 2017-05-10 株式会社日立国際電気 Semiconductor device manufacturing method, program, and substrate processing apparatus
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
JP6153975B2 (en) * 2015-08-07 2017-06-28 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing system, program, recording medium, and substrate processing apparatus
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
CN106876299B (en) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 Semiconductor processing equipment
TWI582885B (en) * 2015-12-30 2017-05-11 國立中央大學 Platform structure for low temperature manufacturing tissue engineering bracket and method for manufacturing low temperature manufacturing tissue engineering bracket
KR102553629B1 (en) * 2016-06-17 2023-07-11 삼성전자주식회사 Plasma processing apparatus
CN107345294A (en) * 2017-07-26 2017-11-14 北京芯微诺达科技有限公司 A kind of air intake structure of plasma apparatus
US11326256B2 (en) * 2018-12-10 2022-05-10 Applied Materials, Inc. Dome stress isolating layer
JP6922959B2 (en) * 2019-09-20 2021-08-18 株式会社明電舎 Oxide film forming device
JP7330079B2 (en) * 2019-11-28 2023-08-21 東京エレクトロン株式会社 Plasma processing equipment
CN112899637B (en) * 2019-12-04 2023-03-31 江苏菲沃泰纳米科技股份有限公司 Air inlet system of film coating device
CN113862624B (en) * 2021-09-27 2023-03-21 上海集成电路材料研究院有限公司 Sputtering deposition equipment and sputtering deposition method

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3511703A (en) * 1963-09-20 1970-05-12 Motorola Inc Method for depositing mixed oxide films containing aluminum oxide
US3502502A (en) * 1967-01-05 1970-03-24 Motorola Inc Process for depositing a tantalum oxide containing coating
US3717439A (en) * 1970-11-18 1973-02-20 Tokyo Shibaura Electric Co Vapour phase reaction apparatus
JPS6047202B2 (en) * 1976-01-13 1985-10-21 東北大学金属材料研究所長 Super hard high purity oriented polycrystalline silicon nitride
DE2862171D1 (en) 1977-07-25 1983-03-10 Eastman Kodak Co Electrically photosensitive particles for photoelectrophoretic migration imaging processes
JPS54111771A (en) * 1978-02-22 1979-09-01 Toshiba Corp Gas phase reaction unit of semiconductor substrate
JPS61231716A (en) * 1985-04-08 1986-10-16 Hitachi Ltd Filming apparatus
JPS61263118A (en) * 1985-05-15 1986-11-21 Sharp Corp Plasma cvd device
US4654521A (en) 1985-09-16 1987-03-31 Eastman Kodak Company Self measuring illumination device
US4834022A (en) * 1985-11-08 1989-05-30 Focus Semiconductor Systems, Inc. CVD reactor and gas injection system
JPS62156270A (en) * 1985-12-27 1987-07-11 Canon Inc Deposited film forming device
JPS62228478A (en) * 1986-03-31 1987-10-07 Canon Inc Deposited film forming device
JPH0830273B2 (en) * 1986-07-10 1996-03-27 株式会社東芝 Thin film forming method and apparatus
JPS6376879A (en) * 1986-09-18 1988-04-07 Hitachi Electronics Eng Co Ltd Cvd thin film forming device
JPS63260124A (en) * 1987-04-17 1988-10-27 Nippon Telegr & Teleph Corp <Ntt> Vapor growth apparatus
FR2614317B1 (en) * 1987-04-22 1989-07-13 Air Liquide PROCESS FOR PROTECTING POLYMERIC SUBSTRATE BY PLASMA DEPOSITION OF COMPOUNDS OF THE SILICON OXYNITRIDE TYPE AND DEVICE FOR IMPLEMENTING SAME.
JPS63293165A (en) * 1987-05-27 1988-11-30 Hitachi Ltd Equipment for treatment
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
JPH01171228A (en) * 1987-12-25 1989-07-06 Hitachi Ltd Processing apparatus
DE3833232A1 (en) * 1988-09-30 1990-04-05 Leybold Ag METHOD AND DEVICE FOR EVAPORATING MONOMERS LIQUID AT ROOM TEMPERATURE
JPH02126632A (en) 1988-11-05 1990-05-15 Nippon Telegr & Teleph Corp <Ntt> Vapor phase epitaxy for compound semiconductor crystal layer and reaction tube therefor
JPH02222134A (en) * 1989-02-23 1990-09-04 Nobuo Mikoshiba Thin film forming apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
FR2653633B1 (en) * 1989-10-19 1991-12-20 Commissariat Energie Atomique CHEMICAL TREATMENT DEVICE ASSISTED BY A DIFFUSION PLASMA.
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP2699695B2 (en) * 1991-06-07 1998-01-19 日本電気株式会社 Chemical vapor deposition
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor
JP2580928Y2 (en) * 1991-08-22 1998-09-17 日本電気株式会社 Vapor phase growth equipment
US5387289A (en) * 1992-09-22 1995-02-07 Genus, Inc. Film uniformity by selective pressure gradient control
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR0131439B1 (en) * 1992-11-24 1998-04-14 나카무라 타메아키 Semiconductor device and manufacturing method thereof
JPH086181B2 (en) * 1992-11-30 1996-01-24 日本電気株式会社 Chemical vapor deposition method and chemical vapor deposition apparatus
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP2667364B2 (en) 1993-08-16 1997-10-27 キヤノン販売株式会社 Film forming equipment
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07161642A (en) 1993-12-03 1995-06-23 Kobe Steel Ltd Plasma treater
TW296534B (en) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP3243125B2 (en) 1994-06-27 2002-01-07 東京エレクトロン株式会社 Processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6363264B1 (en) 1996-02-23 2002-03-26 Nokia Mobile Phones Limited Radio telephone
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
TW343356B (en) * 1996-05-13 1998-10-21 Applied Materials Inc Deposition chamber and method for depositing low dielectric films
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JP3584644B2 (en) 1996-12-13 2004-11-04 富士ゼロックス株式会社 Image forming device
US6228781B1 (en) * 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100406173B1 (en) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 Heater Block Having Catalyst Injection means
KR100870853B1 (en) * 2001-01-26 2008-11-27 어플라이드 머티어리얼스, 인코포레이티드 Method of reducing plasma charge damage for plasma processes
KR100897176B1 (en) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 Inductively Coupled Plasma Processing Apparatus

Also Published As

Publication number Publication date
US20030056900A1 (en) 2003-03-27
JPH10321613A (en) 1998-12-04
US20050150454A1 (en) 2005-07-14
US6589610B2 (en) 2003-07-08
US6070551A (en) 2000-06-06
US6416823B2 (en) 2002-07-09
US7413627B2 (en) 2008-08-19
TW380279B (en) 2000-01-21
US20010053423A1 (en) 2001-12-20
US6833052B2 (en) 2004-12-21
US20020160113A1 (en) 2002-10-31
EP0877410A1 (en) 1998-11-11

Similar Documents

Publication Publication Date Title
KR19980086762A (en) Deposition chamber and method for depositing a film having low dielectric constant
US6015591A (en) Deposition method
US6486081B1 (en) Gas distribution system for a CVD processing chamber
US6527910B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD
US5523616A (en) Semiconductor device having laminated tight and coarse insulating layers
US6383954B1 (en) Process gas distribution for forming stable fluorine-doped silicate glass and other films
KR100562206B1 (en) Sequencing of the recipe steps for the optimal low-dielectric constant hdp-cvd processing
US6121161A (en) Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US7067436B2 (en) Method of forming silicon oxide film and forming apparatus thereof
US20050255712A1 (en) Method of cvd for forming silicon nitride film on substrate
KR20090071002A (en) Atomic layer deposition apparatus having palasma generating portion
KR20080048430A (en) Gas baffle and distributor for semiconductor processing chamber
KR20060063188A (en) Equipment for chemical vapor deposition and method used the same
KR100297421B1 (en) Method of stress control by fluorination of silica film and a substrate processing system
KR100297420B1 (en) Deposition chamber and low dielectric film formation method
US6436303B1 (en) Film removal employing a remote plasma source
US20080095953A1 (en) Apparatus for depositing thin film and method of depositing the same
WO2006014082A1 (en) Thermal oxide formation apparatus and the method by chemical vapor deposition in wafer
TWI727316B (en) Substrate processing apparatus
KR20090070035A (en) Chemical vapor deposition device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid