KR102650774B1 - 위상 배열 증폭기의 선형화 - Google Patents

위상 배열 증폭기의 선형화 Download PDF

Info

Publication number
KR102650774B1
KR102650774B1 KR1020220150555A KR20220150555A KR102650774B1 KR 102650774 B1 KR102650774 B1 KR 102650774B1 KR 1020220150555 A KR1020220150555 A KR 1020220150555A KR 20220150555 A KR20220150555 A KR 20220150555A KR 102650774 B1 KR102650774 B1 KR 102650774B1
Authority
KR
South Korea
Prior art keywords
signal
phase
power
signals
sample
Prior art date
Application number
KR1020220150555A
Other languages
English (en)
Other versions
KR20220154656A (ko
Inventor
아메드 아이 칼릴
패트릭 프랫
Original Assignee
아날로그 디바이시즈 글로벌 언리미티드 컴퍼니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아날로그 디바이시즈 글로벌 언리미티드 컴퍼니 filed Critical 아날로그 디바이시즈 글로벌 언리미티드 컴퍼니
Publication of KR20220154656A publication Critical patent/KR20220154656A/ko
Application granted granted Critical
Publication of KR102650774B1 publication Critical patent/KR102650774B1/ko

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F1/00Details of amplifiers with only discharge tubes, only semiconductor devices or only unspecified devices as amplifying elements
    • H03F1/32Modifications of amplifiers to reduce non-linear distortion
    • H03F1/3241Modifications of amplifiers to reduce non-linear distortion using predistortion circuits
    • H03F1/3247Modifications of amplifiers to reduce non-linear distortion using predistortion circuits using feedback acting on predistortion circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/26Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture
    • H01Q3/2658Phased-array fed focussing structure
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F1/00Details of amplifiers with only discharge tubes, only semiconductor devices or only unspecified devices as amplifying elements
    • H03F1/32Modifications of amplifiers to reduce non-linear distortion
    • H03F1/3241Modifications of amplifiers to reduce non-linear distortion using predistortion circuits
    • H03F1/3282Acting on the phase and the amplitude of the input signal
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/189High-frequency amplifiers, e.g. radio frequency amplifiers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/20Power amplifiers, e.g. Class B amplifiers, Class C amplifiers
    • H03F3/24Power amplifiers, e.g. Class B amplifiers, Class C amplifiers of transmitter output stages
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/68Combinations of amplifiers, e.g. multi-channel amplifiers for stereophonics
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • H04B1/0475Circuits with means for limiting noise, interference or distortion
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2200/00Indexing scheme relating to amplifiers
    • H03F2200/451Indexing scheme relating to amplifiers the amplifier being a radio frequency amplifier
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2201/00Indexing scheme relating to details of amplifiers with only discharge tubes, only semiconductor devices or only unspecified devices as amplifying elements covered by H03F1/00
    • H03F2201/32Indexing scheme relating to modifications of amplifiers to reduce non-linear distortion
    • H03F2201/3236A generated signal, e.g. a pulse or an inverted synchronous signal, being added to avoid certain conditions, e.g. clipping
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • H04B2001/0408Circuits with power amplifiers
    • H04B2001/0425Circuits with power amplifiers with linearisation using predistortion

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Amplifiers (AREA)
  • Transmitters (AREA)

Abstract

본 발명의 장치 및 방법은 위상 배열용 전치 왜곡을 제공한다. 위상 배열 소자로부터의 무선 주파수(RF, radio frequency) 샘플 신호는 귀환 경로를 따라서 제공되고 또한 하드웨어 RF 조합기에 의해서 조합된다. 위상 시프터는 조합되었을때 RF 샘플 신호가 위상 정렬되도록 조정된다. 위상 배열의 증폭기에 대한 전치 왜곡의 적응적 조정은 조합된 RF 샘플 신호로부터 유도된 신호에 기초할 수 있다.

Description

위상 배열 증폭기의 선형화{PHASED ARRAY AMPLIFIER LINEARIZATION}
[관련 출원에 대한 상호 참조]
본 출원은 2017년 6월 1일자로 출원하고 동시 계류 중인 미국 특허 출원 제15/611,289호, 발명의 명칭 "안테나 배열 보정 시스템 및 방법"(ANTENNA ARRAY CALIBRATION SYSTEMS AND METHODS)과 관련되어 있으며, 그 개시 내용은 참조에 의해서 전체가 본 명세서에 합체된다.
본 출원은 또한 2016년 12월 8일자로 출원하고 동시 계류 중인 미국 특허출원 제15/372,723호, 발명의 명칭 "디지털 공간 전치 왜곡"(SPATIAL DIGITAL PRE-DISTORTION)과도 관련되어 있다.
본 발명의 실시예는 일반적으로 안테나에 관한 것으로, 더욱 상세하게는, 위상 배열 안테나와 관련된 전치 왜곡(predistortion)에 관한 것이다.
무선 주파수(RF, radio frequency) 파워 증폭기는, 예컨대, 전기 통신, 레이더 등과 같은 다양한 분야에서 사용된다. RF 파워 증폭기가 신호를 증폭할 때, RF 파워 증폭기에서의 비선형성 때문에 증폭된 신호가 왜곡될 수 있다. 업 컨버젼(upconversion) 프로세스 또한 비선형성을 초래할 수 있다. 왜곡이 존재하게 되면, 예컨대, 상호 변조 왜곡, 밴드외 방사, 및 간섭과 같은 문제의 원인이 될 수 있다.
RF 파워 증폭기를 선형화하는 한 가지 기법은 전치 왜곡에 의한 것이다.
전치 왜곡을 사용하면, RF 파워 증폭기가 추가한 왜곡을 보충하여 RF 파워 증폭기의 출력에서의 왜곡 결과를 감소시키는 방식으로 RF 파워 증폭기에 입력된 신호가 전치 왜곡된다. 이와 같은 기법은 또한 업 컨버터(upconverter)와 RF 파워 증폭기의 조합을 선형화하는데도 적용될 수 있다.
하지만, 종래의 전치 왜곡 기법은 아날로그 빔 포머(beamformer)에서는 사용할 수 없다. 여기에서 필요한 기법은 아날로그 빔 포머의 위상 배열 증폭기에 전치 왜곡을 인가하는 기법이다.
일 실시예는 위상 배열된 복수 증폭기의 전파(RF, radio frequency) 선형화용 장치를 포함하고 있으며, 이 장치는, 복수의 RF 파워 증폭기 중의 최소한 RF 샘플 신호를 반송하도록 구성된 복수의 귀환 경로; RF 샘플 신호를 조합하여 조합된 신호를 생성하도록 구성된 하드웨어 RF 파워 조합기; RF 샘플 신호의 위상 변위를 조정하여 RF 샘플 신호가 하드웨어 RF 파워 조합기에서 위상 정렬되도록 구성된 복수의 귀환측 위상 시프터(shifter); 및 입력 신호를 전치 왜곡하여 전치 왜곡 신호를 생성하도록 구성되고 또한 적어도 부분적으로 조합 신호로부터 유도된 신호의 관측에 기초하여 전치 왜곡용 전치 왜곡 계수를 조정하도록 구성된 전치 왜곡부;를 포함한다.
일 실시예는 위상 배열된 복수 증폭기의 선형화 방법을 포함하고 있으며, 이 방법은, RF 샘플 신호가 하드웨어 RF 파워 조합기에서 위상 정렬되도록 복수의 RF 파워 증폭기의 무선 주파수(RF, radio frequency)를 위상 변위하는 단계; 하드웨어 RF 파워 조합기가 조합 신호를 생성하도록 RF 샘플 신호를 조합하는 단계; 및 전치 왜곡을 사용하여 입력 신호를 전치 왜곡하는 단계;를 포함하며, 전치 왜곡 계수는 적어도 부분적으로 입력 신호의 일부분과 조합 신호로부터 유도된 신호의 대응하는 일부분의 비교에 기초한다.
일 실시예는 위상 배열용 위상 배열 소자를 포함하며, 이 위상 배열 소자는, 시분할 이중 통신 동작을 위해서 전송측 또는 송신측 중의 하나 사이에서 안테나 소자를 스위칭하기 위한 스위치; 및 전송 경로와는 분리된 귀환 경로;를 포함하며, 여기에서 귀환 경로는 전송된 신호의 무선 주파수(RF) 샘플을 제공하도록 구성되며, 여기에서 귀환 경로는 RF 샘플의 위상을 조정하도록 구성된 위상 시프터를 더 포함한다.
본 명세서에 첨부된 도면 및 이와 관련된 발명의 설명은 본 발명의 구체적인 실시예를 설명하기 위해서 제공되며, 제한하고자 의도하고 있지는 않다.
도 1a는, 일 실시예에 따른, 대칭 배선을 갖는 4 * 4 안테나 배열의 개략 블록도이다.
도 1b는, 다른 실시예에 따른, 비대칭 배선을 갖는 2 * 8 안테나 배열의 개략 블록도이다.
도 2a는, 일 실시예에 따른, 수평 파면을 도시한 도면이다.
도 2b는, 일 실시예에 따른, 경사 파면을 도시한 도면이다.
도 2c는, 일 실시예에 따른, 일련의 트랜시버의 개략적인 블록도.
도 2d는, 일 실시예에 따른, 평면 배열 및 관련된 전자기 패턴을 도시한 도면이다.
도 3a는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 파워 검출기를 갖는 프로브의 개략 블록도이다.
도 3ba 및 도 3bb는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 파워 검출기를 갖는 프로브를 사용하여 보정하기 위한 흐름도이다.
도 3c는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 믹서를 갖는 프로브의 개략 블록도이다.
도 3d는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 믹서를 갖는 프로브를 사용하여 보정하기 위한 흐름도이다.
도 4는, 일 실시예에 따른, 네 개의 안테나 소자 사이에 배치된 프로브의 개략 블록도이다.
도 5a는, 일 실시예에 따른, 3 * 4 안테나 소자 배열 사이에 배치된 프로브의 개략 블록도이다.
도 5b는, 일 실시예에 따른, 3 * 4 안테나 소자 배열 사이에 배치된 프로브를 사용하여 보정하기 위한 흐름도이다.
도 6a는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 RF 파워 소스를 갖는 프로브의 개략 블록도이다.
도 6b는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 RF 파워 소스를 갖는 프로브를 사용하여 보정하기 위한 흐름도이다.
도 7은, 전치 왜곡을 사용하는 위상 배열을 도시한 도면이다.
도 8a는, 위상 배열 소자의 일 실시예를 도시한 도면이다.
도 8b는, 위상 배열 소자의 다른 실시예를 도시한 도면이다.
도 8c는, 위상 배열 소자의 다른 실시예를 도시한 도면이다.
도 9는, 전치 왜곡 계수를 결정하는데 필요한 데이터를 수집하기 위해서 신호를 배열하는 일 방법을 도시한 도면이다.
이하의 특정 실시예의 상세한 설명은 구체적인 실시예에 대한 다양한 설명을 나타낸다. 하지만, 본 발명에서 설명된 혁신은, 예를 들면, 청구 범위에서 한정되고 보호되는 것과 같은 다양한 서로 다른 방식으로 구현될 수 있다. 발명의 설명에 있어서, 동일한 도면 부호가 완전히 똑같거나 기능적으로 유사한 구성 요소를 나타낼 수 있는 첨부 도면을 참조하기로 한다. 도면에 도시된 각각의 구성 요소는 반드시 축척에 맞춰서 도시되지는 않았음을 알아야 한다. 더욱이, 특정한 실시예는 도면에서 도시된 구성 요소 및/또는 도면에서 도시된 구성 요소의 부분 집합 보다 더 많은 구성 요소를 구비할 수 있다. 또한, 일부 실시예는 두 개 이상의 도면으로부터 적절하게 조합한 임의의 형상을 포함할 수 있다.
안테나 배열은 빔 포머(beamformer)가 특정 방향으로 전자기 방사 패턴을 조종하도록 할 수 있으며, 빔 포머는 해당 특정 방향으로는 메인 빔을 생성하고 다른 방향으로는 사이드 로브(side lobe)를 생성한다. 방사 패턴의 메인 빔은 전송된 신호의 위상에 기초하여 신호의 보강 추론(constructive inference)에 기초하여 생성된다. 더욱이, 안테나 소자의 진폭은 사이드 로브의 레벨을 결정한다. 빔 포머는, 예를 들면, 안테나 소자에 대한 위상 시프터(shifter) 설정값을 제공함으로써 소망하는 안테나 패턴을 생성할 수 있다. 하지만, 시간이 지남에 따라서, 안테나 소자 중의 신호 진폭 및 상대적인 위상이 안테나를 최초 보정하였을 때 설정된 값으로부터 어긋나게 될 수 있다. 이 어긋남은 안테나 패턴의 열화를 초래할 수 있고, 이는, 예를 들면, 메인 로브(main lobe)에서의 이득을 감소시킬 수 있다. 따라서, 안테나 소자를 현장에 배치한 이후에도 배열 시스템(array system) 내의 안테나 소자의 위상 및 진폭을 정확하게 측정하고 또한 제어할 수 있는 방법이 필요하다. 더욱이, 보정 처리 자체는 상대적으로 노동 집약적이고, 시간 소모적이며, 또한 고비용일 수 있다. 따라서, 많은 비용이 소모되는 테스트 장치 및 설비를 필요로 하지 않고 또한 안테나를 특정 장소로 재배치하지 않아도 되는 보정 방법이 필요하다. 본 발명에서 개시된 기법들은 또한 제조 테스트 환경에 적용할 수 있고 또한 생산 속도를 높이는데 사용되어 비용을 낮추는데 사용될 수도 있다. 일 실시예에 있어서, 빔 포머가 보정 데이터를 사용하고, 예컨대, 사전 계산된 또는 사전 저장된 안테나 패턴 데이터와 같은 다른 데이터와 조합하여 빔포밍에 적합한 적절한 설정값을 생성한다.
본 발명의 개시는 위상의 상대 측정법 및/또는 진폭의 절대 측정법을 사용하여 안테나 배열이 보정을 수행하도록 한다. 안테나 소자 사이에 프로브가 배치되고 또한 이 안테나 소자의 위상 및/또는 진폭이 측정된다. 이후에, 위상 또는 진폭을 평가하여 안테나 소자에 접속된 전송기, 수신기, 또는 트랜시버에 가해지는 조정량을 결정할 수 있다. 일부 실시예에 있어서, 안테나 소자는 신호를 전송할 수 있으며, 또한 하나 이상의 안테나 소자의 위상은 상대적으로 높거나 최대 및/또는 상대적으로 낮거나 최소 파워 레벨에 도달할 때까지 조정될 수 있다. 상대적으로 높거나 최대 파워 레벨이 결정되면, 위상 조정기 또는 시프터의 값은 일치하는 위상값에 대응하는 것으로 기록되며, 또한 상대적으로 낮거나 최소 파워 레벨에 대해서는, 위상값이 180 도 위상이 어긋난 것으로 기록된다. 실시예에서 프로브의 사용에 대해서 설명하였지만, 신호의 전송 및/또는 수신을 행할 수 있는 (예컨대, 도체와 같은) 다른 구성(예컨대, 슬롯, 모노 폴, 소형 패치, 기타 커플링 구조 등) 또한 사용할 수 있음을 알아야 한다.
일부 실시예에 있어서, 프로브는 안테나 소자 사이에 대칭적으로 배치되어야 한다. 예를 들면, 두 개의 안테나 소자가 있는 경우, 프로브는 두 개의 안테나 소자 사이에 위치할 수 있다. 다른 예시에 있어서, 네 개의 안테나 소자가 있는 경우, 프로브는 각각 네 개의 안테나 소자로부터 등거리에 있는 네 개의 안테나 소자 사이에 대각으로 위치할 수 있다. 안테나 소자 사이에 대칭적으로 프로브를 위치시키면 프로브 및 안테나 소자로의 또는 프로브 및 안테나 소자로부터의 방사 패턴의 전파에서 발생할 수 있는 변이 가능성이 감소되거나 제거된다.
일부 실시예에 있어서, 안테나 소자를 사용하여 프로브로 신호를 전송하고, 프로브는 이 전송된 신호를 수신할 수 있다. 프로브는 (예컨대, 파워 검출기를 사용하여) 파워를 검출하거나 (예컨대, 믹서를 사용하여) 파워 및 위상의 양자를 검출할 수 있다. 다르게는, 프로브는 전송된 신호를 수신하는 안테나 소자로 신호를 전송하는 전송기로도 사용될 수 있다.
단일 프로브를 사용하여 복수의 안테나를 보정하는 것이 유리하다. 안테나 소자로의 전송 및/또는 안테나 소자로부터의 신호의 수신에 사용될 수 있는 단일 프로브는 그 자체로 신호에 대한 변이를 초래할 수 있다. 하지만, 동일한 프로브 및 이 프로브에 접속된 컴포넌트(예컨대, 믹서)를 사용하여 신호를 측정하고 있기 때문에, 유리하게는 본 발명에서 개시된 기법에서는 부품별 또는 채널별 변이가 없다. 예를 들면, 프로브 및 이 프로브에 접속된 컴포넌트는 제 1 안테나 소자 및 제 2 안테나 소자로부터 프로브에 수신된 신호에 대해서 동일한 변이를 초래하게 된다.
이와는 대조적으로, 커플러를 사용하여 신호의 위상 및 진폭을 측정하여 안테나 소자를 보정하게 되면 변이가 초래된다. 별도의 커플러가 각 안테나 소자의 전송 경로에 접속되어진다. 이후에, 신호는 신호 결선(signal route)을 따라서 각각의 커플러에 접속된 컴포넌트로 이동하게 된다. 각각의 커플러로부터 이들과 연관되어 접속된 컴포넌트로의 결선 경로는 채널별 변이를 초래하게 된다. 각각의 커플러는 자신의 컴포넌트 세트에 접속될 수 있으며, 이는 동일한 종류의 컴포넌트임에도 불구하고, 이들 컴포넌트 자체는 부품별 변동성을 초래한다. 더욱이, 커플러 자체는 스위치와 같은 추가적인 하드웨어를 사용한다. 커플러 자체는, 종종 금속 물질로 형성되며, 방사 신호와 간섭하여 안테나 소자 사이에서의 더욱 높은 절연 획득을 어렵게 할 수 있다. 이들 단점은 본 발명의 실시예에 의해서 감소되거나 제거된다.
안테나 소자 사이에 배치되는 프로브를 사용하는 방법을 포함하는 본 발명의 실시예는 근거리 방사 측정법에 기초하여 배열을 보정하는데 이들 프로브를 사용할 수 있다는 점에서 유용하다. 따라서, 이 배열은 원거리 측정할 필요없이 보정될 수 있다. 전형적으로, 전자기 무향실(또한 무잔향실로도 불림)을 사용하여 개방 공간 상황을 모사할 수 있다. 이들 무향실 내의 시공간은 계획하기가 어려울 수도 있고, 비용이 많이 들 수도 있고, 또한 시간 소모적일 수도 있다. 하지만, 본 발명의 실시예는 안테나를 무향실에 위치시켜야 할 필요가 없는데, 이는 원거리 측정 대신에 근거리 측정을 사용하기 때문이다. 더욱이, 초기 보정에는 무향실이 실용적이나, 이후의 보정에서는 그렇지 않을 수 있다. 본 발명의 일부 실시예에 따른 안테나 소자는 반복적으로 및 현장에서 보정될 수 있다. 프로브는 안테나 소자 사이에 영구적으로 위치될 수 있다. 마찬가지로 안테나 소자 사이에 프로브를 임시적으로 설치할 수 있도록 안테나 배열을 구성할 수도 있다. 본 발명의 근거리 보정에 대한 일부 실시예는 신호 차이가 작은 경우에도 도움이 될 수 있다.
본 발명의 보정 방법 및 시스템은 서로 다른 크기의 배열을 보정하는데 사용될 수 있다. 예를 들면, 본 발명의 시스템은 하나의 프로브에 대해서 등거리에 위치하는 제 1 안테나 소자(또는 보정 그룹) 세트를 보정함으로써 평면 배열을 보정하고, 이후에 다른 프로브에 대해서 등거리에 위치하는 제 2 안테나 소자 세트를 보정할 수 있으며, 여기에서 제 1 안테나 소자 세트 및 제 2 안테나 소자 세트는 적어도 하나의 안테나 소자를 공유하고 있다. 이후에, 공유 안테나 소자는 다른 안테나 소자를 보정하는데 기준점으로 사용될 수 있다.
본 발명의 개시가 수신기로서의 프로브 및 전송기로서의 안테나 소자를 갖는 특정 실시예에 대해서 설명하고 있지만, 프로브는 전송기로 동작할 수 있고 또한 안테나 소자는 수신기로, 또한 이와 반대의 경우에도 마찬가지로 동작할 수 있음을 이해하여야 한다.
도 1a는, 일 실시예에 따른, 개략 대칭 결선도(100)의 개략 블록도이다. 개략 대칭 결선도(100)는 안테나 소자(102A, 102B, 102C, 102N, 102E, 102F, 102G, 102H, 102I, 102J, 102K, 102L, 102M, 102N, 102O, 및 102P)(본 발명에서는 집합적으로 102로 통칭)를 구비하고 있다. 개략 대칭 결선도(100)는 또한 칩(104A, 104E, 104I, 및 104M)(본 발명에서는 집합적으로 104로 통칭)을 구비하고 있다. 개략 대칭 결선도(100)는 트랜시버(110) 및 트랜시버(110)로부터 안테나 소자(102)로의 결선 경로(106A, 106B, 106C, 106D, 106E, 106F, 106G, 106H, 106I, 106J, 106K, 106L, 106M, 106N, 106O, 106P, 108A, 108E, 108I, 및 108M)(본 발명에서는 집합적으로 106으로 통칭)를 구비하고 있다.
도 1a는 4 * 4 안테나 배열에 대한 개략 대칭 결선도(100)를 나타내고 있다. 이 개략도는 대칭 결선도를 나타내고 있는데, 이는 트랜시버(110)로부터 안테나 소자(102)까지의 결선 경로(106)가 동일한 거리를 가지고 있기 때문이다. 예를 들면, 트랜시버(110)로부터 안테나 소자(102A)까지의 결선 경로는 결선 경로(108A 및 106B)의 조합이며, 반면에 트랜시버(110)로부터 안테나 소자(102B)까지의 결선 경로는 결선 경로(108A 및 106B)의 조합이다. 결선 경로는 트랜시버(110)로부터 안테나 소자(102)로 이동하는 신호의 거리에서의 변이를 최소화하도록 생성된다. 이 유형의 구성은 트랜시버(110)로부터 안테나 소자(102)까지 신호가 이동하는 결선 경로의 길이가 서로 다르기 때문에 보정에서 어려움을 초래할 수 있는 변이를 완화시키는데 도움을 준다.
안테나 소자(102)는 방사 소자 또는 수동 소자일 수 있다. 예를 들면, 안테나 소자(102)는 다이폴, 단면 개방 도파관, 슬롯 형성 도파관, 마이크로스트립 안테나 등을 구비할 수 있다. 일부 실시예에서 특정한 개수의 안테나 소자(102)에 대해서 설명하였지만, 일부 실시예는 두 개 이상의 안테나 소자 배열상에 구현될 수도 있다.
도 1b는, 다른 실시예에 따른, 2 * 4 안테나 배열의 개략 비대칭 결선도(150)의 개략 블록도이다. 개략 비대칭 결선도(150)는 안테나 소자(152A, 152B, 152C, 152D, 152E, 152F, 152G, 및 152H)(본 발명에서는 집합적으로 152로 통칭)를 구비하고 있다. 개략 비대칭 결선도(150)는 또한 칩(154)을 구비하고 있다. 개략 비대칭 결선도(150)는 칩(154)에서 안테나 소자(152)로의 결선 경로(156A, 156B, 156C, 및 156D)(본 발명에서는 집합적으로 156으로 통칭)를 구비하고 있다. 도 1b는 칩(154)으로부터 안테나 소자(152)까지의 결선 경로(156)의 길이가 상이하기 때문에 비대칭 결선을 나타내고 있다. 따라서, 위상 및 진폭은 채널별로 서로 상이하다. 예를 들면, 안테나 소자(152)에서의 전송 신호는 동일한 신호가 칩(154)으로부터 전송되더라도 소자에서 소자로 서로 상이할 수 있다. 일부 실시예에 있어서, 안테나 소자(152)에서 수신된 신호가 동일할 수 있지만, 칩(154)에서 수신될 때는 결선 경로(156)의 길이가 서로 다르기 때문에 수신된 신호는 상이하게 된다.
도 2a는, 일 실시예에 따른, 수평 파면(200)을 도시한 도면이다. 각각의 안테나 소자(102)는 구형 방사 패턴으로 방사할 수 있다. 하지만, 이 방사 패턴은 집합적으로 수평 파면(204)을 생성한다. 도시한 도면(200)은 안테나 소자(102A, 102B, 102C, 102N, 102M-1 및 102M)를 구비하고 있다. 안테나 소자(102A, 102B, 102C, 및 102N)는 선형적으로 배치될 수 있으며, 여기에서 각 소자는 단일 차원의 직선 상에 배치된다. 이 구성에 있어서, 빔은 하나의 평면으로 조종될 수 있다. 안테나 소자는 또한 평면적으로 배치되어, 이차원(N 방향 및 M 방향)의 평면 상에 배치될 수 있다. 이 평면 구성에 있어서, 빔은 두 개의 평면으로 조종될 수 있다. 안테나 소자는 또한 비평면 상에 배치될 수도 있다. 평면 배열은 직사각형, 정사각형, 원형 등일 수 있다. 안테나는 다른 구성, 형상, 차원, 크기, 유형, 안테나 배열을 구현할 수 있는 기타 시스템 등에 배치될 수 있다. 도시된 수평 파면(200)은 각각의 안테나 소자(102)가 신호(202A, 202B, 202C, 202N, 202M-1, 및 202M)(본 발명에서는 집합적으로 202로 통치)를 전송하여 수평 파면(204)을 생성하는 것을 나타내고 있다. 도 2a의 도면은 수평 파면(204)으로 나타낸 바와 같이 상향으로 지시하는 메임 빔을 생성하는 안테나 배열을 도시하고 있다. 안테나 소자(102)로부터의 위상은 상향 방향으로 보강 간섭하고 있다.
도 2b는, 일 실시예에 따른, 경사 파면(220)을 도시한 도면이다. 도시한 경사 파면(220)은 안테나 소자(102A, 102B, 102C, 102N, 102M-1 및 102M)를 구비하고 있다. 안테나 소자는 도 2a에서 설명한 것과 유사하게 배열될 수 있다. 도시된 경사 파면(220)은 경사지게 전파되는 파면(224)을 생성하는 신호(222A, 222B, 222C, 222N, 222M-1, 및 222M)(본 발명에서는 집합적으로 222로 통칭)를 전송하는 안테나 소자(102)를 나타내고 있으며, 이는 도 2a에서의 파면(204)의 방향과는 상이하다. 신호(222)의 위상은 경사 파면(220)이 이동하는 방향(예컨대, 수직 방향)에서 보강 간섭한다. 여기에서, 각각의 안테나 소자(102)의 위상은 특정 방향으로 보강 간섭하도록 동일한 각도만큼 변위될 수 있다.
안테나 소자(102)는 서로로부터 등거리에 분리되어 위치될 수 있다. 일부 실시예에 있어서, 안테나 소자(102)는 서로로부터 서로 다른 거리에 위치하고 있지만, 프로브는 적어도 두 개의 안테나 소자(102)로부터 등거리에 위치하고 있다.
본 발명의 개시가 특정한 실시예로서 한가지 유형의 안테나 배열을 설명하고 있지만, 본 발명의 실시예는, 예컨대, 시간 도메인 빔 포머, 주파수 도메인 빔 포머, 동적 안테나 배열, 동적 안테나 배열, 수동 안테나 배열 등과 같은 다른 유형의 안테나 배열에도 구현될 수 있음을 알아야 한다.
도 2c는, 일 실시예에 따른, 일련의 트랜시버(240A, 240B, 240N)(본 발명에서는 집합적으로 240으로 통칭)의 개략적인 블록도이다. 일부 실시예에 있어서, 단일 트랜시버(240)가 단일 안테나 소자(102)로 급전한다. 하지만, 단일 트랜시버(240)가 복수의 안테나 소자(102)로 급전하거나, 단일 안테나 소자(102)가 복수의 트랜시버(240)에 접속되어 있을 수도 있음을 알아야 한다. 더욱이, 안테나 소자(102)는 수신기 및/또는 전송기에 링크될 수 있다.
일부 실시예에 있어서, 트랜시버(240)는 안테나 소자(102)로부터의 경로를 수신기 또는 전송기 경로로 전환하는 스위치(242A, 242B, 242N)(본 발명에서는 집합적으로 242로 통칭)를 구비할 수 있다. 트랜시버(240)는 신호 처리기(미도시)로부터의 경로를 수신기 또는 전송기 경로로 전환하는 다른 스위치(248A, 248B, 248N)(본 발명에서는 집합적으로 248로 통칭)를 구비할 수 있다. 전송기 경로는 위상 조정기(244A, 244B, 244N)(본 발명에서는 집합적으로 244로 통칭) 및 가변 이득 증폭기(246A, 246B, 246N)(본 발명에서는 집합적으로 246으로 통칭)를 가지고 있다. 위상 조정기(244)는 전송된 신호의 위상을 안테나 소자(102)에서 조정하고 가변 이득 증폭기(246)는 전송된 신호의 진폭을 안테나 소자(102)에서 조정한다. 본 발명의 실시예가 위상 조정기(244)와 가변 이득 증폭기(246)를 포함하는 트랜시버(240)에 대해서 설명하고 있지만, 신호의 진폭 및/또는 신호의 위상을 조정하는데 다른 컴포넌트를 사용할 수도 있다. 더욱이, 전송기 경로로부터 수신 경로로 전환하기 위한 스위치가 도시되어 있지만, 듀플렉서(duplexer)와 같은 다른 컴포넌트를 사용할 수도 있다.
수신기 경로는 또한 위상 조정기(250A, 250B, 250N)(본 발명에서는 집합적으로 250으로 통칭), 및 가변 이득 증폭기(252A, 252B, 252N)(본 발명에서는 집합적으로 252로 통칭)를 가질 수 있다. 신호 처리기(미도시)로 보내기 전에 안테나 소자(102)로부터 수신된 신호를 조정하는데 위상 조정기(250) 및 가변 이득 증폭기(252)를 사용할 수 있다.
도 2d는, 일 실시예에 따른, 평면 위상 배열(260) 및 관련된 전자기 패턴을 도시한 도면이다. 도 2d는 안테나 소자(102A, 102B, 102N, 102M-1, 및 102M)를 구비하고 있다. 도 2d는 또한 하나의 메인 빔(262)과 두 개의 사이드 로브(side lobe)(264A, 264B, 264C)를 갖는 빔 패턴을 구비하고 있다. 안테나 소자(102)는 신호를 전송하며, 여기에서 이 신호의 위상은 메인 빔(262) 방향으로 보강 간섭하고 있다. 안테나 소자(102)의 진폭 정밀도는 사이드 로브의 레벨을 제어한다. 예를 들면, 안테나 소자(102)로부터 전송된 신호의 진폭이 더욱 균일하다면 사이드 로브는 더욱 작게 된다. 안테나 소자(102)는 단일 다이, 또는 복수의 다이 상에 배치될 수 있다.
도 3a는, 일 실시예에 따른, 두 개의 안테나 소자(102A, 102B) 사이에 배치된 파워 검출기(312A)를 갖는 프로브(310A)의 개략 블록도(300)이다. 본 블록도(300)에서, 프로브는 두 개의 안테나 소자(102A, 102B) 사이에서 등거리에 배치되어 있다. 프로브(310A)는 신호 등을 검출하는데 사용될 수 있는 슬롯, 프로브, 결합 소자, 임의의 컴포넌트일 수 있다. 프로브는 전송기(transmitter)로도 사용될 수 있다.
도 3ba 및 도 3bb는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 파워 검출기를 갖는 프로브를 사용하여 보정하기 위한 흐름도이다.
도 3ba은 두 개의 안테나 소자(102A, 102B)에 대한 전체 파워 레벨을 측정하고 비교하기 위한 흐름도(320)를 도시한 도면이다. 블록(322)에서, 안테나 소자(102B)에 접속된 전송기는 턴 오프된다. 블록(324)에서, 신호가 제 1 안테나 소자(102A)로부터 전송된다. 신호는 믹서(302A)에서 생성되고, 가변 이득 증폭기(246A)에서 증폭되고, 위상 조정기(244A)에서 위상이 변위되어, 안테나 소자(102A)로부터 전송된다. 블록(326)에서, 프로브(310A)는 안테나 소자(102A)로부터 전송된 신호를 검출하고, 파워 검출기(312A)는 검출된 신호의 파워값을 검출한다. 블록(327)에서, 시스템은 모든 파워 및/또는 위상 레벨이 측정되었는지를 결정한다. 예라면, 이후에 시스템은 블록(328)으로 계속 진행할 수 있다. 아니오라면, 이후에 파워 및/또는 위상은 블록(323)에서 조정되며, 블록(324)로 되돌아가서 계속된다. 예를 들면, 각각의 파워 레벨 및 각각의 위상 레벨의 조합이 측정될 수 있다. 일부 실시예에 있어서, 위상과 진폭의 결합이 해제하여 각각의 파워 레벨 및 각각의 위상 레벨의 모든 조합을 측정하지 않고 독립적으로 각각의 파워 레벨을 측정할 수 있고 또한 각각의 위상 레벨을 측정할 수 있도록 한다.
블록(328)에서, 안테나 소자(102A)에 접속된 전송기는 턴 오프된다. 블록(330)에서, 신호가 제 2 안테나 소자(102B)로부터 전송된다. 신호는 믹서(302B)에서 생성되고, 가변 이득 증폭기(246B)에서 증폭되고, 위상 조정기(244B)에서 위상이 변위되어, 안테나 소자(102B)로부터 전송된다. 블록(332)에서, 프로브(310A)는 안테나 소자(102B)로부터 전송된 신호를 검출하고, 파워 검출기(312A)는 검출된 신호의 파워값을 검출한다.
블록(334)에서, 안테나 소자(102A) 및 안테나 소자(102B)의 전송 신호로부터 검출된 신호가 일단 저장되면, 파워값을 비교하여 안테나 소자(102B)에 접속된 전송기에 대한 안테나 소자(102A)에 접속된 전송기를 비교하여 보정하며, 이와 반대의 경우에도 마찬가지이다. 파워값은 가변 이득 증폭기(246A 및/또는 246B)의 이득을 조정하여 보정될 수 있다. 일부 실시예에 있어서, 보정은 도 3b의 다른 블록들 중에서, 앞에서, 또는 뒤에서 수행된다. 블록(334)에서 파워값을 비교하여 안테나 소자를 보정한 이후에, 흐름은 도 3bb로 계속될 수 있다.
도 3bb는 두 개의 안테나 소자(102A, 102B)에 대한 위상을 보정하기 위한 흐름도(321)를 도시한 도면이다. 블록(325)에서, 동일한 파워 레벨의 신호가 안테나 소자(102A, 102B)의 양쪽으로부터 전송된다. 이는 도 3ba에서의 각 단계로부터 얻어진 데이터를 사용하여 달성될 수 있다. 블록(329)에서, 제 1 안테나 소자(102A)의 위상이 변동된다. 이후에, 블록(335)에서, 파워 검출기(312A)로 전체 파워가 측정될 수 있다. 시스템은 블록(336)에서 최대 파워 레벨이 측정되었는지를 결정한다. 아니오라면, 이후에 시스템은 계속하여 제 1 안테나 소자(102A)의 위상을 변동시키고 블록(329)에서 흐름도를 계속한다. 블록(336)에서 최대 파워 레벨이 측정되면, 이후에 위상이 동위상 상태에 들어가 있는지가 결정될 수 있다. 블록(336)에서 최대 파워 레벨을 제공하는 위상은 블록(337)에서의 안테나 소자용으로 기록된다.
블록(338)에서, 제 1 안테나 소자(102A)의 위상이 변동되며, 블록(339)에서, 파워 검출기(312A)를 사용하여 전체 파워가 측정된다. 블록(340)에서, 시스템은 최대 파워 레벨을 측정하였는지를 확인한다. 최대 파워 레벨이 아니오라면, 이후에 제 1 안테나 소자(102A)의 위상이 변동되며 흐름도는 블록(338)으로 진행한다. 최대 파워 레벨이 측정되면, 이후에 시스템은 블록(341)에서의 안테나 소자용 위상 보정 정보를 기록한다. 이는 위상 상태가 180 도 어긋난 것으로 간주될 수 있다.
도 3c는, 일 실시예에 따른, 두 개의 안테나 소자(102A, 102B) 사이에 배치된 믹서(342A)를 갖는 프로브(310A)의 개략 블록도(330)이다. 프로브(310A)는 안테나 소자(102A, 102B)로부터 등거리에 배치될 수 있다. 프로브(310A)는 믹서(342A)에 접속되어 있다.
도 3d는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 믹서를 갖는 프로브를 사용하여 보정하기 위한 흐름도(360)이다. 믹서는 위상 및/또는 진폭을 측정하는데 사용될 수 있다. 블록(362)에서, 안테나 소자(102B)에 접속된 전송기는 턴 오프된다. 블록(364)에서, 신호는 믹서(302A)에서 생성되고, 가변 이득 증폭기(246A)에서 증폭되고, 위상 조정기(244A)에서 위상 변위되며, 안테나 소자(102A)에서 전송된다. 블록(366)에서, 프로브(310A)는 전송된 신호를 검출하고 믹서를 사용하며, 신호 프로세서는 진폭 및 위상값을 측정하고 기록한다. 블록(367)에서, 시스템은 모든 파워 및/또는 위상 레벨이 측정되었는지를 결정할 수 있다. 예라면, 이후에 시스템은 블록(368)으로 진행할 수 있다. 아니오라면, 이후에 시스템은 블록(363)에서 파워 및/또는 위상 레벨을 조정하고, 그리고 블록(324)으로 귀환한다.
블록(368)에서, 안테나 소자(102A)에 접속된 전송기가 턴 오프된다. 블록(370)에서, 신호는 믹서(302B)에서 생성되고, 가변 이득 증폭기(246B)에서 증폭되고, 위상 조정기(244B)에서 위상 변위되며, 안테나 소자(102B)에서 전송된다. 블록(372)에서, 프로브(310A)는 전송된 신호를 검출하며, 믹서는 신호를 믹싱하며, 신호 프로세서는 진폭 및 위상값을 측정하고 기록한다. 블록(373)에서, 시스템은 모든 파워 및/또는 위상 레벨이 측정되었는지를 결정할 수 있다. 예라면, 이후에 시스템은 블록(374)으로 진행할 수 있다. 아니오라면, 이후에 시스템은 블록(369)에서 파워 및/또는 위상 레벨을 조정하고, 그리고 블록(370)으로 귀환한다.
블록(374)에서, 안테나 소자(102A 및 102B)가 전송하는 신호의 진폭 간의 비교에 기초하여, 생성된 동일 신호에 기초하여 실질적으로 동일한 파워를 전송하도록 이들 진폭을 보정하도록 가변 이득 증폭기(246A, 246B)가 조정된다. 더욱이, 안테나 소자(102A 및 102B)가 전송하는 신호의 위상 간의 비교에 기초하여, 동일하게 생성된 신호에 대해 실질적으로 동일한 위상에서 전송하도록 이들 위상을 보정하도록 위상 조정기(244A 및 244B)가 조정된다.
가변 이득 증폭기(246A, 246B) 및/또는 위상 조정기(244A, 244B)의 값은, 예컨대 빔 조종 칩이나 신호 처리기와 같은 빔 조종 인터페이스를 통해서 전달된 디지털 명령을 사용하여 제어될 수 있다. 위상 조정기는 특정 개수의 전체 위상 각도 중의 위상을 제어하는 n 비트 위상 조정기일 수 있다. 따라서, 보정 프로세스는 가장 가까운 위상값을 허용하는 상태로 보정될 수 있다. 일부 실시예에 있어서, 보정은 도 3d의 다른 블록들 중에서, 앞에서, 또는 뒤에서 수행된다.
도 4는, 일 실시예에 따른, 네 개의 안테나 소자(102A, 102B, 102C, 102N) 사이에 배치된 프로브(310A, 310B, 310C)의 개략 블록도(400)이다. 블록도(400)에 있어서, 프로브(310A)는 안테나 소자(102A) 및 안테나 소자(102B)로부터 등거리에 배치되어 있다. 프로브(310B)는 안테나 소자(102B) 및 안테나 소자(102C)로부터 등거리에 배치되어 있다. 프로브(310C)는 안테나 소자(102C) 및 안테나 소자(102N)로부터 등거리에 배치되어 있다. 안테나 소자(102A, 102B, 102C, 및 102N)는 선형적으로 배치되어 있다.
본 실시예에 있어서, 안테나 소자(102A 및 102B)가 먼저 보정된다. 안테나 소자(102B, 102C, 및 102N)에 접속된 전송기는 턴 오프된다. 믹서(302A)는 신호를 생성하며, 이 신호는 위상 조정기(244A)에서 위상이 변위되고, 이 신호는 가변 이득 증폭기(246A)에서 증폭되며, 또한 안테나 소자(102A)에서 전송된다. 프로브(310A)는 이 신호를 수신한다. 다음으로, 안테나(102B)가 신호를 전송하고 동일한 프로브(310A)가 검출한다. 본 실시예에 있어서, 프로브(310A)는 파워 검출기(312A)에 접속되어 있다. 안테나 소자(102A 및 102B)는 도 3a에서 설명한 프로세스와 유사하게 보정된다. 하지만, 프로브(310A)는 믹서에 접속되어 있을 수 있으며 또한 도 3b에서 설명한 프로세스와 유사하게 보정될 수 있다. 다른 보정 방식도 가능하다. 예를 들면, 프로브(310A)에 다른 컴포넌트가 접속되어 위상 및/또는 진폭을 측정할 수 있다. 더욱이, 상대 측정법을 사용하는 다른 보정 방법을 사용하여 위상 및/또는 진폭을 보정할 수도 있다.
다음으로, 안테나 소자(102B 및 102C)가 보정된다. 이후에, 102C 및 102N이 보정된다. 본 실시예에 있어서, 보정은 연속적으로 발생한다. 하지만, 보정은 서로 다른 시간 단계에서 발생할 수 있다. 예를 들면, 안테나 소자(102B)가 신호를 전송하여 안테나(102A)를 보정할 때, 프로브(310A)만 이 신호를 검출하는게 아니라, 프로브(310B)도 이 신호를 검출할 수 있다. 따라서, 안테나 소자(102A 및 102B)가 보정되는 중에, 안테나 소자(102B 및 102C) 간의 보정도 병렬로 시작될 수 있다. 본 실시예에 있어서, 인접한 안테나 소자가 보정된다. 하지만, 프로브로부터 등거리에 있는 임의의 안테나 소자 세트도 보정될 수 있음을 잘 알 것이다. 예를 들면, 제 1 안테나 소자 및 제 4 안테나 소자(102A, 102N)는 제 2 안테나 소자 및 제 3 안테나 소자(102B, 102C) 사이의 프로브(310B)를 사용하여 보정될 수 있다.
도 5a는, 일 실시예에 따른, 3 * 4 안테나 소자 배열 사이에 배치된 프로브의 개략 블록도이다. 프로브(310A, 310B, 310C ... 310M)(본 발명에서는 집합적으로 310으로 통칭)는 네 개의 안테나 소자(102) 세트 사이에 대칭적으로 배치되어 있다. 본 실시예에 있어서, 프로브(310)는 네 개의 안테나 소자 세트 내에서 각각의 안테나 소자(102)로부터 등거리에 위치한다. 하지만, 프로브(310)는 적어도 두 개의 안테나 소자(102)로부터 등거리인 어떤 위치에 위치할 수도 있다.
도 5b는, 일 실시예에 따른, 3 * 4 안테나 소자 배열 사이에 배치된 프로브를 사용하여 보정하기 위한 흐름도이다.
블록(522)에서, 모든 안테나 소자(102)에 접속된 모든 전송기는 턴 오프된다. 블록(524)에서, 네 개의 제 1 안테나 소자 세트가 함께 보정된다. 이후에, 제 1 안테나 소자(102A)가 신호를 전송한다. 프로브(310A)는 이 신호를 수신하고, 파워 검출기(312A)를 사용하여 그 파워를 측정하며, 해당 파워를 기록한다. 이는 제 1 프로브(310A)로부터 등거리에 위치하는 다른 세 개의 안테나 소자(102)에 대해서도 반복된다. 이후에, 네 개의 안테나 소자 세트 내의 각각의 안테나 소자(102)의 이득은 상호 관련하여 보정되도록 조정된다. 이후에, 모든 네 개의 안테나 소자(102)가 신호를 전송하고, 위상이 조정되고, 또한 위상이 기록되어 최대 파워를 제공하는 위상 구성(예컨대, 위상값이 동일함)을 확인한다. 파워가 최소(예컨대, 위상이 180 도 분리됨)일 때도 동일한 테스트가 수행된다. 보정은 도 3a, 도 3b에서 설명한 것과 유사한 방식, 및 본 발명의 설명에서 설명한 다른 방법으로 수행될 수 있다.
본 발명이 네 개의 안테나를 한번에 보정하는 것과 같은 특정한 실시예에 대해서 설명할 수 있지만, 이들 실시예는 서로 다른 개수의 전송기, 안테나 소자, 프로브, 등을 사용하여 구현될 수도 있음을 알아야 한다. 예를 들면, 파워는 네 개의 안테나 소자에 대해서 한번에 보정(예컨대, 일단 네 개의 안테나 소자에 대해서 파워가 기록되면, 각각의 네 개의 안테나 소자에 대한 이득을 조정하여 기준 이득값을 충족시킬 수 있다)될 수 있으며, 한편으로 위상은 한 쌍씩 보정될 수 있다(예컨대, 먼저 안테나 소자(102A 및 102M-1)를 보정하고, 이후에 안테나 소자(102A 및 102B)를 다음으로 보정한다).
네 개의 안테나 소자 세트 내의 안테나 소자(102)가 서로를 기준으로 하여 보정된 이후에, 보정 절차는 다음 네 개의 안테나 소자(102) 세트를 보정할 수 있다. 다음 세트 내의 안테나 소자를 제외한 안테나 소자는 블록(526)에서 턴 오프된다. 블록(528)에서, 제 1 세트 및 제 2 세트 모두에 위치하는 안테나 소자가 식별된다. 이후에 블록(530)에서, 식별된 안테나 소자를 기준으로 하여 다음 안테나 소자 세트가 보정된다. 다음 네 개의 안테나 소자(102) 세트는 다음 프로브(310B)로부터 등거리에 있을 수 있다. 다음 네 개의 안테나 소자(102) 세트에 대해서 동일하거나 다른 보정 방법을 사용할 수 있다. 안테나 소자(102) 세트의 소자 열 전체를 계산한 이후에, 프로세스는 네 개의 안테나 소자(102) 세트의 후속 행에 대해서 계산을 반복한다. 예를 들면, 안테나 소자(102) 세트를 프로브(310A, 310B, 및 310C)를 사용하여 보정한 다음, 이후에 보정될 네 개의 안테나 소자(102)의 다음 세트는 프로브(310M)로부터 등거리에 위치한 것들이 될 수 있다.
일단 파워값이 보정되면, 안테나 소자(102A)에 접속된 전송기 및 안테나 소자(102B)에 접속된 전송기가 턴 온된다. 파워 계산에 기초하여, 안테나 소자(102A 및 102B)는 실질적으로 동일한 파워 레벨로 신호를 전송한다. 위상 조정기(244A 또는 244B) 중의 하나 또는 양쪽을 조정한다. 프로브(310A)는 안테나 소자(102A 및 102B) 양쪽으로부터 신호를 수신하고 파워 검출기(312A)에서 파워값을 검출한다. 파워가 최대가 되면, 위상 조정기(244A 및 244B)가 정렬된다(예컨대 위상값이 동일해짐). 파워가 최소가 되면, 위상 조정기(244A 및 244B)는 반대로 된다(예컨대, 하나의 위상이 다른 위상에 180 도를 더한 것과 동일해짐). 이 상대 관계를 이용하면, 본 발명의 시스템은 다른 안테나 소자에 대해서 하나의 안테나 소자의 위상을 보정할 수 있다.
도 6a는, 일 실시예에 따른, 두 개의 안테나 소자(102A, 102B) 사이에 배치된 RF 파워 소스(610)를 갖는 프로브(310A)의 개략 블록도이다. 본 블록도(600)에서, 프로브(310A)는 두 개의 안테나 소자(102A, 102B) 사이에서 등거리에 배치되어 있다. 프로브(310A)는 신호를 전송하여 안테나 소자(102A 및 102B)가 수신하도록 할 수 있다.
도 6b는, 일 실시예에 따른, 두 개의 안테나 소자 사이에 배치된 RF 파워 소스를 갖는 프로브를 사용하여 보정하기 위한 흐름도이다. 블록(622)에서, 프로브(310A)는 신호를 전송하는 방사 소자이다. 프로브(310A)는 RF 파워 소스(610)에 접속될 수 있다. 블록(624)에서, 안테나 소자(102A, 102B)는 프로브(310A)로부터 전송되는 신호를 수신한다. 안테나 소자(102A, 102B)는 위상 조정기(604A 및 604B), 가변 이득 증폭기(606A, 606B), 및 I/Q 믹서(602A, 602B)에 접속될 수 있다. 안테나 소자(102A, 102B)는 신호를 수신하고 I/Q 믹서(602A, 602B)를 사용하여 위상 및 진폭을 검출한다. 블록(626)에서, 안테나 소자는 검출된 위상 및 진폭 측정의 비교에 기초하여 보정된다.
도 7은 전치 왜곡 선형화를 사용하는 위상 배열을 도시하고 있다. 일 실시예에 있어서, 이 위상 배열은 아날로그 위상 배열 또는 하이브리드 위상 배열에 대응하고, 또한 이 위상 배열은, 예컨대, 이동 전화 기지국과 같은 시분할 이중(TDD, time-division duplex) 통신 시스템과 관련되어 사용된다. 또한, 예컨대, 레이더 시스템과 같은 다른 시스템에도 적용 가능하다. 도 8a 내지 도 8c와 관련하여 더욱 상세하게 설명하겠지만, 위상 배열 소자(702a-702n)는 전송 및 수신의 양자에 대해서 위상 배열의 패턴 또는 "빔"(beam)을 조정할 수 있는 위상 시프터 및 가변 이득 증폭기를 구비할 수 있다. 일부 실시예에 있어서, 소망하는 패턴에 적합한 각각의 위상 배열 소자에 적용될 위상 변위량 및 이득 조정량은 도 1a 내지 도 6b와 관련하여 상술한 기법에 의해서 결정될 수 있다. 하지만, 이를 대신하여 다른 기법을 사용할 수 있다.
전치 왜곡기(704)는 디지털 신호 처리기(DSP, digital signal processor)(706) 및 적응 제어부(708)를 구비하고 있다. 입력 신호(VS(t))는 입력으로서 DSP(706)에 제공된다. 예를 들면, 입력 신호(VS(t))는 모뎀의 모듈레이터에 의해서 생성될 수 있으며, 베이스 밴드 복소 변조 포락선에 대응한다. DSP(706)는 샘플별로 기반하여 입력 신호(VS(t))에 대해서 전치 왜곡을 수행하여 위상 배열 소자(702a-702n)의 RF 파워 증폭기가 집합적으로 유도한 비선형성을 보충하는 전치 왜곡 구동 신호(VP(t))를 생성한다. 도시된 실시예에 있어서, 전치 왜곡기(704)가 제공하는 동일한 전치 왜곡은 위상 배열 중의 위상 배열 소자(702a-702n)의 복수 또는 모든 RF 파워 증폭기에 인가된다.
전치 왜곡을 위해서 매우 다양한 알고리즘을 사용할 수 있다. 더욱이, DSP(706)는, 예를 들어, 유한 임펄스 응답(FIR, finite impulse response) 필터, 룩업 테이블(lookup table)을 포함하지만, 이들로만 한정되지는 않는 매우 다양한 신호 처리 회로에 대응할 수 있다. DSP(706)가 입력 신호(VS(t))를 전치 왜곡하는 방식은 DSP(706) 내부에 구현된 특정한 전치 왜곡 알고리즘 및 계수에 의해서 결정된다. 적응 제어부(708)는 입력 신호(VS(t)) 샘플과 이에 대응하는 디지털 피드백 신호(VDR(t)) 샘플을 비교하여 DSP(706)가 인가하는 적절한 전치 왜곡용 계수를 결정할 수 있다. 이들 적절한 계수는 서로 다른 증폭기, 시간, 온도, 서로 다른 구동 레벨, 변동하는 빔 패턴 등과 함께 변동될 수 있으며, 적응 제어부(708)가 필요로 하는 것만큼 적응적으로 조정될 수 있다. 전치 왜곡 및 적응적 조정에 대해서는, 예를 들어, NAGATA, Y., Linear Amplification Technique for Digital Mobile Communications, IEEE Vehicular Technology Conference (1989), pgs. 159-164; 및 CAVERS, J. K., Amplifier Linearization Using A Digital Predistorter With Fast Adaptation And Low Memory Requirements, IEEE Transactions on Vehicular Technology, Vol. 39, No. 4, pp. 374-383, Nov 1990를 참조.
적응적 조정 및 적응적 조정용 RF 샘플의 수집은 계속하여 수행될 필요가 없으며, 대신에, 예컨대, 주기적으로, 또는, 예컨대, 빔 각도 / 안테나 패턴 / 이득 / 파워 레벨에 대한 변동에 반응하는 것과 같이 산발적으로 수행될 수 있다.
DSP(706)는, 예컨대, 주문형 집적 회로(ASIC, application specific integrated circuit), 현장 프로그래머블 게이트 어레이(FPGA, field-programmable gate array) 등과 같은 하드웨어로 구현될 수 있다. 적응 제어부(708)의 일부분은 특정한 전치 왜곡 알고리즘용의 기계 판독 가능 인스트럭션을 실행하는 프로세서에 의해서 소트프웨어/펌웨어로 구현될 수 있다. 적응 제어부(708)가 수행하는 계산은 실시간으로 수행될 필요는 없으며 메모리 디바이스에 저장되고 이로부터 추출되는 데이터를 사용하여 수행될 수 있다.
아날로그 디지털 변환기(DAC, digital-to-analog converter)(710)는 전치 왜곡된 구동 신호(VP(t))를 디지털로부터 아날로그로 변환하고 또한 입력으로서 아날로그 전치 왜곡된 구동 신호(VA(t))를 업 컨버터(712)에 제공한다. 업 컨버터(712)는 아날로그 전치 왜곡된 구동 신호(VA(t))를 업 컨버팅된 신호(VU(t))로 변환한다. 도시된 실시예에 있어서, 아날로그 전치 왜곡된 구동 신호(VA(t))는 베이스 밴드 신호이고, 업 컨버팅된 신호(VU(t))는 고주파 신호이며, 또한, 예를 들면, 무선 주파수, 마이크로웨이브 주파수, 밀리미터 파(RF/Mw/mmw; radio frequency, microwave frequency, millimeter wave)일 수 있다. 본 발명의 맥락에 있어서, 무선 주파수(RF, radio frequency)는 마이크로웨이브 및 밀리미터파 주파수를 구비할 수 있으며, 이에 한정되지는 않는다. 일 실시예에 있어서, 업 컨버터(712)는 직각 위상차 업 컨버터(quadrature upconverter)에 대응할 수 있다. 다른 유형의 업 컨버터를 사용할 수도 있다. 업 컨버터(712)는, 예를 들면, 믹서, 필터, 및 가변 이득 증폭기를 구비할 수 있다.
업 컨버팅된 신호(VU(t))는 하나 이상의 윌킨슨 파워 디바이더(Wilkinson power divider)를 구비할 수 있는 파워 디바이더(714)에 입력으로서 제공된다. 종래의 TDD 시스템과는 대조적으로, 일부 실시예에 있어서, 파워 디바이더(714)는 전송/진행 경로 전용이며 수신/회귀 경로로는 사용되지 않는다. 파워 디바이더(714)는 복수의 위상 배열 소자(702a-702n)에 구동 신호로서 동일한 전치 왜곡 신호를 제공한다.
위상 배열 소자(702a-702n)는 선형화될 RF 파워 증폭기 뿐만 아니라 다른 컴포넌트도 구비한다. 위상 배열 내의 위상 배열 소자(702a-702n)의 개수는 매우 다양한 범위에 걸쳐서 변동될 수 있다. 2의 거듭 제곱으로 제한하는 것은 아니지만, 개수가 2의 거듭 제곱인 경우가 구현하기 더욱 용이할 수 있다. 일 실시예에 있어서, 위상 배열 소자(702a-702n)의 개수는 16과 1024 사이의 범위 내에 있다. 일부 실시예에 있어서, 각각의 위상 배열 소자(702a-702n)는 서로 동일하도록 제조될 수 있지만, 다른 위상 시프터 및 또는 이득/파워 설정값을 사용하여 동작 중에는 상이할 수 있다. 위상 배열 소자(702a-702n)는 전송 터미널(T), 수신/귀환 터미널(R), 및 안테나 소자 터미널(T)을 가질 수 있다. TDD 시스템용의 종래의 위상 배열 소자와는 대조적으로, 본 발명의 일부 실시예에서의 전송 및 수신/귀환 경로는 분리되어 있거나 전용으로 설치되어 있다. 이는 위상 배열 중의 RF 증폭기가 각각 자신만의 전치 왜곡 선형부를 가진 시스템에 대해서 상대적으로 큰 비용 및 크기 개선을 유리하게 제공한다. 명확성을 위해서, 전원 터미널 및 제어 터미널과 같은 다른 터미널은 도시하지 않았다. 위상 배열 소자(702a-702n)에 대한 다양한 실시예는 도 8a 내지 도 8c와 관련하여 더욱 상세하게 후술하기로 한다.
도시된 실시예에 있어서, 귀환/수신 경로는 동일한 경로이고 진행/전송 경로와는 분리되어 있다. 일부 실시예에 있어서, 각각의 수신 경로는 그 수신된 신호의 위상을 조정하여, 모든 수신된 신호가 동위상에 추가되도록 한다. 수신 경로 내의 진폭 조정 또한 경로 불일치가 있는 경우라면 이를 보상하는 것도 가능하다. 하드웨어 RF 파워 조합기(716)는 귀환/수신 경로로부터의 신호를 조합하여 조합 신호(VC(t))를 생성하며, 이 신호는 입력으로서 다운 컨버터(718)에 제공된다. 전송 단계 중에, 귀환/수신 경로는 RF 샘플 신호를 반송할 수 있다. 수신 단계 중에, 귀환/수신 경로는, 예컨대, 휴대폰이 전송하는 신호와 같은 수신된 신호를 반송할 수 있다. 일부 실시예에 있어서, 하드웨어 RF 파워 조합기(716)는 하나 이상의 윌킨슨 조합기(Wilkinson combiner)를 구비할 수 있다. 하드웨어 RF 파워 조합기(716)는 멀티플렉서에 대응하지 않는다.
다운 컨버터(718)는 RF 신호인 조합 신호(Vc(t))를 베이스 밴드 또는 중간 주파수 신호일 수 있는 다운 컨버팅 신호(VD(t))로 전환한다. 다운 컨버터(718)는 하나의 믹서와 하나의 필터를 구비할 수 있으며, 일부 실시예에 있어서, 추가적인 증폭기를 구비할 수 있다. 다운 컨버팅 신호(VD(t))는 입력으로서 아날로그 디지털 변환기(ADC, analog-to-digital converter)(720)에 제공되며, 이 변환기는 다운 컨버팅 신호(VD(t))를 디지털 다운 컨버팅 신호(VDR(t))로 전환한다.
위상 배열이 전송하게 되면, 전치 왜곡의 적응적 조정용의 분석용으로 디지털 다운 컨버팅 신호(VDR(t)) 중의 선택된 샘플이 수집될 수 있다. 위상 배열이 수신하게 되면, 예를 들면, 입력으로서 모뎀(미도시)의 복조기에 디지털 다운 컨버팅 신호(VDR(t))가 제공되어 수신된 데이터가 생성될 수 있다.
적응 제어부(708)는 입력 신호(VS(t)) 샘플과 대응하는 디지털 다운 컨버팅 신호(VDR(t)) 샘플을 비교하여 전치 왜곡 계수를 추정할 수 있다. 예를 들면, 입력 신호(VS(t))로부터의 샘플은 축척 조정, 회전, 및 지연에 의해서 디지털 다운 컨버팅 신호(VDR(t)) 샘플과 정렬될 수 있다. 일 예시에 있어서, 적응적 알고리즘은 입력 신호(VS(t))와 디지털 다운 컨버팅 신호(VDR(t)) 사이에서의 (예컨대, 평균 제곱 오차와 같은) 전체 에러를 최소화하도록 그 전치 왜곡 계수를 조정할 수 있다.
도 8a 내지 도 8c는 위상 배열 소자(802, 822, 842)의 다양한 실시예를 도시한 도면이다. 기타 변형도 가능하다. 이들 위상 배열 소자(802, 822, 842)는 도 7과 관련하여 상술한 임의의 위상 배열 소자(702a-702n)용으로 사용될 수 있다. 설명을 반복하지 않기 위해서, 동일한 또는 유사한 기능을 갖는 컴포넌트는 동일한 도면 부호로 참조될 수 있다.
도 8a에 도시된 실시예에 있어서, 전송 경로 및 수신/귀환 경로는 분리되어 있다. TDD 시스템에 있어서, 전송 및 수신은 서로 다른 시간대에 발생한다. 전송할 때, 귀환/수신 경로는 전송 신호의 RF 샘플을 제공하는데 사용될 수 있다. 수신할 때, 귀환/수신 경로는 수신 신호를 제공하는데 사용된다. 위상 배열 소자(802)는 전송측 위상 시프터(804), 가변 이득 RF 파워 증폭기(806), 리키 스위치(808), 저잡음 증폭기(LNA, low-noise amplifier)(810) 및 귀환측 위상 시프터(812)를 구비하고 있다. 전송측 위상 시프터(804)가 제공하는 위상 변위의 양 및 가변 이득 RF 파워 증폭기(806)의 이득량은 소망의 안테나 패턴 또는 빔 포밍에 기초하여 결정된다.
전송할 때, 적응적 조정용 RF 샘플을 수집하도록 전송측으로부터 귀환/수신측으로 상대적으로 적은 양의 전송 파워가 리키 스위치(808)를 통해서 누설될 수 있다. 통상적으로는, 이 리키 스위치(808)는 안테나 소자에 대해서 전송측 또는 귀환/수신측 중의 하나를 선택한다. 전송측 및 귀환/수신측 사이의 적절한 누설량이 지정되고 또한 의도적으로 리키 스위치(808)에 제공될 수 있다. 적용 가능한 누설량은 매우 다양한 범위에서 변동될 수 있고 또한 LNA(810)가 제공하는 이득량과 함께 변동될 수 있다. 누설된 파워는 귀환/수신측에 전송 신호의 RF 샘플을 제공한다.
LNA(810)는 귀환/수신 경로에 존재하여, 예컨대, 휴대폰과 같은 다른 소스로부터의 신호를 수신할 수 있지만, 전송된 신호의 RF 샘플링에는 필요하지 않다. RF 샘플링 중에, 복수의 위상 배열 소자(802) 중의 LNA(810)의 이득은 동일할 수 있다. 샘플링 중에, 귀환측 위상 시프터(812)는 귀환/수신 경로가 적응적 조정의 전치 왜곡용 RF 샘플을 수집하기 위해서 사용되거나 수신용으로 사용되는 것에 따라서 서로 다른 설정값을 가질 수 있다.
귀환/수신 경로를 사용하여 RF 샘플을 수집할 때, 귀환 경로 신호가 하드웨어 RF 파워 조합기(716)(도 7)에서 위상 정렬되도록 귀환측 위상 시프터가 조정되어야 한다. 일부 실시예에 있어서, 이는 귀환측 위상 시프터(812)가 전송측 위상 시프터(804)의 위상 변위에 대해서 효과적으로 역위상 변위를 수행함을 의미할 수 있다. 추가적인 오프셋에 의해서 고려해야 할 필요가 있는 경로 길이에서의 변동이 존재할 수 있을 이해하여야 한다. 이들 변동은 제조 단계나 보정 단계 중에 결정되고 룩업 테이블에 저장될 수 있다. 귀환/수신 경로를 수신에 사용하는 경우, 귀환측 위상 시프터(812)를 조정하여 소망의 안테나 패턴을 구현할 수 있다.
도 8b에 도시한 실시예에 있어서, 전송 경로 및 수신/귀환 경로는 재차 분리되어 있거나 경로가 지정되어 있다. 위상 배열 소자(822)는 전송측 위상 시프터(804), 가변 이득 RF 파워 증폭기(806), 지향성 커플러(824), 스위치(826), 저잡음 증폭기(LNA, low-noise amplifier)(810), 및 귀환측 위상 시프터(812)를 구비하고 있다. TDD 시스템에 있어서, 스위치(826)는 전송할 때에는 안테나 소자의 전송측을 선택하고, 또한 스위치(826)는 수신할 때에는 안테나 소자에 대해서 수신측을 선택한다.
전송할 때, 적응적 조정용 RF 샘플을 수집하도록 전송측으로부터 귀환/수신측으로 지향성 커플러(824)를 거쳐서 상대적으로 소량의 (RF 샘플로 알려진) 전송 파워가 결합된다. 결합률(coupling factor)은 중요하지 않다. 예를 들면, 결합률은 -10 데시벨(dB), -20 dB 등일 수 있다. 결합률에 대해서는 다른 양을 적용할 수 있으며, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 이를 용이하게 결정할 수 있다. 하지만, 일부 실시예에 있어서, 결합률은 위상 배열 중의 지향성 커플러(824)에 대한 양과 거의 동일하다. 결합된 출력으로부터의 RF 샘플은 LNA(810) 앞쪽 또는 뒤쪽의 귀환/수신 경로에 제공될 수 있지만, 반드시 귀환측 위상 시프터(812)의 앞쪽에 제공되어야 한다. 예를 들면, 스위치를 사용하여 신호 경로 내의 소망의 지점에 RF 신호를 제공할 수 있다.
도 8a와 관련하여 이미 설명한 바와 같이, 귀환/수신 경로를 사용하여 RF 샘플을 수집할 때, 귀환 경로 신호가 하드웨어 RF 파워 조합기(716)(도 7)에서 위상 정렬되도록 귀환측 위상 시프터(812)가 조정되어야 한다. 귀환/수신 경로를 수신에 사용하는 경우, 귀환측 위상 시프터(812)를 조정하여 소망의 안테나 패턴을 구현할 수 있다.
도 8c에 도시한 실시예에 있어서, 전송 경로 및 수신 경로는 동일할 수 있으며, 또한 전용 귀환 경로는 적응적 조정용 RF 샘플을 제공할 수 있다. 위상 배열 소자(842)는 전송측 위상 시프터(804), 가변 이득 RF 파워 증폭기(806), 지향성 커플러(824), 스위치(826), 저잡음 증폭기(LNA, low-noise amplifier)(810), 귀환측 위상 시프터(844), 및 수신 경로 위상 시프터(846)를 구비하고 있다.
도 8c에 도시된 실시예에 있어서, 전송 및 수신 동작은 종래의 위상 배열 소자에서 볼 수 있는 것들과 유사할 수 있다. 파워 디바이더(714)는 수신 경로용 조합 기능을 제공할 수 있고, 도 8c에서 수신 경로 및 귀환 경로가 분리되어 있기 때문에 다운 컨버터(718) 및 ADC(720)와 같은 컴포넌트는 수신 경로 및 귀환 경로에 중복되어 설치될 수 있다.
지향성 커플러(824)는 귀환 경로 신호가 하드웨어 RF 파워 조합기(716)(도 7)에서 위상이 정렬되도록 조정될 수 있는 귀환측 위상 시프터(844)에 RF 샘플을 제공한다. LNA(810)의 이득과 수신 경로 위상 시프터(846)의 위상은 소망의 안테나 패턴 또는 빔 포밍에 기초하여 조정될 수 있다.
도 9는, 전치 왜곡 계수를 결정하는데 필요한 데이터를 수집하기 위해서 신호를 배열하는 일 방법을 도시한 도면이다. RF 샘플 신호의 위상을 조정하여 하드웨어 RF 파워 조합기(716)(도 7)에서 RF 샘플 신호의 위상을 정렬한다(단계 902). 위상 정렬은 위상 시프터(812, 844)(도 8a 내지 도 8c)에 위상 조정 명령을 제공하여 수행될 수 있다. 이들 위상 정렬된 RF 샘플 신호는 위상 배열 중의 하드웨어 RF 파워 조합기(716)에서 조합되어 조합 신호를 생성한다(단계 904). 이후에 적응적 조정 알고리즘은 사용할 적절한 전치 왜곡 계수를 입력 신호의 일부분과 조합 신호로부터 유도되는 신호의 대응하는 일부분의 비교에 기초하여 결정할 수 있다(단계 906).
본 발명에서 설명한 임의의 원칙 및 장점은 본 발명의 임의의 개시 내용으로부터의 이득을 누리는 임의의 다른 시스템, 장치, 또는 방법과 관련하여 구현될 수 있다. 예를 들어서, 본 발명에서 설명한 임의의 원칙 및 장점은 진폭 또는 위상을 조정하는데 필요한 임의의 디바이스와 관련하여 구현될 수 있다.
본 개시의 각 측면은 다양한 전자 디바이스에서 구현될 수 있다. 예를 들어서, 본 발명에서 설명한 임의의 원칙 및 장점에 따라 구현될 수 있는 상술한 하나 이상의 위상 배열 실시예는 다양한 전자 디바이스 내에 구비될 수 있다. 전자 디바이스의 예시로는 휴대폰 기지국, 레이더 시스템, 레이더 검출 장치, 가전 제품, 반도체 다이 및/또는 패키징된 모듈과 같은 가전 제품 부품, 전자 제품 테스트 장치 등을 구비하나, 이에 한정되지는 않는다. 전자 디바이스의 예시는 또한 통신 네트워크를 구비할 수 있다. 가전 제품은 스마트 폰과 같은 전화기, 랩탑 컴퓨터, 태블릿 컴퓨터, 스마트 워치나 이어 피스(ear piece)와 같은 웨어러블 컴퓨팅 디바이스, 자동차, 캠코더, 카메라, 디지털 카메라, 휴대용 메모리 칩, 세탁기, 드라이어, 세탁기/건조기, 복사기, 팩시밀리, 스캐너, 다기능 주변 장치, 무선 액세스 포인트, 라우터 등을 구비할 수 있으며, 이에 한정되지는 않는다. 또한, 전자 디바이스는 산업용 및/또는 의학용을 포함하는 미완성 제품을 구비할 수 있다.
문맥상 다르게 요구하지 않는 이상, 발명의 설명 및 청구 범위 전체에 걸쳐서, "포함하다", "포함하는", "구비하다", "구비하는" 등은 배타적 또는 제한적인 감각으로 해석되는 것과는 대조적으로, 포괄적인 감각으로 해석되어야 한다. 다시 말하자면, "포함하지만, 이에 한정되는 않는다"는 의미로 이해되어야 한다. 본 발명에서 일반적으로 사용된 "결합된" 또는 "접속된"이라는 용어는 직접적으로 접속되거나, 하나 이상의 중간 구성 요소에 의해서 접속되어 있을 수 있는 두 개 이상의 구성 요소에 의해서 접속되어 있음을 의미한다. 따라서, 다양한 개략도가 예시적인 구성 요소와 컴포넌트의 예시적인 배열을 도면에 도시하고 있지만, (도시된 회로의 기능이 부정적인 악영향을 주지 않는다는 가정 하에) 추가적인 중간 매개 구성 요소, 디바이스, 특징, 또는 컴포넌트가 실제 실시예에 존재할 수 있다. 추가적으로, "본 발명에서", "상기", "하기"와 같은 단어 및 유사한 의미의 단어는, 본 명세서에서 사용되는 경우, 전체로서 본 명세서를 참조하는 것이지 본 명세서의 임의의 특정한 부분을 참조하는 것은 아니다. 문맥이 허용하는 경우, 단수 또는 복수로 사용된 특정 실시예의 상세한 설명에서의 단어 또한 각각 복수 또는 단수를 포함할 수 있다. 두 개 이상의 항목으로 이루어진 목록을 참조하는 단어 "또는"은 이하의 해석을 모두 커버한다: 목록 내의 임의의 항목, 목록 내의 모든 항목, 및 목록 내의 항목 중의 임의의 조합. 본 발명에서의 모든 수치값 또는 거리는 측정 오차 범위 내에서의 유사한 값을 포함하고자 의도되었다.
특정한 실시예에 대해서 설명하였지만, 이들 실시예는 예시적인 목적으로만 제공되었으며, 본 발명의 범위를 제한하고자 의도하는 것은 아니다. 실제로는, 본 발명에서 설명한 신규 장치, 시스템, 및 방법은 다양한 다른 형태로 실시될 수 있다. 또한, 본 발명의 정신으로부터 이탈하지 않고도 본 발명에서 설명한 방법 및 시스템의 다양한 생략, 치환, 및 변경이 행해질 수 있다. 첨부 청구 범위 및 균등물은 본 발명의 범위 및 정신에 포함되므로 이와 같은 형태 또는 변형을 보호하고자 의도된 것이다.

Claims (40)

  1. 위상 배열(phased array)의 복수 증폭기들의 무선 주파수(RF, radio frequency) 선형화 장치에 있어서,
    복수의 RF 파워 증폭기들 중 대응하는 하나의 RF 파워 증폭기의 적어도 RF 샘플 신호들을 반송하도록 구성되는 복수의 귀환 경로들;
    제 1 상태에서 안테나 소자(element)를 전송 경로에 전기 접속하도록 그리고 제 2 상태에서 상기 안테나 소자를 상기 귀환 경로들 중의 귀환 경로에 전기 접속하도록 구성되는 스위치 - 상기 스위치는, 전치 왜곡의 적응적 조정을 위해서 상기 RF 샘플 신호들 중의 RF 샘플에 표현된 전송 파워를 상기 귀환 경로가 수신하도록, 상기 전송 경로로부터 상기 귀환 경로로 파워를 누설시키도록 구성되고, 상기 스위치는, 상기 전송 경로로부터, 상기 스위치가 상기 제 2 상태에서 상기 안테나 소자를 전기 접속하는 상기 귀환 경로의 동일한 지점으로 상기 파워를 누설시키도록 구성됨 - ;
    상기 RF 샘플 신호들을 조합하여 조합 신호를 생성하도록 구성된 하드웨어 RF 파워 조합기;
    상기 RF 샘플 신호들이 상기 하드웨어 RF 파워 조합기에서 위상 정렬되도록, 상기 RF 샘플 신호들의 위상 변위를 조정하도록 구성된 복수의 귀환측 위상 시프터들; 및
    입력 신호를 전치 왜곡하여 전치 왜곡 신호를 생성하도록 구성되고, 그리고 상기 조합 신호로부터 유도된 신호의 관측에 적어도 부분적으로 기초하여 전치 왜곡용 전치 왜곡 계수들을 조정하도록 구성된 전치 왜곡기
    를 포함하는, 무선 주파수 선형화 장치.
  2. 제1항에 있어서,
    상기 복수의 귀환측 위상 시프터들은 전송측 위상 시프터의 위상 변위에 대해서 역위상 변위를 효과적으로 수행하도록 구성되는, 무선 주파수 선형화 장치.
  3. 제1항에 있어서,
    상기 귀환측 위상 시프터들은 상기 귀환 경로들에 배치되는, 무선 주파수 선형화 장치.
  4. 제1항에 있어서,
    상기 RF 파워 증폭기들은 또한 상기 전치 왜곡 신호와 동일한 신호를 수신하도록 구성되는, 무선 주파수 선형화 장치.
  5. 제1항에 있어서, 상기 장치는,
    상기 위상 배열의 빔 포밍(beamforming) 패턴에서의 변화에 응답하여 새로운 전치 왜곡 계수를 결정하도록 구성되는 하나 이상의 프로세서를 더 포함하는, 무선 주파수 선형화 장치.
  6. 제1항에 있어서,
    상기 전치 왜곡기는 디지털 신호 프로세서와 적응 제어부를 포함하는, 무선 주파수 선형화 장치.
  7. 제6항에 있어서,
    상기 디지털 신호 프로세서는 룩업 테이블(lookup table)을 포함하는, 무선 주파수 선형화 장치.
  8. 위상 배열(phased array)의 복수 증폭기들의 무선 주파수(RF, radio frequency) 선형화 장치에 있어서,
    복수의 RF 파워 증폭기들 중 대응하는 하나의 RF 파워 증폭기의 적어도 RF 샘플 신호들을 반송하도록 구성되는 복수의 귀환 경로들 - 상기 복수의 귀환 경로들의 각각의 귀환 경로는 저잡음 증폭기(LNA, low-noise amplifier)를 포함함 - ;
    상기 복수의 귀환 경로들에 RF 샘플들을 제공하기 위한 수단 - 상기 RF 샘플들을 제공하기 위한 수단은 전송 경로로부터 상기 복수의 귀환 경로들 중의 귀환 경로에 파워를 누설시키도록 구성되고, 상기 장치는 상기 복수의 귀환 경로들 중의 상기 귀환 경로의 LNA의 이득(gain)의 양에 적어도 부분적으로 기초하여 상기 파워의 양을 결정하도록 구성됨 - ;
    상기 RF 샘플 신호들을 조합하여 조합 신호를 생성하도록 구성된 하드웨어 RF 파워 조합기;
    상기 RF 샘플 신호들이 상기 하드웨어 RF 파워 조합기에서 위상 정렬되도록, 상기 RF 샘플 신호들의 위상 변위를 조정하도록 구성된 복수의 귀환측 위상 시프터들; 및
    입력 신호를 전치 왜곡하여 전치 왜곡 신호를 생성하도록 구성되고, 그리고 상기 조합 신호로부터 유도된 신호의 관측에 적어도 부분적으로 기초하여 전치 왜곡용 전치 왜곡 계수들을 조정하도록 구성된 전치 왜곡기
    를 포함하는, 무선 주파수 선형화 장치.
  9. 제8항에 있어서,
    상기 RF 샘플들을 제공하기 위한 수단은, 상기 전송 경로로부터 상기 복수의 귀환 경로들 중의 상기 귀환 경로로 상기 파워를 누설시키도록 구성되는 스위치를 포함하는, 무선 주파수 선형화 장치.
  10. 제9항에 있어서,
    상기 스위치는, 상기 전송 경로 또는 상기 귀환 경로 중 하나를 안테나 소자에 선택적으로 전기 접속하도록 구성되는, 무선 주파수 선형화 장치.
  11. 제8항에 있어서,
    상기 복수의 귀환측 위상 시프터들은 전송측 위상 시프터의 위상 변위에 대해서 역위상 변위를 효과적으로 수행하도록 구성되는, 무선 주파수 선형화 장치.
  12. 위상 배열(phased array)에서 복수 증폭기들의 무선 주파수(RF, radio frequency) 선형화 방법에 있어서,
    스위치들 중 대응하는 하나의 스위치를 사용하여 개개의 귀환 경로들에 RF 샘플들을 제공하는 단계 - 상기 스위치들 중의 스위치는, 전치 왜곡의 적응적 조정을 위해서 상기 RF 샘플들 중의 RF 샘플에 표현된 전송 파워를 귀환 경로가 수신하도록, 전송 경로로부터 상기 귀환 경로들 중의 상기 귀환 경로에 파워를 누설시키고, 상기 스위치는, 상기 전송 경로로부터, 상기 스위치가 안테나 소자로부터 신호를 수신하기 위해서 상기 안테나 소자를 전기 접속하는 상기 귀환 경로의 동일한 지점으로 상기 파워를 누설시킴 - ;
    위상 변위된 RF 샘플들이 RF 파워 조합기에서 위상 정렬되도록 상기 RF 샘플들을 위상 변위시키는 단계;
    조합 신호를 생성하기 위해, 상기 위상 변위된 RF 샘플 신호들을 상기 RF 파워 조합기와 조합하는 단계;
    전치 왜곡 신호를 생성하기 위해 전치 왜곡 계수들을 사용하여 입력 신호를 전치 왜곡시키는 단계
    를 포함하고, 상기 전치 왜곡 계수들은 상기 조합 신호로부터 유도된 신호의 관측에 적어도 부분적으로 기초하는 것인, 무선 주파수 선형화 방법.
  13. 제12항에 있어서,
    상기 위상 변위시키는 단계는 전송측 위상 시프터들의 위상 변위에 대해서 역위상 변위를 효과적으로 수행하는, 무선 주파수 선형화 방법.
  14. 제12항에 있어서, 상기 방법은,
    상기 위상 배열의 복수의 RF 파워 증폭기들에 상기 전치 왜곡 신호와 동일한 신호를 적용하는 단계를 더 포함하는, 무선 주파수 선형화 방법.
  15. 제12항에 있어서, 상기 방법은,
    상기 위상 배열의 빔 포밍(beamforming) 패턴에서의 변화에 응답하여 새로운 전치 왜곡 계수들을 결정하는 단계를 더 포함하는, 무선 주파수 선형화 방법.
  16. 제12항에 있어서, 상기 방법은,
    다운 컨버터로, 상기 조합 신호를 다운 컨버팅된 신호로 다운 컨버팅하는 단계;
    피드백 신호를 생성하기 위해, 아날로그-디지털 컨버터(ADC)로, 상기 다운 컨버팅된 신호를 컨버팅하는 단계
    를 더 포함하고, 상기 피드백 신호는 상기 조합 신호로부터 유도된 신호를 포함하는, 무선 주파수 선형화 방법.
  17. 제12항에 있어서,
    상기 입력 신호를 전치 왜곡시키는 단계는, 복수의 RF 파워 증폭기들에 의해 집합적으로 도입된 비선형성을 보충하는 전치 왜곡 구동 신호를 생성하기 위해 샘플별로 기반하여 수행되는, 무선 주파수 선형화 방법.
  18. 제12항에 있어서,
    상기 전치 왜곡시키는 단계는 메모리 디바이스로부터 저장되고 추출되는 데이터를 사용하여 수행되는, 무선 주파수 선형화 방법.
  19. 제12항에 있어서, 상기 방법은,
    상기 귀환 경로의 저잡음 증폭기의 이득에 적어도 부분적으로 기초하여 상기 파워의 양을 결정하는 단계를 더 포함하는, 무선 주파수 선형화 방법.
  20. 제1항에 있어서,
    상기 귀환 경로는 LNA를 포함하고, 상기 장치는 상기 LNA의 이득에 적어도 부분적으로 기초하여 상기 파워의 양을 결정하도록 구성되는, 무선 주파수 선형화 장치.
  21. 위상 배열 통신 시스템에 있어서,
    복수의 위상 변위된 RF 전송 신호들을 생성하기 위해, 복수의 RF 전송 신호들에 복수의 전송측 위상 변위들을 제공하도록 구성된 복수의 전송측 위상 시프터들;
    복수의 증폭된 RF 전송 신호들을 생성하기 위해, 상기 복수의 위상 변위된 RF 전송 신호들을 증폭하도록 구성된 복수의 파워 증폭기들;
    상기 복수의 증폭된 RF 전송 신호들을 샘플링하는 것으로부터 획득된 복수의 RF 샘플 신호들을 반송하도록 구성된 복수의 귀환 경로들;
    복수의 위상 변위된 RF 샘플 신호들을 생성하기 위해, 상기 복수의 RF 샘플 신호들에 복수의 귀환측 위상 변위들을 제공하도록 구성된 복수의 귀환측 위상 시프터들;
    조합 RF 샘플 신호를 생성하기 위해, 상기 복수의 위상 변위된 RF 샘플 신호들을 조합하도록 구성된 RF 조합기;
    상기 조합 RF 샘플 신호에 기초하여, 상기 복수의 RF 전송 신호들의 디지털 전치 왜곡을 위한 하나 이상의 전치 왜곡 계수를 조정하도록 구성된 전치 왜곡기;
    상기 복수의 파워 증폭기들의 복수의 출력들에 커플링되는 복수의 입력들을 포함하는 복수의 스위치들;
    상기 복수의 스위치들의 복수의 출력들에 커플링되는 복수의 입력들을 포함하는 복수의 저잡음 증폭기들 ― 상기 복수의 저잡음 증폭기들의 복수의 출력들은 상기 복수의 귀환측 위상 시프터들의 복수의 입력들에 접속됨 ― ; 및
    상기 복수의 RF 샘플 신호들을 상기 복수의 저잡음 증폭기들의 상기 복수의 입력들에 제공하도록 구성되는 복수의 지향성 커플러들
    을 포함하는, 위상 배열 통신 시스템.
  22. 제21항에 있어서,
    상기 복수의 파워 증폭기들이 상기 복수의 위상 변위된 RF 전송 신호들을 증폭할 때, 상기 복수의 RF 샘플 신호들이 상기 복수의 귀환 경로들에 제공되는, 위상 배열 통신 시스템.
  23. 제22항에 있어서, 상기 시스템은,
    상기 복수의 위상 변위된 RF 전송 신호들을 전송하도록 구성된 복수의 안테나들을 더 포함하고, 상기 복수의 RF 샘플 신호들을 생성하기 위해, 전송된 파워의 제1 부분은 상기 복수의 안테나들에 제공되고, 상기 전송된 파워의 제2 부분은 상기 복수의 귀환 경로들에 제공되는, 위상 배열 통신 시스템.
  24. 제21항에 있어서,
    상기 복수의 지향성 커플러들은 상기 복수의 파워 증폭기들의 복수의 출력들에 커플링되고, 상기 복수의 지향성 커플러들은 상기 복수의 RF 샘플 신호들을 생성하도록 구성되는, 위상 배열 통신 시스템.
  25. 삭제
  26. 제21항에 있어서,
    상기 복수의 스위치들은 리키 스위치들이고, 상기 복수의 파워 증폭기들이 상기 복수의 위상 변위된 RF 전송 신호들을 증폭시킬 때, 상기 복수의 스위치들의 상기 복수의 출력들은 상기 복수의 RF 샘플 신호들을 제공하는, 위상 배열 통신 시스템.
  27. 제21항에 있어서, 상기 시스템은,
    복수의 안테나들을 더 포함하고,
    상기 복수의 스위치는, 수신 시, 상기 복수의 안테나들을 상기 복수의 저잡음 증폭기들의 상기 복수의 입력들에 접속시키도록 구성되고, 전송 시, 상기 복수의 안테나들을 상기 복수의 파워 증폭기들의 상기 복수의 출력들에 접속시키도록 구성되는, 위상 배열 통신 시스템.
  28. 삭제
  29. 삭제
  30. 삭제
  31. 위상 배열 통신 시스템에서의 선형화 방법에 있어서,
    복수의 전송측 위상 시프터들을 사용하여 복수의 위상 변위된 RF 전송 신호들을 생성하기 위해 복수의 무선 주파수(RF) 전송 신호들에 복수의 전송측 위상 변위들을 제공하는 단계;
    복수의 파워 증폭기들을 사용하여 복수의 증폭된 RF 전송 신호들을 생성하기 위해 상기 복수의 위상 변위된 RF 전송 신호들을 증폭시키는 단계;
    복수의 귀환 경로들을 위한 복수의 RF 샘플 신호들을 획득하기 위해 상기 복수의 증폭된 RF 전송 신호들을 샘플링하는 단계;
    복수의 스위치들을 사용하여 스위칭을 제어하는 단계 - 상기 복수의 스위치들은 상기 복수의 파워 증폭기들의 복수의 출력들에 커플링된 복수의 입력들과, 복수의 저잡음 증폭기들의 복수의 입력들에 커플링된 복수의 출력들을 포함함 - ;
    복수의 지향성 커플러들을 사용하여 상기 복수의 RF 샘플 신호들을 생성하는 단계;
    전송 시, 상기 복수의 RF 샘플 신호들을 상기 복수의 저잡음 증폭기들의 상기 복수의 입력들에 제공하는 단계;
    수신 시, 상기 복수의 저잡음 증폭기들로부터의 복수의 증폭된 수신 신호들을 복수의 귀환측 위상 시프터들의 복수의 입력들에 제공하는 단계;
    상기 복수의 귀환측 위상 시프터들을 사용하여 복수의 위상 변위된 RF 샘플 신호들을 생성하기 위해 상기 복수의 RF 샘플 신호들에 복수의 귀환측 위상 변위들을 제공하는 단계;
    RF 조합기를 사용하여 조합 RF 샘플 신호를 생성하기 위해 상기 복수의 위상 변위된 RF 샘플 신호들을 조합하는 단계; 및
    전치 왜곡기를 사용하여, 상기 조합 RF 샘플 신호에 기초하여 상기 복수의 RF 전송 신호들의 디지털 전치 왜곡을 위한 하나 이상의 전치 왜곡 계수를 조정하는 단계
    를 포함하는, 위상 배열 통신 시스템에서의 선형화 방법.
  32. 제31항에 있어서, 상기 방법은,
    상기 복수의 파워 증폭기들이 상기 복수의 위상 변위된 RF 전송 신호들을 증폭시킬 때, 상기 복수의 귀환 경로들에 상기 복수의 RF 샘플 신호들을 제공하는 단계를 더 포함하는, 위상 배열 통신 시스템에서의 선형화 방법.
  33. 제32항에 있어서, 상기 방법은,
    복수의 안테나들을 사용하여 상기 복수의 위상 변위된 RF 전송 신호들을 전송하는 단계를 더 포함하고, 상기 전송하는 단계는, 상기 복수의 RF 샘플 신호들을 생성하기 위해, 상기 복수의 안테나들에 전송된 파워의 제1 부분을, 그리고 상기 복수의 귀환 경로들에 상기 전송된 파워의 제2 부분을 제공하는 단계를 포함하는, 위상 배열 통신 시스템에서의 선형화 방법.
  34. 제31항에 있어서,
    상기 복수의 지향성 커플러들은 상기 복수의 파워 증폭기들의 복수의 출력들에 커플링되는, 위상 배열 통신 시스템에서의 선형화 방법.
  35. 삭제
  36. 제31항에 있어서,
    상기 복수의 스위치들은 리키 스위치들이고, 상기 방법은,
    상기 복수의 위상 변위된 RF 전송 신호들을 증폭시킬 때, 상기 복수의 스위치들의 상기 복수의 출력들에서 상기 복수의 RF 샘플 신호들을 제공하는 단계를 더 포함하는, 위상 배열 통신 시스템에서의 선형화 방법.
  37. 제31항에 있어서, 상기 방법은,
    상기 복수의 스위치들을 사용하여, 수신 시, 복수의 안테나들을 상기 복수의 저전압 증폭기들의 상기 복수의 입력들에 접속시키고, 전송 시, 상기 복수의 안테나들을 상기 복수의 파워 증폭기들의 상기 복수의 출력들에 접속시키는 단계를 더 포함하는, 위상 배열 통신 시스템에서의 선형화 방법.
  38. 삭제
  39. 삭제
  40. 삭제
KR1020220150555A 2017-11-01 2022-11-11 위상 배열 증폭기의 선형화 KR102650774B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/801,232 2017-11-01
US15/801,232 US11038474B2 (en) 2017-11-01 2017-11-01 Phased array amplifier linearization
KR1020180130805A KR102468055B1 (ko) 2017-11-01 2018-10-30 위상 배열 증폭기의 선형화

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180130805A Division KR102468055B1 (ko) 2017-11-01 2018-10-30 위상 배열 증폭기의 선형화

Publications (2)

Publication Number Publication Date
KR20220154656A KR20220154656A (ko) 2022-11-22
KR102650774B1 true KR102650774B1 (ko) 2024-03-22

Family

ID=63965536

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180130805A KR102468055B1 (ko) 2017-11-01 2018-10-30 위상 배열 증폭기의 선형화
KR1020220150555A KR102650774B1 (ko) 2017-11-01 2022-11-11 위상 배열 증폭기의 선형화

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180130805A KR102468055B1 (ko) 2017-11-01 2018-10-30 위상 배열 증폭기의 선형화

Country Status (5)

Country Link
US (3) US11038474B2 (ko)
EP (1) EP3480947A3 (ko)
JP (1) JP2019088001A (ko)
KR (2) KR102468055B1 (ko)
CN (2) CN109756282B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11038474B2 (en) 2017-11-01 2021-06-15 Analog Devices Global Unlimited Company Phased array amplifier linearization
WO2019127175A1 (zh) * 2017-12-28 2019-07-04 华为技术有限公司 一种用于校正多个传输通道间偏差的装置及方法
US10620250B2 (en) * 2018-01-17 2020-04-14 Kymeta Corporation Localized free space tester
JP2019220816A (ja) * 2018-06-19 2019-12-26 株式会社東芝 無線通信装置及び無線通信方法
FR3087306A1 (fr) * 2018-10-11 2020-04-17 Thales Systeme d'emission multi-voies auto calibre pour charge utile de satellite
GB2579228A (en) * 2018-11-26 2020-06-17 Nokia Technologies Oy Communication system
US11018633B2 (en) 2019-04-18 2021-05-25 Samsung Electronics Co., Ltd Method and apparatus for calibrating digital pre-distortion of cellular transmitter
DE102019110525B4 (de) * 2019-04-23 2021-07-29 Infineon Technologies Ag Kalibrierung eines radarsystems
US10924063B2 (en) * 2019-06-11 2021-02-16 Analog Devices International Unlimited Company Coupling a bias circuit to an amplifier using an adaptive coupling arrangement
US11967766B2 (en) 2019-08-26 2024-04-23 Bdcm A2 Llc Antenna array with amplitude tapering and method therefor
WO2021061297A1 (en) * 2019-09-27 2021-04-01 Commscope Technologies Llc Digital phase shifters having multi-throw radio frequency switches and related methods of operation
WO2021142041A1 (en) * 2020-01-06 2021-07-15 Metawave Corporation Amplitude tapering in a beam steering vehicle radar
KR102368039B1 (ko) * 2020-05-15 2022-02-28 한국과학기술원 다채널 빔포밍 시스템에서 채널 간 위상 및 이득을 보상하는 보정 회로, 이를 포함하는 다채널 빔포밍 시스템 및 이를 이용한 채널 보정 방법
CN113258884A (zh) * 2021-07-08 2021-08-13 成都市克莱微波科技有限公司 一种功率放大器合成技术用处理装置及其使用方法
US20240137053A1 (en) * 2022-10-24 2024-04-25 Media Tek Inc. Measurement receiver architecture for digital pre-distortion (dpd) in millimeter wave phased array environment
US20240137054A1 (en) * 2022-10-24 2024-04-25 Mediatek Inc. Switchable transmission line structure for digital pre-distortion (dpd) in millimeter wave phased array environment

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160156375A1 (en) * 2013-12-23 2016-06-02 Huawei Technologies Co., Ltd. Wireless Transceiver
JP6104476B2 (ja) * 2015-04-13 2017-03-29 三菱電機株式会社 フェーズドアレイアンテナ装置

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5873687A (ja) * 1981-10-24 1983-05-02 日立建機株式会社 ア−スドリルバケツトの自動排土装置
US4462001A (en) 1982-02-22 1984-07-24 Canadian Patents & Development Limited Baseband linearizer for wideband, high power, nonlinear amplifiers
JPS614476U (ja) 1984-06-13 1986-01-11 三菱電機株式会社 プリント配線基板の実装構造
US4700151A (en) 1985-03-20 1987-10-13 Nec Corporation Modulation system capable of improving a transmission system
US5049832A (en) 1990-04-20 1991-09-17 Simon Fraser University Amplifier linearization by adaptive predistortion
KR970007602B1 (ko) * 1994-05-31 1997-05-13 삼성전자 주식회사 전송기의 출력전력을 제어 및 측정하기 위한 장치 및 그에 따른 방법
JPH0837434A (ja) * 1994-07-25 1996-02-06 Oki Electric Ind Co Ltd 移動体通信装置の出力パワー制御回路及び空中線共用器
JPH08316756A (ja) * 1995-05-22 1996-11-29 Saitama Nippon Denki Kk 送信出力制御方式
US5852770A (en) * 1995-09-19 1998-12-22 Sanyo Electric Co., Ltd. Transmission power control device for a portable terminal
KR100217416B1 (ko) 1995-11-16 1999-09-01 윤종용 선형 증폭 장치 및 방법
US6230031B1 (en) * 1997-03-31 2001-05-08 Oki Telecom, Inc. Power amplifying circuitry for wireless radio transceivers
US6342810B1 (en) 1999-07-13 2002-01-29 Pmc-Sierra, Inc. Predistortion amplifier system with separately controllable amplifiers
US6697436B1 (en) 1999-07-13 2004-02-24 Pmc-Sierra, Inc. Transmission antenna array system with predistortion
US6798843B1 (en) 1999-07-13 2004-09-28 Pmc-Sierra, Inc. Wideband digital predistortion linearizer for nonlinear amplifiers
US6587514B1 (en) 1999-07-13 2003-07-01 Pmc-Sierra, Inc. Digital predistortion methods for wideband amplifiers
US6356146B1 (en) 1999-07-13 2002-03-12 Pmc-Sierra, Inc. Amplifier measurement and modeling processes for use in generating predistortion parameters
US6973138B1 (en) 2000-01-26 2005-12-06 Pmc-Sierra, Inc. Advanced adaptive pre-distortion in a radio frequency transmitter
US6570444B2 (en) 2000-01-26 2003-05-27 Pmc-Sierra, Inc. Low noise wideband digital predistortion amplifier
SE0102885D0 (en) 2001-08-28 2001-08-28 Ericsson Telefon Ab L M Calibration of an adaptive signal conditioning systern
JP3876408B2 (ja) * 2001-10-31 2007-01-31 富士通株式会社 歪補償装置及び歪補償方法
US7058369B1 (en) 2001-11-21 2006-06-06 Pmc-Sierra Inc. Constant gain digital predistortion controller for linearization of non-linear amplifiers
US7280848B2 (en) 2002-09-30 2007-10-09 Andrew Corporation Active array antenna and system for beamforming
US7555057B2 (en) * 2003-01-17 2009-06-30 Texas Instruments Incorporated Predistortion calibration in a transceiver assembly
WO2005050831A1 (en) 2003-10-20 2005-06-02 Thomson Licensing A predistorter for use in a wireless transmitter
US7529526B2 (en) * 2004-04-08 2009-05-05 Christopher Brindle Apparatus and method for detecting radio frequency transmission power levels
JP4753884B2 (ja) * 2004-12-27 2011-08-24 パナソニック株式会社 アダプティブアンテナ装置
US8385391B2 (en) 2005-10-13 2013-02-26 Viasat, Inc. Closed-loop receiver feedback pre-distortion
US7509102B2 (en) * 2006-04-07 2009-03-24 Broadcom Corporation DAC based switching power amplifier
US7983327B2 (en) * 2006-08-28 2011-07-19 Samsung Electronics Co., Ltd. Method and system for providing digital adaptive predistortion in a subscriber station
JP4786524B2 (ja) 2006-12-26 2011-10-05 京セラ株式会社 基地局アンテナのキャリブレーション方法、及び通信基地局
CN100578922C (zh) * 2007-12-17 2010-01-06 华为技术有限公司 高效功率放大器
US8023588B1 (en) 2008-04-08 2011-09-20 Pmc-Sierra, Inc. Adaptive predistortion of non-linear amplifiers with burst data
EP2204903B1 (en) * 2008-12-31 2012-07-18 Ubidyne Inc. A radio station and active antenna array
US8588193B1 (en) 2009-02-03 2013-11-19 Sibeam, Inc. Enhanced wireless data rates using multiple beams
US8243851B2 (en) 2009-04-01 2012-08-14 Ubidyne, Inc. Radio system and a method for relaying radio signals
US8055212B2 (en) 2009-05-26 2011-11-08 ST-Erisson SA Transmitter phase shift determination and compensation
KR101691246B1 (ko) 2009-06-08 2016-12-29 인텔 코포레이션 무선 네트워크를 위한 적응형 전치왜곡을 갖는 다중―요소 진폭 및 위상 보상 안테나 어레이
US20110065408A1 (en) 2009-09-17 2011-03-17 Peter Kenington Mismatched delay based interference cancellation device and method
CN102948081B (zh) * 2009-12-21 2016-06-01 大力系统有限公司 具有宽带功率放大器的远程射频头单元及方法
US8446979B1 (en) 2010-03-02 2013-05-21 Pmc-Sierra, Inc. Predistortion with integral crest-factor reduction and reduced observation bandwidth
FR2969835B1 (fr) 2010-12-23 2013-07-05 St Microelectronics Sa Dispositif de dephasage pour reseau d'antennes
KR101616607B1 (ko) * 2011-05-30 2016-04-28 닛본 덴끼 가부시끼가이샤 Vswr 측정 회로, 무선 통신 장치, vswr 측정 방법 및 vswr 측정 프로그램이 저장된 기록 매체
KR20130043425A (ko) * 2011-10-20 2013-04-30 삼성전자주식회사 입력 레벨에 따라 메모리 차수를 달리하는 디지털 전치 왜곡 방법 및 장치
KR101967370B1 (ko) 2011-12-26 2019-04-11 삼성전자주식회사 무선 통신 시스템에서 송신기 어레이 왜곡 보상 장치 및 그 제어 방법
CN102594749A (zh) 2012-02-28 2012-07-18 中兴通讯股份有限公司 一种数字预失真处理方法及装置
EP2717382B1 (en) * 2012-10-05 2019-01-02 Nxp B.V. A phased array antenna and associated methods
CN103888630A (zh) 2012-12-20 2014-06-25 杜比实验室特许公司 用于控制声学回声消除的方法和音频处理装置
US9053799B2 (en) 2013-02-07 2015-06-09 Texas Instruments Incorporated Optimizing fuseROM usage for memory repair
KR101975830B1 (ko) 2013-04-02 2019-05-09 한국전자통신연구원 빔 형성 장치 및 그것의 빔 형성 방법
CN103475315B (zh) * 2013-09-12 2016-05-18 电子科技大学 改善射频功率放大器线性度的装置
FR3018968A1 (fr) 2014-03-21 2015-09-25 Advanced Wireless Solutions And Services Aw2S Systeme d'amplification radiofrequence numerique
JP6264149B2 (ja) * 2014-03-28 2018-01-24 富士通株式会社 無線装置及び無線アクセスシステム
US9473096B2 (en) * 2014-05-29 2016-10-18 Entropic Communications, Llc Method and apparatus for adaptive transmit power control
US9791552B1 (en) * 2014-11-19 2017-10-17 Src, Inc. On-site calibration of array antenna systems
US20160212677A1 (en) 2015-01-20 2016-07-21 Qualcomm Incorporated Combined wwan and wlan signal path calibration
US20160285485A1 (en) * 2015-03-26 2016-09-29 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for multiband predistortion using time-shared adaptation loop
JP2016195331A (ja) 2015-03-31 2016-11-17 三星電子株式会社Samsung Electronics Co.,Ltd. アレーアンテナ送受信装置及び校正値算出方法
JP2016213603A (ja) * 2015-05-01 2016-12-15 富士通株式会社 無線通信装置
US9948490B2 (en) 2015-11-06 2018-04-17 Qualcomm Incorporated Preamble for non-linearity estimation
EP3381129B1 (en) 2015-11-27 2020-08-05 Telefonaktiebolaget LM Ericsson (publ) Linearization of active antenna array
KR102586418B1 (ko) 2016-03-23 2023-10-06 삼성전기주식회사 고주파 신호 전치왜곡 장치 및 전력증폭기 비선형 왜곡 보정 장치
US10698079B2 (en) * 2016-04-01 2020-06-30 Intel IP Corporation Method and apparatus for proximity radar in phased array communications
EP3267579B1 (en) 2016-07-04 2020-03-04 Nokia Solutions and Networks Oy Linearizing power amplifiers outputs in multi-antenna system
US10298276B2 (en) 2016-12-08 2019-05-21 Analog Devices Global Spatial digital pre-distortion
US10620297B2 (en) * 2016-12-22 2020-04-14 Apple Inc. Radar methods and apparatus using in phased array communication systems
US10374663B2 (en) * 2016-12-30 2019-08-06 Hughes Network Systems, Llc Digital dithering for reduction of quantization errors and side-lobe levels in phased array antennas
US10944180B2 (en) * 2017-07-10 2021-03-09 Viasat, Inc. Phased array antenna
US10469109B2 (en) * 2017-09-19 2019-11-05 Qualcomm Incorporated Predistortion for transmitter with array
US11038474B2 (en) 2017-11-01 2021-06-15 Analog Devices Global Unlimited Company Phased array amplifier linearization
JP7279391B2 (ja) * 2019-02-14 2023-05-23 富士通株式会社 電力増幅回路及びアンテナ装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160156375A1 (en) * 2013-12-23 2016-06-02 Huawei Technologies Co., Ltd. Wireless Transceiver
JP6104476B2 (ja) * 2015-04-13 2017-03-29 三菱電機株式会社 フェーズドアレイアンテナ装置
US20180053997A1 (en) 2015-04-13 2018-02-22 Mitsubishi Electric Corporation Phased array antenna device

Also Published As

Publication number Publication date
KR20220154656A (ko) 2022-11-22
CN109756282A (zh) 2019-05-14
US20190131934A1 (en) 2019-05-02
US20220239262A1 (en) 2022-07-28
EP3480947A3 (en) 2019-07-24
CN113904693A (zh) 2022-01-07
EP3480947A2 (en) 2019-05-08
JP2019088001A (ja) 2019-06-06
CN113904693B (zh) 2023-03-24
US11522501B2 (en) 2022-12-06
US11973473B2 (en) 2024-04-30
KR20190049556A (ko) 2019-05-09
CN109756282B (zh) 2021-10-29
US11038474B2 (en) 2021-06-15
KR102468055B1 (ko) 2022-11-16
US20210376800A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
KR102650774B1 (ko) 위상 배열 증폭기의 선형화
CN110915174B (zh) 校正装置和校正方法
US10516209B2 (en) Phased array antenna device
JP5933471B2 (ja) フェーズドアレイ送信装置
US20190267707A1 (en) Antenna array calibration systems and methods
US9287960B2 (en) Radio communication apparatus, transmitter, and radio communication method
JP5815448B2 (ja) フェーズドアレイ送信装置
US20160269091A1 (en) Wireless communication device, control method of wireless communication device and phase shifter
US11133854B1 (en) Digital predistortion using coding for phased antenna arrays
JP2020136772A (ja) 電力増幅回路及びアンテナ装置
Liu et al. Power scalable beam-oriented digital predistortion for compact hybrid massive MIMO transmitters
Jing et al. Multibeam digital predistortion for millimeter-wave analog beamforming transmitters
CN116405352A (zh) 一种提高分布式天线功放效率的系统及其校准方法
JP6532017B2 (ja) フェーズドアレイ送信装置
Lozhkin et al. Hybrid antenna array predistorter for 5G mm band
WO2022067855A1 (en) Radio transmitter as well as method and controller therefor
Aoki et al. Fast Characterization of Phased-Array Elements Using Orthogonal Codes
Narayanan et al. A neural network-based DPD coefficient determination for PA linearization in 5G and beyond-5G mmWave systems
JP2012010155A (ja) 通信装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant