KR102634069B1 - 극자외선 리소그래피를 사용하여 기판을 패터닝하기 위한 방법 - Google Patents

극자외선 리소그래피를 사용하여 기판을 패터닝하기 위한 방법 Download PDF

Info

Publication number
KR102634069B1
KR102634069B1 KR1020187012075A KR20187012075A KR102634069B1 KR 102634069 B1 KR102634069 B1 KR 102634069B1 KR 1020187012075 A KR1020187012075 A KR 1020187012075A KR 20187012075 A KR20187012075 A KR 20187012075A KR 102634069 B1 KR102634069 B1 KR 102634069B1
Authority
KR
South Korea
Prior art keywords
photoresist
substrate
pattern
photoresist layer
layer
Prior art date
Application number
KR1020187012075A
Other languages
English (en)
Other versions
KR20180050425A (ko
Inventor
안톤 제이. 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180050425A publication Critical patent/KR20180050425A/ko
Application granted granted Critical
Publication of KR102634069B1 publication Critical patent/KR102634069B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/161Coating processes; Apparatus therefor using a previously coated surface, e.g. by stamping or by transfer lamination
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본원에서 개시되는 기술들은 서브 해상도 피처들을 갖는 기판들의 연속적인 패터닝을 위한 방법을 제공한다. 기술들은 신규한 퇴적 및 제거 기술들을 사용하는 것을 포함한다. 이는, 주어진 기판 상의 구조물들간에 포토레지스트가 위치되는 깍지형 포토레지스트 기판을 초래한다. 극자외선 리소그래피 노광을 사용하는 것과 조합하여, 본원의 패터닝 기술들은 기판 상의 특정 위치들에 원하는 커트들을 행하고 블록들을 제조할 수 있다.

Description

극자외선 리소그래피를 사용하여 기판을 패터닝하기 위한 방법
본 출원은 그 전체가 참조로서 본원에 포함되는, “극자외선 리소그래피를 사용하여 기판을 패터닝하기 위한 방법”로 명칭되고, 2015년 9월 30일에 출원된 미국 특허 가출원 제 62/235,220 호의 이익을 주장한다.
본 개시는 기판 프로세싱, 더 구체적으로 반도체 웨이퍼들을 패터닝하는 것을 포함하는 기판들을 패터닝하기 위한 기술들에 관한 것이다.
리소그래픽 프로세스들에서 라인 폭들을 축소시키는 방법들은 역사적으로, 더 큰 NA(numerical aperture, 개구수) 옵틱스(greater-NA optics), 더 짧은 노광 파장, 또는 공기 외의 계면 매체[예를 들어, 수침(water immersion)]를 사용하는 것을 포함한다. 종래의 리소그래픽 프로세스들의 해상도(resolution)가 이론적 한계에 접근해 감에 따라, 제조자들은 광학적 한계를 극복하기 위해 더블 패터닝(double-patterning; DP) 방법들로 전환하기 시작했다.
(포토리소그래피와 같은) 재료 프로세싱 방법론들에서, 패터닝된 층들을 생성하는 것은 기판의 상면에의, 포토레지스트와 같은 방사선 감응성(radiation-sensitive) 재료의 얇은 층의 도포를 포함한다. 이 방사선 감응성 재료는, 패턴을 기판 상의 기저(underlying)층으로 전사하기 위한 에칭 마스크로서 사용될 수 있는 릴리프(relief) 패턴으로 변형된다. 방사선 감응성 재료의 패터닝은 일반적으로, 예를 들어 포토리소그래피 시스템을 사용하여 방사선 감응성 재료 상에의 레티클(및 관련 옵틱스)을 통한 화학 방사선(actinic radiation)의 노광을 포함한다. 이 노광에 이어서, 현상 용매(developing solvent)를 사용하여 [레지스트 톤(tone) 및 현상제(developer) 톤에 따른] 방사선 감응성 재료의 조사된 영역들 또는 조사되지 않은 영역들의 제거가 이어질 수 있다. 이 마스크층은 다수의 서브층들을 포함할 수 있다.
기판 상에 방사선 또는 광의 패턴을 노광하기 위한 종래의 포토리소그래픽 기술들은, 노광되는 피처들의 사이즈를 제한하고 노광되는 피처들간의 피치 또는 간격을 제한하는 다양한 도전과제들을 갖는다. 노광 제한들을 경감시키기 위한 한 종래 기술은, 종래의 포토리소그래픽 기술들로 현재 가능한 것보다 더 작은 피치로 더 작은 피처들의 패터닝을 가능하게 하기 위해 더블 패터닝 접근법을 사용하는 것이다.
더블 패터닝 또는 다중 패터닝은 서브 해상도(sub-resolution) 피처들을 성공적으로 생산할 수 있다. 예를 들어, 자가 정렬 더블 패터닝(self-aligned double patterning; SADP) 및 자가 정렬 쿼드러플 패터닝(self-aligned quadruple patterning; SAQP)은 비교적 작은 피치를 갖는 라인들을 생산할 수 있다. 예를 들어, 한 결과물(resultant)을 쿼드러플링(quadrupling)하면 “피치 쿼드(pitch quad)”가 16 나노미터의 반 피치로 생성될 수 있다. 구조물들의 피치 쿼드 또는 다른 서브 해상도 패턴을 사용하는 연속적인 제조가 도전과제이다. 종래의 포토리소그래피에 대해, 커트(cut)들을 행하고 그러한 작은 임계 치수들을 갖는 피처들과의 연결부들을 제조하는 것이 도전과제이다.
본원에서 개시되는 기술들은 서브 해상도 피처들을 갖는 기판들의 연속적인 패터닝을 위한 방법을 제공한다. 기술들은 신규한 퇴적 및 제거 기술들을 사용하는 것을 포함한다. 이는, 주어진 기판 상의 구조물들간에 포토레지스트가 위치되는 깍지형(inter-digitated) 포토레지스트 기판을 초래한다. 극자외선 리소그래피 노광을 사용하는 것과 조합하여, 본원의 패터닝 기술들은 기판 상의 특정 위치들에서 원하는 커트들을 행하고 블록(block)들을 제조할 수 있다.
일 실시예에서, 기판을 패터닝하는 방법은 릴리프 패턴을 갖는 기판을 수용하는 단계를 포함한다. 릴리프 패턴은, 124 나노미터보다 더 큰 파장들의 전자기 방사선의 파 전파를 가능하게 하기에 충분한 폭보다 작은 폭을 갖는 개구부들을 규정하는 구조물들을 포함한다. 구조물들은 극자외 방사선에 감응하지 않는 재료로 구성된다. 제 1 포토레지스트가 기판 상에 퇴적되어, 릴리프 패턴에 의해 규정된 개구부들을 제 1 포토레지스트가 채운 제 1 포토레지스트층을 형성한다. 이는 또한, 릴리프 패턴의 최상면으로부터 제 1 포토레지스트층의 최상면까지 연장되는 제 1 포토레지스트의 과적부(overburden)를 초래한다. 릴리프 패턴에 의해 규정된 개구부들 내에 제 1 포토레지스트가 남아있도록 제 1 포토레지스트의 과적부를 제거하는 것을 포함하여 제 1 포토레지스트층의 일부분이 제거된다. 기판은 극자외선 리소그래피 노광 시스템으로부터의 제 1 패턴의 화학 방사선에 노출된다. 미리결정된 현상제를 사용하여 제 1 포토레지스트층의 가용성(soluble) 부분들이 현상된다.
물론, 명확성을 위해, 본원에서 설명되는 바와 같은 상이한 스텝들의 논의의 순서가 제시된다. 일반적으로, 이 스텝들은 임의의 적절한 순서로 수행될 수 있다. 추가적으로, 본원의 상이한 피처들, 기술들, 구성들 등 각각이 본 개시의 상이한 개소들에서 논의될 수 있지만, 개념들 각각이 서로 독립적으로 또는 서로 조합하여 실행될 수 있다는 점이 의도된다. 따라서, 본 발명은 많은 상이한 방식들로 구현되고 보여질 수 있다.
이 발명의 내용 부분이 본 개시 또는 청구된 발명의 모든 실시예 및/또는 점증적으로 신규한 양태를 특정하지 않는다는 점을 유념한다. 대신, 이 발명의 내용은 종래의 기술들에 대해 상이한 실시예들 및 대응하는 포인트들의 신규성의 예비적 논의만을 제공한다. 본 발명 및 실시예들의 추가적인 상세사항들 및/또는 가능한 관점들에 대해, 읽는이는 아래에서 더욱 논의되는 바와 같은 본 개시의 상세한 설명 부분 및 대응하는 도면들로 안내된다.
본 발명의 다양한 실시예들 및 그 수반되는 많은 장점들의 보다 완벽한 이해는 첨부된 도면들과 관련하여 고려되는 다음의 상세한 설명을 참조하면 쉽게 명백해질 것이다. 도면들은 실척도일 필요는 없으며, 대신 피처들, 원리들, 및 개념들을 예시하는데 역점을 둔다.
도 1a, 도 2a, 도 3a, 도 4a, 도 6a, 및 도 7a는 본원에서 개시되는 실시예들에 따른, 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면의 개략적인 측면도들이다.
도 1b, 도 2b, 도 3b, 도 4b, 도 6b, 및 도 7b는 본원에서 개시되는 실시예들에 따른, 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면의 개략적인 사시도들이다.
도 5는 제조를 위한 예시적인 포토마스크 패턴의 상면도이다.
도 8 및 도 9는 본원에서 개시되는 실시예들에 따른, 패터닝 결과들을 도시하는 예시적인 기판 세그먼트의 단면의 개략적인 사시도들이다.
본원에서 개시되는 기술들은 서브 해상도 피처들을 갖는 기판들의 연속적인 패터닝을 위한 방법을 제공한다. 기술들은 신규한 퇴적 및 제거 기술들을 사용하는 것을 포함한다. 이는, 주어진 기판 상의 다수의 구조물들간에 포토레지스트가 위치되는 깍지형 포토레지스트 기판을 초래한다. 극자외선 리소그래피(extreme ultraviolet lithography; EUV)를 사용하는 것과 조합하여, 본원의 패터닝 기술들은 기판 상에 정확한 피치로 특정 위치들에서 원하는 커트들을 행하고 블록들을 제조할 수 있다.
예를 들어, 본원의 기술들로, 스핀온 오버코트(spin-on overcoat) 하드마스크들에는, 피치 쿼드 피처들을 포함하는 피처들을 그리고 이 피처들간을 노광할 수 있는 EUV 노광이 혼용될 수 있다. 현재, (피치 쿼드와 같은) 피치 증배(multiplication) 기술들이 라인들 및 간격들의 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 종종 1차원(1-dimensional) 패턴 또는 피처로 지칭된다. 그러나, 피치 쿼드 패터닝을 사용하는 것에 따른 도전과제는, 종래 기술들로 원하는 치수들로 커트들을 행하고 블록들을 제조할 수 없는 것에 대한 것이다. 본원의 기술들은 EUV 노광을 가능하게 하거나 EUV 노광을 사용할 수 있는 커트들 및 블록들을 생성하기 위한 방법들을 개시한다. 그와 같이, EUV 시스템들 및 노광 기술들은 서브 해상도 치수 패턴들에 대해 커트들을 행하고 블록들을 제조하기 위해 사용될 수 있다.
예시적인 일 실시예는 기판을 패터닝하기 위한 방법을 포함한다. 이제 도 1a 및 도 1b를 참조하면, 릴리프 패턴(107)[토포그래픽(topographic) 패턴]을 갖는 기판(105)이 수용된다. 릴리프 패턴(107)은 기저층(110) 상에 위치된다. 몇몇 실시예들에서, 기저층(110) 및 임의의 관련 막들은 바닥부 반사 방지 코팅층(bottom anti-reflective coating layer; BARC)을 포함하지 않는다. 환언하면, 몇몇 실시예들에서, 릴리프 패턴(107) 아래에 BARC가 없다. 문자 “a”를 포함하는 도면 번호들은 “b” 문자를 갖는 대응하는 도면 번호의 단면도를 도시한다는 점에 유념한다. 릴리프 패턴(107)은, 124 나노미터보다 더 큰 파장들의 전자기 방사선의 파 전파를 가능하게 하기에 충분한 폭보다 작은 폭을 갖는 개구부들을 규정하는 구조물들을 포함한다. 예를 들어, 치수(117)는 124 나노미터보다 더 큰 광 파장들의 광 전파를 가능하게 하기에 충분하지 않은 개구부를 도시한다. 환언하면, 개구부들은, 124 나노미터보다 더 큰 광이 규정된 개구부들을 관통하거나 규정된 개구부들 내로 전파되기에 너무 작다. 비제한적인 예시에 의하면, 193 nm 포토리소그래픽 노광 시스템으로부터의 193 nm 광은, 개구부들이 이 파장이 전파되는 것에 대해 고전적으로 금지된(classically forbidden) 간격을 생성하기에 충분히 작게 사이즈화되기 때문에, 규정된 개구부들 내로 전파될 수 없다. 다른 실시예들에서, 릴리프 패턴에서의 규정된 개구부들의 폭은 7 나노미터 내지 40 나노미터 사이의 파장들의 전자기 파 전파를 가능하게 하기에 충분하다. 다른 실시예들에서, 릴리프 패턴(107)은 4 나노미터 내지 40 나노미터 및/또는 5 나노미터 내지 20 나노미터 사이의 폭을 갖는 개구부들을 규정하는 구조물들을 포함한다.
(라인들과 같은) 릴리프 패턴(107)의 구조물들은 극자외 방사선에 감응하지 않는 재료로 구성된다. 환언하면, 릴리프 패턴(107)의 구조물들은 EUV 방사선에의 노출로부터 용해성 시프트(solubility shift)가 일어나지 않을 수 있다. 하나의 예시적인 재료는 티타튬 질화물 또는 다른 무기 재료를 포함할 수 있다. 릴리프 패턴 및 그 위의 구조물들은 맨드렐(mandrel) 형성, 스페이서 퇴적, 측벽 이미지 전사 등을 포함하는 임의의 수의 제조 기술들로부터 생성될 수 있다. 예를 들어, 라인들의 어레이는, 주어진 시작 피치 밀도 또는 피처 밀도를 초기 피치 또는 초기 피처 밀도에 대해 쿼드러플링하는 것을 포함하는 자가 정렬 피처 증배 기술을 사용하여 형성될 수 있다. 릴리프 패턴(107)을 생성하기 위해 포토리소그래픽 스캐너들, 웨이퍼 코팅제(coater)/현상제들, 에칭 시스템들, 세정 툴들 등을 포함하는 반도체 제조 장비의 조합이 사용될 수 있다.
기판 상에 제 1 포토레지스트가 퇴적된다. 그러한 퇴적은 액체 포토레지스트의 스핀온 퇴적에 의해 실행될 수 있다. 이 퇴적은, 릴리프 패턴(107)에 의해 규정된 개구부들을 제 1 포토레지스트가 채운 제 1 포토레지스트층(121)을 형성한다. 제 1 포토레지스트층(121)을 형성한 것은, 릴리프 패턴(107)의 최상면들로부터 제 1 포토레지스트층의 최상면까지 연장되는 제 1 포토레지스트의 과적부를 초래한다. 치수(124)는 제 1 포토레지스트층(121)의 예시적인 과적부 부분을 예시한다. 제 1 포토레지스트층(121)을 형성하는 것이, 다양한 용매들을 제거하기 위해 포토레지스트를 베이킹하는 것 등과 같은 임의의 필수적 또는 바람직한 프로세싱 스텝들을 포함할 수 있다는 점을 유념한다. 도 2a 및 도 2b는 이 퇴적 스텝의 예시적인 결과들을 도시한다.
다음으로, 릴리프 패턴(107)에 의해 규정된 개구부들 내에 제 1 포토레지스트가 남아있도록 제 1 포토레지스트층(121)의 과적부를 제거하는 것을 포함하여 제 1 포토레지스트층(121)의 일부분이 제거된다. 이 시점에서, 기판(105)은 도 3a 및 도 3b에 예시된 바와 같이, 릴리프 패턴(107)의 구조물들간의 간격들을 채우는 제 1 포토레지스트층(121)을 갖는 릴리프 패턴(107)으로 구성된다.
포토레지스트의 과적부를 제거하기 위해 다양한 기술들이 사용될 수 있다. 예를 들어, 포토레지스트를 릴리프 패턴의 최상면들을 커버하지 않을 때까지 에칭하기 위해, 플라즈마 기반 에천트들을 사용하는 에치백(etch back) 프로세스가 사용될 수 있다. 다른 기술에서, 제 1 포토레지스트층 상에 산(acid)을 퇴적하고 산을 제 1 포토레지스트층 내로 미리결정된 깊이까지 확산시키는 것을 포함하는 산 확산 및 현상 스텝이 실행될 수 있다. 그러한 수직 확산은 기판을 베이킹함으로써 달성될 수 있다. 온도 및 시간 파라미터들은 평균 확산 깊이 및 따라서 용해성 시프트의 깊이를 정확하게 제어할 수 있다. 이어서, 화학물질들을 현상하는 것은 이제 가용성인 과적부를 용해시키고 제거하기 위해 사용될 수 있다. 수직 확산은 또한, 기판의 선택된 영역들 내의 얼마간의 산을 활성화시키기 위해 픽셀 기반 투사 시스템을 사용함으로써 위치 제어될 수 있다. 다른 기술은 과적부의 화학적 기계적 폴리싱 프로세스 또는 다른 물리적 제거를 실행하는 것을 포함할 수 있다. 임의의 선택된 유형의 과적부의 제거 프로세스로, 포토레지스트는 릴리프 패턴(107)의 최상면들 아래로 리세싱될 수 있다.
퇴적 및 과적부 제거 스텝들은 따라서, 124 나노미터보다 더 큰 광 파장들이 전파되기에 너무 작은 (라인들과 같은) 피처들 사이에 포토레지스트를 갖는 기판을 초래한다. 기판이 본질적으로 평탄화되거나(과적부가 제거됨) 또는 포토레지스트가 릴리프 패턴(107) 아래로 리세싱되는 것은 노광 동안의 임의의 노칭(notching) 위험을 방지하는데 이롭다.
기판(105)은 이어서 극자외선 리소그래피 노광 시스템으로부터의 제 1 패턴의 화학 방사선(175)에 노출된다. 도 4a는 EUV 방사선이 기판의 일부분에 도달하는 것을 차단하는 포토마스크(172)를 도시한다. 이는 도 4b에 예시된 바와 같은 음영 영역(173)에 대응한다. 도 5는 포토마스크(172)의 상면도를 도시한다. 주어진 EVU 포토마스크는 본질적으로 기판 상의 영역 또는 영역들이 유지되거나 제거되도록 구획된다. 도 4b에서의 특정 예시에서, 라인들간의 제 1 포토레지스트의 비교적 작은 부분이 유지되면서 나머지 포토레지스트가 제거되는 것이 희망된다. 제 1 패턴의 화학 방사선에 기판을 노출시키는 것은 커트 또는 블록을 규정하는 제 1 패턴의 화학 방사선을 포함한다.
EUV 리소그래피 시스템들은 종래방식으로 이용가능하며, 일반적으로 파장이 13 나노미터인 EUV 방사선을 사용한다. EUV로의 포토리소그래픽 노광은, EUV의 13 nm 파장(또는 다른 파장)이 서브 해상도 라인들간에 전파되기에 충분히 작기 때문에 라인들간 포토레지스트의 용해성을 시프팅할 수 있다. 환언하면, EUV 방사선은 라인들간에 전파되기에 충분히 작은 파장을 갖는다. 따라서, 라인들간 재료는 포토레지스트일 수 있으며 용해성이 (불용성에서 가용성으로, 또는 가용성에서 불용성으로) 시프팅될 수 있다. 이는, 바닥부 반사 방지 코팅이 없는 포토레지스트를 노광하는 것이 일반적으로 가능하지 않기 때문에 이롭다. 그러나, 본원의 기술들은 기저 BARC층이 없는 비교적 작은 라인들간의 포토레지스트 재료에서 용해성 시프트들을 일으킬 수 있다.
라인들간의 그러한 화학 방사선 노광은, EUV만이 이 고전적으로 금지된 영역을 통과할 수 있기 때문에, 종래의 비 EUV 리소그래피로는 불가능하다. 보통, 이 영역은 임의의 광이 통과하는 것에 맞도록 타이트하거나 작다. 그러나, EUV를 사용하면, 피치 쿼드 또는 다른 증배된 피치 패턴 내의 깍지형 포토레지스트를 이미징하는 것이 가능하다. 예를 들어, 16 nm 간격들이면 193 nm 포토 리소그래피로 이미징하는 것은 불가능하지만, 13 nm 파장 방사선 이미징이 이들 작은 개구부들 내에서 일어난다.
본원의 기술들로 다양한 레지스트 톤들 및 현상제 톤들이 사용될 수 있다는 점을 유념한다. 선택된 포토레지스트 톤이 네거티브이면, 포지티브 현상제가 사용될 수 있다. 그러한 조합으로, 다크 필드 포토마스크를 사용하는 것은 블록을 초래할 것인 반면, 클리어 필드 포토마스크를 사용하는 것은 커트를 초래할 것이다. 선택된 포토레지스트 톤이 포지티브이면, 네거티브 현상제가 사용될 수 있다. 그러한 포지티브 톤 네거티브 현상제 조합으로, 다크 필드 포토마스크를 사용하는 것은 블록을 초래할 것인 반면, 클리어 필드 포토마스크를 사용하는 것은 커트를 초래할 것이다. 따라서, 당업자에 의해 이해될 수 있는 바와 같이, 다양한 화학물 및 패터닝 옵션들이 이용가능하다.
157 nm 및 그 이상의 광 파장들을 사용하는 포토리소그래피는, 일반적으로 포토레지스트층 아래에 퇴적되는 반사 방지 코팅을 사용하는 것으로부터 이익을 얻는다. 그러한 반사 방지 코팅들은 표면들의 반사들로부터의 이미지 왜곡들을 방지하는 기능을 한다. 당업자는, EUV 방사선[연엑스선(soft x-ray)들로도 알려짐]이 일반적으로 지구상의 알려진 재료들을 통과하고/하거나 2차 전자 산란을 유발하기 때문에, EUV의 맥락에서의 반사 방지 코팅들은 잘못된 개념이라는 점을 이해할 것이다. EUV 광의 물리적 특성이 157 nm 및 그 이상의 광과 실질적으로 상이하기 때문에, 비 EUV 리소그래피의 상정된 지식을 EUV 리소그래피에 외삽하는 것은 잘못 이해된 재료 수요(needs)를 초래한다. 적절한 포토레지스트 튜닝으로, BARC는 EUV 노광에 전적으로 필요되지 않는다. 선택적으로, 매립 확산층이 포함될 수 있다. 반사 방지 또는 요청되는 2차 전자 캡처를 제공하는 대신에 이 확산층은 이미징을 향상시키기 위해 광 산(photo acid) 발생기와 같은 화학 산 적재를 단지 포함한다. 이 확산층 내의 산 적재는, 이미징을 향상시키기 위해 비교적 적은양의 산을 포토레지스트층에 제공할 수 있다.
제 1 패턴의 화학 방사선(175)에의 노출 후에, 미리결정된 제 1 현상제를 사용하여 제 1 포토레지스트층의 가용성 부분들이 현상된다. 일반적으로, 현상은 포토레지스트의 가용성 부분들을 용해시키는 액체 현상제를 퇴적하거나 도포하는 것을 지칭하며, 이 용해된 포토레지스트는 이어서 기판으로부터 린싱될 수 있다. 가용성 부분들이 특정 포토레지스트 톤 및 현상제 톤 조합에 따라, 화학 방사선에 노출된 부분들 또는 화학 방사선으로부터 음영된 부분들 중 하나일 수 있다는 점을 유념한다. 도 6a 및 도 6b는 현상 스텝 이후의 예시적인 결과를 도시한다. 제 1 포토레지스트층(121)에 남겨진 전부는 2개의 라인들을 연결하는 비교적 작은 부분이라는 점을 유념한다.
이 시점에서 추가적인 패터닝 노광이 실행될 수 있가나, 패턴 전사가 실행될 수 있다. 조합된 제 1 패턴이 기저층(110)에 전사된다. 조합된 제 1 패턴은, 제 1 포토레지스트의 과적부를 제거한 후 그리고 제 1 포토레지스트의 가용성 부분들을 현상한 후의 제 1 포토레지스트층(121)의 남아있는 부분들과 릴리프 패턴(107)의 조합이다. 도 7a 및 도 7b는 이 조합된 제 1 패턴을 전사하고 릴리프 패턴(107) 및 제 1 포토레지스트층(121)을 제거한 후의 예시적인 결과를 도시한다. 이제 기저층(110)은 조합된 제 1 패턴에 기반한 릴리프 패턴이다.
다른 실시예들에서, 기판 상에 제 2 포토레지스트가 퇴적되어 제 2 포토레지스트가 릴리프 패턴에 의해 규정된 개구부들을 채우고, 릴리프 패턴의 최상면들로부터 제 2 포토레지스트의 최상면까지 연장되는 제 2 포토레지스트의 과적부를 초래하는 제 2 포토레지스트층을 형성한다. 릴리프 패턴에 의해 규정된 개구부들 내에 제 2 포토레지스트가 남아있도록 제 2 포토레지스트의 과적부를 제거하는 것을 포함하여 제 2 포토레지스트층의 일부분이 제거된다. 기판은 극자외선 리소그래피 노광 시스템으로부터의 제 2 패턴의 화학 방사선에 노출된다. 미리결정된 제 2 현상제를 사용하여 제 2 포토레지스트의 가용성 부분들이 현상된다. 미리결정된 제 1 현상제 및 미리결정된 제 2 현상제는 상이하거나 동일할 수 있다.
이 제 2 현상 스텝 후, 조합된 제 2 패턴이 기저층에 전사될 수 있다. 조합된 제 2 패턴은, 제 2 포토레지스트의 과적부를 제거한 후 그리고 제 2 포토레지스트층의 가용성 부분들을 현상한 후의 제 2 포토레지스트층의 남아있는 부분들과 릴리프 패턴의 조합이다. 기저층은 따라서 기억층으로서 기능할 수 있다.
노광들 사이에 기억층을 사용하지 않고 2개 이상의 노광들이 실행될 수 있다. 제 1 포토레지스트의 가용성 부분들을 현상한 후, 제 1 포토레지스트의 남아있는 부분들은, 제 1 포토레지스트의 남아있는 부분들이 더 이상 극자외 방사선에 감응하지 않도록 처리될 수 있다. 이용가능한 다양한 화학적, 열적, 및 물리적 동결(freeze) 처리들이 있다. 예를 들어, 기판은 에칭 챔버 내에서 직류 중첩(superposition)을 사용하여 탄도(ballistic) 전자들의 플럭스로 처리될 수 있다.
커트 마스크들, 블록 마스크들, 포토레지스트 톤들, 현상제 톤들, 및 기억 스텝들의 다양한 조합들을 사용하여, 임의의 수의 패턴들이 생성될 수 있다. 도 8 및 도 9는 본원의 기술들로 생성될 수 있는 예시적인 패턴들 중 2개만을 도시한다. 다른 실시예들에서, 예를 들어 블록들은 깍지형 레지스트의 제 1 EUV 노광으로 기억층 내에 기록될 수 있다. 이어서 기판이 포토레지스트로 오버 코팅되고, 이어서 풀다운되며, 제 2 EUV 마스크로 노광되어 커트들을 패터닝하고, 이어서 기억층 내에 에칭 전사된다. 기억층 내의 합성 패턴은 이어서 에칭 마스크로서 사용될 수 있거나, 추가적인 패터닝 동작들과 함께 사용될 수 있다. 따라서, 많은 종래에 희망되었지만 아직 접근불가했던 패터닝 동작들이 본원의 기술들로 가능해진다.
이전의 설명에서, 프로세싱 시스템의 특정 기하학 및 그 내부에서 사용되는 다양한 컴포넌트들 및 프로세스들의 설명들과 같은 특정 상세사항들이 제시되었다. 그러나, 본원의 기술들이 이 특정 상세사항들로부터 벗어난 다른 실시예들에서 실시될 수 있고, 그러한 상세사항들이 설명의 목적을 위한 것이며 제한적이지 않다는 점이 이해되어야 한다. 본원에 개시된 실시예들은 첨부된 도면들을 참조하여 설명되었다. 유사하게, 설명의 목적을 위해, 특정 숫자들, 물질들, 및 구성들이 철저한 이해를 제공하도록 제시되었다. 그럼에도 불구하고, 실시예들은 그러한 특정 상세사항들 없이 실시될 수 있다. 실질적으로 동일한 기능적 구성들을 갖는 컴포넌트들은 동일한 참조 특성들에 의해 나타내어지고, 따라서 임의의 불필요한 설명들이 생략될 수 있다.
다양한 실시예들을 이해하는 것을 지원하도록 다양한 기술들이 다수의 개별적 동작들로 설명되었다. 설명의 순서는 이러한 동작들이 필수적인 종속적 순서임을 암시하는 것으로 해석되지 않아야 한다. 실제로, 이러한 동작들은 제시의 순서대로 수행될 필요가 없다. 설명되는 동작들은 설명되는 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명되는 동작들이 추가적인 실시예들에서 생략될 수 있다.
본원에서 사용되는 바와 같은 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 객체를 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예를 들어 반도체 웨이퍼, 레티클과 같은 기본 기판 구조물, 또는 박막과 같은, 기본 기판 구조물 상의 또는 기본 기판 구조물 위에 있는 층일 수 있다. 따라서, 기판은 임의의 특정한 기본 구조물, 아래에 있는 층 또는 위에 있는 층, 패터닝되거나 또는 패터닝되지 않은 것에 제한되지 않고, 이보다는, 임의의 그러한 층 또는 기본 구조물, 및 층들 및/또는 기본 구조물들의 임의의 조합을 포함하는 것으로 고려된다. 설명은 특정한 유형들의 기판들을 참조할 수 있지만, 이는 예시적인 목적만을 위한 것이다.
당업자는 또한 본 발명의 동일한 목표를 여전히 달성하면서, 위에서 설명된 기술들의 동작들에 대해 이루어지는 많은 변형예들이 있을 수 있다는 점을 이해할 것이다. 그러한 변형예들은 본 개시의 범위에 의해 커버되도록 의도된다. 그와 같은, 본 발명의 실시예들의 이전의 설명들은 제한적으로 의도되지 않는다. 이보다는, 본 발명의 실시예들의 임의의 제한들은 다음의 청구항들에 나타내어진다.

Claims (20)

  1. 기판을 패터닝하는 방법에 있어서,
    릴리프 패턴(relief pattern)을 갖는 기판을 수용하는 단계로서, 상기 릴리프 패턴은 124 나노미터보다 큰 파장들의 전자기 방사선의 파 전파를 가능하게 하기에 충분한 폭보다 작은 폭을 갖는 개구부들을 규정하는 구조물들을 포함하고, 상기 구조물들은 극자외 방사선에 감응하지 않는 재료를 포함하는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 제 1 포토레지스트를 퇴적하여 제 1 포토레지스트층을 형성하는 단계로서, 상기 제 1 포토레지스트는 상기 릴리프 패턴에 의해 규정된 개구부들을 채우고, 상기 릴리프 패턴의 최상면들로부터 상기 제 1 포토레지스트층의 최상면까지 연장되는 상기 제 1 포토레지스트의 과적부(overburden)를 초래하는 것인, 상기 제 1 포토레지스트층을 형성하는 단계;
    상기 제 1 포토레지스트층의 일부분을 제거하는 단계 - 상기 제거하는 단계는 상기 릴리프 패턴에 의해 규정된 개구부들 내에 상기 제 1 포토레지스트가 남아있도록, 상기 제 1 포토레지스트의 과적부를 제거하는 단계를 포함함 - ;
    상기 제 1 포토레지스트의 과적부를 제거하는 단계 후, 극자외선 리소그래피 노출 시스템으로부터의 제 1 패턴의 화학 방사선(actinic radiation)에 상기 기판을 노출시키는 단계로서, 상기 제 1 패턴의 화학 방사선은 포토마스크를 사용하여, (i) 상기 포토마스크에 의해 커버된 상기 제 1 포토레지스트층의 제 1 영역이 상기 화학 방사선에 노출되지 않도록, 그리고 (ii) 상기 포토마스크에 의해 커버되지 않은 상기 제 1 포토레지스트층의 제 2 영역이 상기 화학 방사선에 노출되도록 형성되는 것인, 상기 제 1 패턴의 화학 방사선에 상기 기판을 노출시키는 단계;
    상기 제 1 패턴의 화학 방사선에 상기 기판을 노출시키는 단계 후, 미리결정된 제 1 현상제(developer)를 사용하여 상기 제 1 포토레지스트층의 가용성 부분(soluble portion)들을, 상기 제 1 포토레지스트층의 제 2 영역 내의 상기 가용성 부분들이 제거되어 상기 제 1 포토레지스트층의 제 1 영역과 상기 릴리프 패턴의 조합인 제 1의 조합된 패턴을 초래하도록, 현상하는 단계;
    상기 제 1 포토레지스트층의 가용성 부분들을 현상하는 단계 후, 상기 제 1 포토레지스트층의 제 1 영역이 더 이상 극자외 방사선에 감응하지 않도록 상기 제 1 포토레지스트층의 제 1 영역을 처리하는 단계; 및
    상기 제 1의 조합된 패턴을 기저층(underlying layer)에 전사(transfer)하는 단계
    를 포함하는, 기판을 패터닝하는 방법.
  2. 제 1 항에 있어서,
    상기 기판 상에 제 2 포토레지스트를 퇴적하여 제 2 포토레지스트층을 형성하는 단계로서, 상기 제 2 포토레지스트는 상기 제 1의 조합된 패턴에 의해 규정된 개구부들을 채우고, 상기 제 1의 조합된 패턴의 최상면들로부터 상기 제 2 포토레지스트층의 최상면까지 연장되는 상기 제 2 포토레지스트의 과적부를 초래하는 것인, 상기 제 2 포토레지스트층을 형성하는 단계;
    상기 제 2 포토레지스트층의 일부분을 제거하는 단계 - 상기 제거하는 단계는 상기 제 1의 조합된 패턴에 의해 규정된 개구부들 내에 상기 제 2 포토레지스트가 남아있도록, 상기 제 2 포토레지스트의 과적부를 제거하는 단계를 포함함 - ;
    극자외선 리소그래피 노출 시스템으로부터의 제 2 패턴의 화학 방사선에 상기 기판을 노출시키는 단계; 및
    미리결정된 제 2 현상제를 사용하여 상기 제 2 포토레지스트층의 가용성 부분들을 현상하는 단계
    를 더 포함하는, 기판을 패터닝하는 방법.
  3. 제 2 항에 있어서,
    제 2의 조합된 패턴을 상기 기저층에 전사하는 단계로서, 상기 제 2의 조합된 패턴은, 상기 제 2 포토레지스트의 과적부를 제거한 후의 그리고 상기 제 2 포토레지스트층의 가용성 부분들을 현상한 후의 상기 제 2 포토레지스트층의 남아있는 부분들과 상기 제 1의 조합된 패턴의 조합인 것인, 상기 제 2의 조합된 패턴을 상기 기저층에 전사하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  4. 제 1 항에 있어서, 상기 기판을 수용하는 단계는, 상기 릴리프 패턴이 자가 정렬 피처 증배 기술(self-aligned feature multiplication technique)을 사용하여 형성된 라인들의 어레이를 포함하는 상기 기판을 수용하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  5. 제 4 항에 있어서, 상기 자가 정렬 피처 증배 기술은, 초기 피처 밀도를 쿼드러플링(quadrupling)하는 것을 포함하는 것인, 기판을 패터닝하는 방법.
  6. 제 1 항에 있어서, 상기 제 1 포토레지스트의 과적부를 제거하는 단계는, 플라즈마 기반 에천트들을 사용하는 에치백 프로세스(etch back process)를 실행하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  7. 제 1 항에 있어서, 상기 제 1 포토레지스트의 과적부를 제거하는 단계는, 상기 제 1 포토레지스트층 상에 산(acid)을 퇴적하는 단계 및 상기 산을 상기 제 1 포토레지스트층 내로 미리결정된 깊이로 확산시키는 단계를 포함하는 산 확산 및 전개 단계를 실행하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  8. 제 1 항에 있어서, 상기 제 1 포토레지스트의 과적부를 제거하는 단계는, 화학 기계적 연마 프로세스를 실행하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  9. 제 1 항에 있어서, 상기 제 1 포토레지스트의 과적부를 제거하는 단계는, 상기 제 1 포토레지스트를 상기 릴리프 패턴의 최상면들 아래로 리세싱하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  10. 제 1 항에 있어서, 상기 기판을 수용하는 단계는, 바닥부 반사 방지 코팅층(bottom anti-reflective coating layer; BARC)을 포함하지 않는 상기 기판을 수용하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  11. 제 1 항에 있어서, 상기 기판을 수용하는 단계는, 상기 릴리프 패턴 내의 규정된 개구부들의 폭이 7 나노미터 내지 40 나노미터 사이의 파장들의 전자기파 전파를 가능하게 하기에 충분한 상기 기판을 수용하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  12. 제 1 항에 있어서, 상기 제 1 패턴의 화학 방사선에 상기 기판을 노출시키는 단계는, 커트(cut) 또는 블록을 규정하는 상기 제 1 패턴의 화학 방사선을 포함하는 것인, 기판을 패터닝하는 방법.
  13. 기판을 패터닝하는 방법에 있어서,
    릴리프 패턴을 갖는 기판을 수용하는 단계로서, 상기 릴리프 패턴은 4 나노미터 내지 40 나노미터 사이의 폭을 갖는 개구부들을 규정하는 구조물들을 포함하고, 상기 구조물들은 극자외 방사선에 감응하지 않는 재료를 포함하는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 제 1 포토레지스트를 퇴적하여 제 1 포토레지스트층을 형성하는 단계로서, 상기 제 1 포토레지스트는 상기 릴리프 패턴에 의해 규정된 개구부들을 채우고, 상기 릴리프 패턴의 최상면들로부터 상기 제 1 포토레지스트층의 최상면까지 연장되는 제 1 포토레지스트의 과적부를 초래하는 것인, 상기 제 1 포토레지스트층을 형성하는 단계;
    상기 제 1 포토레지스트층의 일부분을 제거하는 단계 - 상기 제거하는 단계는 상기 릴리프 패턴에 의해 규정된 개구부들 내에 상기 제 1 포토레지스트가 남아있도록, 상기 제 1 포토레지스트의 과적부를 제거하는 단계를 포함함 - ;
    상기 제 1 포토레지스트의 과적부를 제거하는 단계 후, 극자외선 리소그래피 노출 시스템으로부터의 제 1 패턴의 화학 방사선에 상기 기판을 노출시키는 단계로서, 상기 제 1 패턴의 화학 방사선은 포토마스크를 사용하여, (i) 상기 포토마스크에 의해 커버된 상기 제 1 포토레지스트층의 제 1 영역이 상기 화학 방사선에 노출되지 않도록, 그리고 (ii) 상기 포토마스크에 의해 커버되지 않은 상기 제 1 포토레지스트층의 제 2 영역이 상기 화학 방사선에 노출되도록 형성되는 것인, 상기 제 1 패턴의 화학 방사선에 상기 기판을 노출시키는 단계;
    상기 제 1 패턴의 화학 방사선에 상기 기판을 노출시키는 단계 후, 미리결정된 제 1 현상제를 사용하여 상기 제 1 포토레지스트층의 가용성 부분들을, 상기 제 1 포토레지스트층의 제 2 영역 내의 상기 가용성 부분들이 제거되어 상기 제 1 포토레지스트층의 제 1 영역과 상기 릴리프 패턴의 조합인 제 1의 조합된 패턴을 초래하도록, 현상하는 단계;
    상기 제 1 포토레지스트층의 가용성 부분들을 현상하는 단계 후, 상기 제 1 포토레지스트층의 제 1 영역이 더 이상 극자외 방사선에 감응하지 않도록 상기 제 1 포토레지스트층의 제 1 영역을 처리하는 단계; 및
    상기 제 1의 조합된 패턴을 기저층에 전사하는 단계
    를 포함하는, 기판을 패터닝하는 방법.
  14. 제 13 항에 있어서, 상기 릴리프 패턴에 의해 규정되는 개구부들의 폭은 5 나노미터 내지 20 나노미터 사이인 것인, 기판을 패터닝하는 방법.
  15. 제 13 항에 있어서,
    상기 기판 상에 제 2 포토레지스트를 퇴적하여 제 2 포토레지스트층을 형성하는 단계로서, 상기 제 2 포토레지스트는 상기 제 1의 조합된 패턴에 의해 규정된 개구부들을 채우고, 상기 제 1의 조합된 패턴의 최상면들로부터 상기 제 2 포토레지스트층의 최상면까지 연장되는 상기 제 2 포토레지스트의 과적부를 초래하는 것인, 상기 제 2 포토레지스트층을 형성하는 단계;
    상기 제 2 포토레지스트층의 일부분을 제거하는 단계 - 상기 제거하는 단계는 상기 제 1의 조합된 패턴에 의해 규정된 개구부들 내에 상기 제 2 포토레지스트가 남아있도록, 상기 제 2 포토레지스트의 과적부를 제거하는 단계를 포함함 - ;
    극자외선 리소그래피 노광 시스템으로부터의 제 2 패턴의 화학 방사선에 상기 기판을 노출시키는 단계; 및
    미리결정된 제 2 현상제를 사용하여 상기 제 2 포토레지스트층의 가용성 부분들을 현상하는 단계
    를 더 포함하는, 기판을 패터닝하는 방법.
  16. 제 15 항에 있어서,
    제 2의 조합된 패턴을 상기 기저층에 전사하는 단계로서, 상기 제 2의 조합된 패턴은, 상기 제 2 포토레지스트의 과적부를 제거한 후의 그리고 상기 제 2 포토레지스트층의 가용성 부분들을 현상한 후의 상기 제 2 포토레지스트층의 남아있는 부분들과 제 1의 조합된 패턴의 조합인 것인, 상기 제 2의 조합된 패턴을 상기 기저층에 전사하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020187012075A 2015-09-30 2016-09-21 극자외선 리소그래피를 사용하여 기판을 패터닝하기 위한 방법 KR102634069B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562235220P 2015-09-30 2015-09-30
US62/235,220 2015-09-30
PCT/US2016/052752 WO2017058589A1 (en) 2015-09-30 2016-09-21 Method for patterning a substrate using extreme ultraviolet lithography

Publications (2)

Publication Number Publication Date
KR20180050425A KR20180050425A (ko) 2018-05-14
KR102634069B1 true KR102634069B1 (ko) 2024-02-05

Family

ID=58408997

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187012075A KR102634069B1 (ko) 2015-09-30 2016-09-21 극자외선 리소그래피를 사용하여 기판을 패터닝하기 위한 방법

Country Status (5)

Country Link
US (1) US10606176B2 (ko)
KR (1) KR102634069B1 (ko)
CN (1) CN108292593B (ko)
TW (1) TWI625602B (ko)
WO (1) WO2017058589A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9991156B2 (en) * 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10153161B1 (en) * 2017-11-27 2018-12-11 Nanya Technology Corporation Method for manufacturing a semiconductor structure
US11537049B2 (en) * 2019-02-26 2022-12-27 Tokyo Electron Limited Method of line roughness improvement by plasma selective deposition
KR102268459B1 (ko) 2019-09-06 2021-06-23 주식회사 이솔 고차조화파 광원을 이용한 고성능 간섭 패터닝 장치
WO2021055542A1 (en) * 2019-09-19 2021-03-25 Tokyo Electron Limited Method of forming a narrow trench
US20230290676A1 (en) * 2022-03-10 2023-09-14 Tokyo Electron Limited Self Aligned Multiple Patterning Method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050042552A1 (en) * 2003-08-19 2005-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manipulating the topography of a film surface
US20120305994A1 (en) * 2005-12-05 2012-12-06 Ss Sc Ip, Llc Self-aligned trench field effect transistors with regrown gates and bipolar junction transistors with regrown base contact regions and methods of making
US20160343578A1 (en) * 2013-09-05 2016-11-24 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5667940A (en) * 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JP2000056469A (ja) 1998-08-06 2000-02-25 Tdk Corp レジストパターンの形成方法
US6361402B1 (en) * 1999-10-26 2002-03-26 International Business Machines Corporation Method for planarizing photoresist
US6635394B2 (en) * 2001-05-31 2003-10-21 Macronix International Co., Ltd. Three dimensional mask
US8039195B2 (en) * 2008-02-08 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Si device making method by using a novel material for packing and unpacking process
JP5795481B2 (ja) * 2010-03-05 2015-10-14 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトリソグラフィパターンを形成する方法
KR20110133828A (ko) * 2010-06-07 2011-12-14 삼성전자주식회사 포토레지스트 패턴 형성 방법
US8871596B2 (en) * 2012-07-23 2014-10-28 International Business Machines Corporation Method of multiple patterning to form semiconductor devices
CN103681248B (zh) * 2012-09-04 2017-02-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8987070B2 (en) * 2012-09-12 2015-03-24 International Business Machines Corporation SOI device with embedded liner in box layer to limit STI recess

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050042552A1 (en) * 2003-08-19 2005-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manipulating the topography of a film surface
US20120305994A1 (en) * 2005-12-05 2012-12-06 Ss Sc Ip, Llc Self-aligned trench field effect transistors with regrown gates and bipolar junction transistors with regrown base contact regions and methods of making
US20160343578A1 (en) * 2013-09-05 2016-11-24 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization

Also Published As

Publication number Publication date
TWI625602B (zh) 2018-06-01
US20170090290A1 (en) 2017-03-30
CN108292593B (zh) 2023-02-17
CN108292593A (zh) 2018-07-17
KR20180050425A (ko) 2018-05-14
US10606176B2 (en) 2020-03-31
WO2017058589A1 (en) 2017-04-06
TW201723669A (zh) 2017-07-01

Similar Documents

Publication Publication Date Title
KR102634069B1 (ko) 극자외선 리소그래피를 사용하여 기판을 패터닝하기 위한 방법
CN107851557B (zh) 形成用于基板图案化的掩模的方法
WO2017205136A1 (en) Method for patterning a substrate using a layer with multiple materials
US20070082296A1 (en) Method of forming micro-patterns using multiple photolithography process
US20170293218A1 (en) Photomask having a plurality of shielding layers
KR20120126442A (ko) 반도체 소자의 패턴 형성 방법
US6329124B1 (en) Method to produce high density memory cells and small spaces by using nitride spacer
TW201229659A (en) A method of patterning NAND strings using perpendicular SRAF
US11573494B2 (en) Critical dimension (CD) uniformity of photoresist island patterns using alternating phase shifting mask
KR101096270B1 (ko) 스페이서 패터닝을 이용한 반도체소자의 미세패턴 형성방법
US20080182082A1 (en) Pattern forming method, electronic device manufacturing method and electronic device
KR100907898B1 (ko) 반도체 소자 제조 방법
US9989846B2 (en) Method for patterning incorporating misalignment error protection
JP2010156819A (ja) 半導体装置の製造方法
US9329471B1 (en) Achieving a critical dimension target based on resist characteristics
KR100948480B1 (ko) 반도체 소자의 미세 패턴 형성 방법
US8163466B2 (en) Method for selectively adjusting local resist pattern dimension with chemical treatment
KR101023077B1 (ko) 마스크 패턴 형성 방법
US20090092933A1 (en) Methods of Lithographically Patterning a Substrate
US8691478B2 (en) Attenuated phase shift mask for multi-patterning
KR20070068864A (ko) 반도체 소자의 미세 패턴 형성 방법
JPS5898924A (ja) 微細パタ−ン形成方法
KR20050064344A (ko) 웨이퍼 에지 전면 노광 시스템 및 이를 이용한 웨이퍼에지 노광 방법
JPH06283813A (ja) 回折格子の製造方法
KR20000009753A (ko) 림 타입 위상 반전 마스크의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant