KR102611346B1 - Remote plasma based deposition of graded or multi-layered silicon carbide film - Google Patents

Remote plasma based deposition of graded or multi-layered silicon carbide film Download PDF

Info

Publication number
KR102611346B1
KR102611346B1 KR1020227018731A KR20227018731A KR102611346B1 KR 102611346 B1 KR102611346 B1 KR 102611346B1 KR 1020227018731 A KR1020227018731 A KR 1020227018731A KR 20227018731 A KR20227018731 A KR 20227018731A KR 102611346 B1 KR102611346 B1 KR 102611346B1
Authority
KR
South Korea
Prior art keywords
silicon carbide
carbide film
graded
radicals
film
Prior art date
Application number
KR1020227018731A
Other languages
Korean (ko)
Other versions
KR20220080028A (en
Inventor
바드리 엔. 바라다라잔
보 공
구앙비 유안
쩌 구이
펑위안 라이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237041846A priority Critical patent/KR20230169473A/en
Publication of KR20220080028A publication Critical patent/KR20220080028A/en
Application granted granted Critical
Publication of KR102611346B1 publication Critical patent/KR102611346B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

리모트 플라즈마를 사용하여 등급화된 실리콘 카바이드 막 또는 다층 (multi-layered) 실리콘 카바이드 막을 증착하기 위한 방법들 및 장치들이 제공된다. 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막은 반응 챔버의 기판 상에 하나 이상의 유기실리콘 전구체들을 제공하는 프로세스 조건들 하에서 형성될 수 있다. 실질적으로 저 에너지 상태의 소스 가스의 라디칼들, 예컨대 바닥 상태의 수소의 라디칼들이 리모트 플라즈마 소스로부터 반응 챔버 내로 제공된다. 이에 더하여, 공-반응물질 가스는 반응 챔버를 향해 흐른다. 일부 구현예들에서, 공-반응물질 가스의 라디칼들이 리모트 플라즈마 소스로부터 반응 챔버 내로 제공된다. 공-반응물질 가스의 플로우 레이트는 시간이 흐름에 따라, 등급화된 실리콘 카바이드 막의 제 1 표면으로부터 제 2 표면으로 조성 변화도를 갖는 다층 실리콘 카바이드 막 또는 등급화된 실리콘 카바이드 막을 형성하도록 증가하여 또는 점진적으로 변화될 수 있다.Methods and apparatus are provided for depositing graded or multi-layered silicon carbide films using remote plasma. A graded silicon carbide film or a multilayer silicon carbide film can be formed under process conditions that provide one or more organosilicon precursors on a substrate in a reaction chamber. Radicals of the source gas in a substantially low energy state, such as radicals of hydrogen in the ground state, are provided into the reaction chamber from a remote plasma source. In addition, co-reactant gas flows towards the reaction chamber. In some implementations, radicals of the co-reactant gas are provided into the reaction chamber from a remote plasma source. The flow rate of the co-reactant gas increases over time to form a graded silicon carbide film or a multilayer silicon carbide film having a compositional gradient from the first surface to the second surface of the graded silicon carbide film, or It can change gradually.

Description

등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착 {REMOTE PLASMA BASED DEPOSITION OF GRADED OR MULTI-LAYERED SILICON CARBIDE FILM}REMOTE PLASMA BASED DEPOSITION OF GRADED OR MULTI-LAYERED SILICON CARBIDE FILM}

관련 출원들에 대한 교차-참조Cross-reference to related applications

본 출원은 2016년 9월 30일 출원되고, 명칭이 "REMOTE PLASMA BASED DEPOSITION OF GRADED OR MULTI-LAYERED SILICON CARBIDE FILM"인 미국 특허 출원번호 제 15/283,159 호의 우선권의 이익을 주장하고, 전체 내용이 모든 목적들을 위해 참조로서 본 명세서에 인용된다.This application claims the benefit of priority U.S. Patent Application No. 15/283,159, filed September 30, 2016, entitled “REMOTE PLASMA BASED DEPOSITION OF GRADED OR MULTI-LAYERED SILICON CARBIDE FILM,” the entire contents of which are incorporated herein by reference in their entirety. It is incorporated herein by reference for all purposes.

본 개시는 일반적으로 실리콘 카바이드 막들의 형성에 관한 것이고, 보다 구체적으로 리모트 플라즈마를 사용한 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막들의 증착에 관한 것이다. This disclosure relates generally to the formation of silicon carbide films, and more specifically to the deposition of graded silicon carbide films or multilayer silicon carbide films using remote plasma.

실리콘 카바이드 (SiC) 류의 박막들은 고유한 (unique) 물리적 속성, 화학적 속성 및 기계적 속성을 갖고, 다양한 애플리케이션들, 특히 IC (integrated circuit) 애플리케이션들에 사용된다. SiC 류의 박막들은 또한 실리콘 옥시카바이드로 공지된, 산소 도핑된 실리콘 카바이드, 또한 실리콘 나이트라이카바이드로 공지된, 질소 도핑된 실리콘 카바이드, 및 또한 실리콘 옥시나이트라이카바이드로 공지된 산소 및 질소 도핑된 실리콘 카바이드를 포함한다.Thin films of the silicon carbide (SiC) family have unique physical, chemical and mechanical properties and are used in a variety of applications, especially integrated circuit (IC) applications. Thin films of the SiC family include oxygen-doped silicon carbide, also known as silicon oxycarbide, nitrogen-doped silicon carbide, also known as silicon nittricarbide, and oxygen- and nitrogen-doped silicon, also known as silicon oxynitride. Contains carbide.

본 개시는 등급화된 실리콘 카바이드 막을 증착하는 방법에 관한 것이다. 방법은 반응 챔버에 기판을 제공하는 단계; 기판 상으로 유기실리콘 전구체를 흘리는 단계; 반응 챔버를 향해 공-반응물질 (co-reactant) 가스를 흘리는 단계; 반응 챔버로부터 리모트인 (remote) 플라즈마 소스에 소스 가스를 제공하는 단계; 소스 가스로부터, 플라즈마 소스에서 소스 가스의 하나 이상의 라디칼들을 생성하는 단계; 기판 상으로 소스 가스의 하나 이상의 라디칼들을 도입하는 단계를 포함한다. 모든 또는 실질적으로 모든 소스 가스의 하나 이상의 라디칼들은 유기실리콘 전구체와 반응하는 실질적으로 저 에너지 상태에 있다. 방법은 등급화된 실리콘 카바이드 막을 형성하기 위해 시간이 흐름에 따라 (over time) 공-반응물질 가스의 플로우 레이트 (flow rate) 를 변화시키는 단계로서, 등급화된 실리콘 카바이드 막은 등급화된 실리콘 카바이드 막의 제 1 표면으로부터 등급화된 실리콘 카바이드 막의 제 1 표면의 맞은 편인 제 2 표면으로의 조성 변화도 (gradient) 를 갖는, 공-반응물질 가스의 플로우 레이트를 변화시키는 단계를 더 포함한다.The present disclosure relates to a method of depositing graded silicon carbide films. The method includes providing a substrate to a reaction chamber; Flowing an organosilicon precursor onto a substrate; flowing a co-reactant gas toward the reaction chamber; providing a source gas to a plasma source remote from the reaction chamber; generating, from the source gas, one or more radicals of the source gas in a plasma source; and introducing one or more radicals of the source gas onto the substrate. All or substantially all of the one or more radicals of the source gas are in a substantially low energy state that reacts with the organosilicon precursor. The method includes changing the flow rate of a co-reactant gas over time to form a graded silicon carbide film, wherein the graded silicon carbide film is The method further includes changing the flow rate of the co-reactant gas having a compositional gradient from the first surface to a second surface opposite the first surface of the graded silicon carbide film.

일부 구현예들에서, 공-반응물질 가스를 반응 챔버를 향해 흘리는 단계는 공-반응물질 가스를 플라즈마 소스를 통해 흘리는 것을 포함한다. 방법은 공-반응물질 가스로부터, 플라즈마 소스의 공-반응물질 가스의 하나 이상의 라디칼들을 생성하는 단계; 및 공-반응물질 가스의 하나 이상의 라디칼들을 기판 상으로 도입하는 단계를 더 포함한다. 일부 구현예들에서, 공-반응물질 가스를 반응 챔버를 향해 흘리는 단계는 유기실리콘 전구체와 동일한 플로우 경로에서 공-반응물질 가스를 흘리는 것을 포함한다. 일부 구현예들에서, 공-반응물질 가스는 산소 가스이다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막은 등급화된 산소 도핑된 실리콘 카바이드 (SiCO) 막이다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막의 조성 변화도는 등급화된 실리콘 카바이드 막의 제 1 표면으로부터 제 2 표면으로 상승하는 농도의 탄소를 가질 수 있다. 등급화된 실리콘 카바이드 막의 제 1 표면에서의 탄소의 농도는 약 20 %보다 작을 수 있고, 등급화된 실리콘 카바이드 막의 제 2 표면에서의 탄소의 농도는 약 20 %보다 클 수 있다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막은 진공 브레이크 (vacuum break) 를 도입하지 않고 형성된다. 일부 구현예들에서, 저 에너지 상태의 소스 가스의 라디칼들은 바닥 상태 (ground state) 의 수소 원자 라디칼들을 포함한다.In some implementations, flowing the co-reactant gas toward the reaction chamber includes flowing the co-reactant gas through a plasma source. The method includes generating, from a co-reactant gas, one or more radicals of the co-reactant gas in a plasma source; and introducing one or more radicals of the co-reactant gas onto the substrate. In some implementations, flowing the co-reactant gas toward the reaction chamber includes flowing the co-reactant gas in the same flow path as the organosilicon precursor. In some embodiments, the co-reactant gas is oxygen gas. In some implementations, the graded silicon carbide film is a graded oxygen-doped silicon carbide (SiCO) film. In some implementations, the compositional gradient of the graded silicon carbide film can have an increasing concentration of carbon from a first surface to a second surface of the graded silicon carbide film. The concentration of carbon at the first surface of the graded silicon carbide film can be less than about 20% and the concentration of carbon at the second surface of the graded silicon carbide film can be greater than about 20%. In some implementations, the graded silicon carbide film is formed without introducing a vacuum break. In some embodiments, the low energy state radicals of the source gas include ground state hydrogen atomic radicals.

본 개시는 또한 등급화된 실리콘 카바이드 막을 증착하는 장치에 관한 것이다. 장치는 반응 챔버, 반응 챔버로부터 리모트인 플라즈마 소스, 반응 챔버 내에서 기판을 홀딩하기 위한 기판 지지부, 및 다음의 동작들: 반응 챔버에 기판을 제공하는 단계; 기판 상으로 유기실리콘 전구체를 흘리는 단계; 반응 챔버를 향해 플라즈마 소스를 통해 공-반응물질 가스를 흘리는 단계; 플라즈마 소스에 소스 가스를 제공하는 단계; 소스 가스로부터, 플라즈마 소스에서 소스 가스의 하나 이상의 라디칼들을 생성하는 단계; 기판 상으로 소스 가스의 하나 이상의 라디칼들을 도입하는 단계로서, 모든 또는 실질적으로 모든 소스 가스의 하나 이상의 라디칼들은 유기실리콘 전구체와 반응하는 실질적으로 저 에너지 상태에 있는, 기판 상으로 소스 가스의 하나 이상의 라디칼들을 도입하는 단계; 및 등급화된 실리콘 카바이드 막을 형성하기 위해 시간이 흐름에 따라 공-반응물질 가스의 플로우 레이트를 변화시키는 단계로서, 등급화된 실리콘 카바이드 막은 제 1 표면으로부터 등급화된 실리콘 카바이드 막의 제 1 표면의 맞은 편인 제 2 표면으로의 조성 변화도를 갖는, 공-반응물질 가스의 플로우 레이트를 변화시키는 단계를 수행하기 위한 인스트럭션로 구성된 제어기를 포함한다.The present disclosure also relates to apparatus for depositing graded silicon carbide films. The apparatus includes a reaction chamber, a plasma source remote from the reaction chamber, a substrate support for holding a substrate within the reaction chamber, and the following operations: providing a substrate to the reaction chamber; Flowing an organosilicon precursor onto a substrate; flowing a co-reactant gas through a plasma source toward a reaction chamber; providing a source gas to the plasma source; generating, from the source gas, one or more radicals of the source gas in a plasma source; introducing one or more radicals of the source gas onto the substrate, wherein all or substantially all of the one or more radicals of the source gas are in a substantially low energy state to react with the organosilicon precursor. introducing them; and varying the flow rate of the co-reactant gas over time to form a graded silicon carbide film, wherein the graded silicon carbide film flows from the first surface to the opposite side of the first surface of the graded silicon carbide film. and a controller configured to perform steps of varying the flow rate of the co-reactant gas having a compositional gradient to the second surface.

일부 구현예들에서, 제어기는 다음의 동작들: 공-반응물질 가스로부터, 플라즈마 소스에서 공-반응물질 가스의 하나 이상의 라디칼들을 생성하는 단계, 및 기판 상에 공-반응물질 가스의 하나 이상의 라디칼들을 도입하는 단계를 수행하기 위한 인스트럭션들로 더 구성된다. 일부 구현예들에서, 공-반응물질 가스는 산소 가스이다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막은 SiCO 막이다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막의 조성 변화도는 실리콘 카바이드 막의 제 1 표면으로부터 제 2 표면으로 상승하는 농도의 탄소를 가질 수 있다. 등급화된 실리콘 카바이드 막의 제 1 표면에서의 탄소의 농도는 약 20 %보다 작을 수 있고, 등급화된 실리콘 카바이드 막의 제 2 표면에서의 탄소의 농도는 약 20 %보다 클 수 있다.In some implementations, the controller performs the following operations: generating, from a co-reactant gas, one or more radicals of the co-reactant gas at a plasma source, and generating one or more radicals of the co-reactant gas on a substrate. It further consists of instructions for performing the steps of introducing them. In some embodiments, the co-reactant gas is oxygen gas. In some implementations, the graded silicon carbide film is a SiCO film. In some implementations, the compositional gradient of the graded silicon carbide film can have an increasing concentration of carbon from a first surface to a second surface of the silicon carbide film. The concentration of carbon at the first surface of the graded silicon carbide film can be less than about 20% and the concentration of carbon at the second surface of the graded silicon carbide film can be greater than about 20%.

본 개시는 또한 다층 실리콘 카바이드 막을 증착하는 방법에 관한 것이다. 방법은 반응 챔버에 기판을 제공하는 단계; 기판 상으로 유기실리콘 전구체를 흘리는 단계; 반응 챔버를 향해 공-반응물질 가스를 흘리는 단계; 반응 챔버로부터 리모트인 플라즈마 소스에 소스 가스를 제공하는 단계; 소스 가스로부터, 플라즈마 소스에서 소스 가스의 하나 이상의 라디칼들을 생성하는 단계; 기판 상으로 소스 가스의 하나 이상의 라디칼들을 도입하는 단계를 포함한다. 모든 또는 실질적으로 모든 소스 가스의 하나 이상의 라디칼들은 유기실리콘 전구체와 반응하는 실질적으로 저 에너지 상태에 있다. 방법은 다층 실리콘 카바이드 막을 형성하기 위해 시간이 흐름에 따라 공-반응물질 가스의 플로우 레이트를 증가하게 변화시키는 단계를 더 포함하고, 다층 실리콘 카바이드 막은 다층 실리콘 카바이드 막의 두께를 가로질러 가변하는 농도를 갖는다. The present disclosure also relates to a method of depositing multilayer silicon carbide films. The method includes providing a substrate to a reaction chamber; Flowing an organosilicon precursor onto a substrate; flowing a co-reactant gas toward the reaction chamber; providing a source gas to a plasma source remote from the reaction chamber; generating, from the source gas, one or more radicals of the source gas in a plasma source; and introducing one or more radicals of the source gas onto the substrate. All or substantially all of the one or more radicals of the source gas are in a substantially low energy state that reacts with the organosilicon precursor. The method further includes increasing the flow rate of the co-reactant gas over time to form a multilayer silicon carbide film, the multilayer silicon carbide film having a varying concentration across the thickness of the multilayer silicon carbide film. .

일부 구현예들에서, 공-반응물질 가스를 반응 챔버를 향해 흘리는 단계는 플라즈마 소스를 통해 공-반응물질 가스를 흘리는 것을 포함한다. 일부 구현예들에서, 공-반응물질 가스를 반응 챔버를 향해 흘리는 단계는 유기실리콘 전구체와 동일한 플로우 경로에서 공-반응물질 가스를 흘리는 것을 포함한다. 일부 구현예들에서, 공-반응물질 가스는 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 디아진 (N2H2), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 디보란 (B2H6), 또는 이들의 조합들을 포함한다. 일부 구현예들에서, 다층 실리콘 카바이드 막의 층 각각은 다층 실리콘 카바이드 막의 제 1 표면으로부터 제 1 표면의 맞은 편인 제 2 표면으로 상승하는 농도의 탄소를 갖는다. 일부 구현예들에서, 다층 실리콘 카바이드 막은 진공 브레이크를 도입하지 않고 형성된다. 일부 구현예들에서, 실질적으로 저 에너지 상태의 소스 가스의 라디칼들은 바닥 상태의 수소 원자 라디칼들을 포함한다. In some implementations, flowing the co-reactant gas toward the reaction chamber includes flowing the co-reactant gas through a plasma source. In some implementations, flowing the co-reactant gas toward the reaction chamber includes flowing the co-reactant gas in the same flow path as the organosilicon precursor. In some embodiments, the co-reactant gas is carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen. (N 2 ), nitrous oxide (N 2 O), ammonia (NH 3 ), diazine (N 2 H 2 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), diborane (B 2 H 6 ), or combinations thereof. In some implementations, each layer of the multilayer silicon carbide film has an increasing concentration of carbon from a first surface of the multilayer silicon carbide film to a second surface opposite the first surface. In some implementations, the multilayer silicon carbide film is formed without introducing a vacuum break. In some embodiments, radicals of the source gas in a substantially low energy state include ground state hydrogen atomic radicals.

본 개시는 등급화된 실리콘 카바이드 막을 증착하는 방법에 관한 것이다. 방법은 반응 챔버에 기판을 제공하는 단계; 기판 상으로 유기실리콘 전구체를 흘리는 단계; 반응 챔버를 향해 공-반응물질 가스를 흘리는 단계; 반응 챔버로부터 리모트인 플라즈마 소스에 소스 가스를 제공하는 단계; 소스 가스로부터, 플라즈마 소스에서 소스 가스의 하나 이상의 라디칼들을 생성하는 단계; 기판 상으로 소스 가스의 하나 이상의 라디칼들을 도입하는 단계를 포함한다. 모든 또는 실질적으로 모든 소스 가스의 하나 이상의 라디칼들은 유기실리콘 전구체와 반응하는 실질적으로 저 에너지 상태에 있다. 방법은 등급화된 실리콘 카바이드 막을 형성하기 위해 시간이 흐름에 따라 유기실리콘 전구체의 플로우 레이트를 변화시키는 단계로서, 등급화된 실리콘 카바이드 막은 등급화된 실리콘 카바이드 막의 제 1 표면으로부터 등급화된 실리콘 카바이드 막의 제 1 표면의 맞은 편인 제 2 표면으로의 조성 변화도를 갖는, 공-반응물질 가스의 플로우 레이트를 변화시키는 단계를 포함한다.The present disclosure relates to a method of depositing graded silicon carbide films. The method includes providing a substrate to a reaction chamber; Flowing an organosilicon precursor onto a substrate; flowing a co-reactant gas toward the reaction chamber; providing a source gas to a plasma source remote from the reaction chamber; generating, from the source gas, one or more radicals of the source gas in a plasma source; and introducing one or more radicals of the source gas onto the substrate. All or substantially all of the one or more radicals of the source gas are in a substantially low energy state that reacts with the organosilicon precursor. The method includes varying the flow rate of an organosilicon precursor over time to form a graded silicon carbide film, wherein the graded silicon carbide film flows from a first surface of the graded silicon carbide film. and varying the flow rate of the co-reactant gas having a compositional gradient to a second surface opposite the first surface.

일부 구현예들에서, 시간이 흐름에 따라 유기실리콘 전구체의 플로우 레이트를 변화시키는 단계는 공-반응물질 가스 또는 소스 가스의 플로우 레이트를 변화시키지 않고 발생한다. 일부 구현예들에서, 공-반응물질 가스를 반응 챔버를 향해 흘리는 단계는 공-반응물질 가스를 플라즈마 소스를 통해 흘리는 것을 포함한다. 일부 구현예들에서, 공-반응물질 가스를 반응 챔버를 향해 흘리는 단계는 유기실리콘 전구체와 동일한 플로우 경로에서 공-반응물질 가스를 흘리는 것을 포함한다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막은 SiCO 막이다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막의 조성 변화도는 등급화된 실리콘 카바이드 막의 제 1 표면으로부터 제 2 표면으로 상승하는 농도의 탄소를 가질 수 있다. 등급화된 실리콘 카바이드 막의 제 1 표면에서의 탄소의 농도는 약 20 %보다 작을 수 있고, 등급화된 실리콘 카바이드 막의 제 2 표면에서의 탄소의 농도는 약 20 %보다 클 수 있다. 일부 구현예들에서, 등급화된 실리콘 카바이드 막은 진공 브레이크를 도입하지 않고 형성된다. 일부 구현예들에서, 저 에너지 상태의 소스 가스의 라디칼들은 바닥 상태의 수소 원자 라디칼들을 포함한다.In some implementations, changing the flow rate of the organosilicon precursor over time occurs without changing the flow rate of the co-reactant gas or source gas. In some implementations, flowing the co-reactant gas toward the reaction chamber includes flowing the co-reactant gas through a plasma source. In some implementations, flowing the co-reactant gas toward the reaction chamber includes flowing the co-reactant gas in the same flow path as the organosilicon precursor. In some implementations, the graded silicon carbide film is a SiCO film. In some implementations, the compositional gradient of the graded silicon carbide film can have an increasing concentration of carbon from a first surface to a second surface of the graded silicon carbide film. The concentration of carbon at the first surface of the graded silicon carbide film can be less than about 20% and the concentration of carbon at the second surface of the graded silicon carbide film can be greater than about 20%. In some implementations, the graded silicon carbide film is formed without introducing a vacuum break. In some embodiments, the low energy state radicals of the source gas include ground state hydrogen atomic radicals.

이들 및 다른 실시예들이 도면들을 참조하여 이하에 더 기술된다. These and other embodiments are further described below with reference to the drawings.

도 1a는 기판 위에 증착된 예시적인 실리콘 카바이드 막의 단면을 예시한다.
도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상의 실리콘 카바이드 수직 구조체들을 예시한다.
도 1c는 에어 갭 타입 금속화 층의 구리 라인들의 노출된 측벽들 상의 실리콘 카바이드 수직 구조체들을 예시한다.
도 1d는 다공성 유전체 재료들에 대한 실리콘 카바이드 포어 시일제들 (pore sealants) 을 예시한다.
도 2는 대표적인 케이지된 (caged) 실록산 전구체들의 예들을 예시한다.
도 3은 리모트 플라즈마 소스를 갖는 장치의 개략도를 예시한다.
도 4는 기판 위에 증착된 예시적인 등급화된 실리콘 카바이드 막의 단면을 예시한다.
도 5는 산소 시간이 흐름에 따라 유기실리콘 전구체 플로우 레이트 및 공-반응물질 가스의 플로우 레이트를 예시하는 그래프를 도시한다.
도 6은 등급화된 실리콘 카바이드 막 및 등급화되지 않은 실리콘 카바이드 막에 대해 깊이의 함수로서 탄소 농도의 조성 프로파일을 예시하는 그래프를 도시한다.
도 7은 기판의 피처 내에 증착된 예시적인 (등급화된 또는 등급화되지 않은) 산소 도핑된 실리콘 카바이드 (SiCO) 막의 STEM (scanning transmission electron microscopy) 이미지를 예시한다.
도 8a는 등급화되지 않은 SiCO 막의 조성 프로파일을 도시하는 도 7의 STEM 이미지의 라인 스캔 그래프를 예시한다.
도 8b는 등급화된 SiCO 막의 조성 프로파일을 도시하는 도 7의 STEM 이미지의 라인 스캔 그래프를 예시한다.
1A illustrates a cross-section of an exemplary silicon carbide film deposited on a substrate.
1B illustrates silicon carbide vertical structures on the sidewalls of the gate electrode structure of a transistor.
1C illustrates silicon carbide vertical structures on the exposed sidewalls of the copper lines of an air gap type metallization layer.
1D illustrates silicon carbide pore sealants for porous dielectric materials.
Figure 2 illustrates examples of representative caged siloxane precursors.
Figure 3 illustrates a schematic diagram of a device with a remote plasma source.
Figure 4 illustrates a cross-section of an exemplary graded silicon carbide film deposited on a substrate.
Figure 5 shows a graph illustrating the flow rate of organosilicon precursor flow rate and co-reactant gas over time.
Figure 6 shows a graph illustrating compositional profiles of carbon concentration as a function of depth for graded and ungraded silicon carbide films.
7 illustrates a scanning transmission electron microscopy (STEM) image of an exemplary oxygen-doped silicon carbide (SiCO) film (graded or ungraded) deposited within a feature of a substrate.
FIG. 8A illustrates a line scan graph of the STEM image of FIG. 7 showing the composition profile of an ungraded SiCO film.
FIG. 8B illustrates a line scan graph of the STEM image of FIG. 7 showing the composition profile of a graded SiCO film.

이하의 기술에서, 제시된 개념들의 완전한 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 제시된 개념들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 실시예들과 함께 기술될 것이지만, 이들 실시예들이 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. In the following description, numerous specific details are mentioned to provide a thorough understanding of the concepts presented. The concepts presented may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the concepts described. Although some concepts will be described in conjunction with specific embodiments, it will be understood that these embodiments are not intended to be limiting.

본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 IC (integrated circuit)"는 상호교환가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 IC"가 그 상부에서 IC 제조의 임의의 많은 스테이지들 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 및 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 그렇게 제한되지 않는다. 워크 피스는 다양한 형상들, 사이즈들 및 재료로 이루어질 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 이점들을 취할 수도 있는 다른 워크 피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다. In this disclosure, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit (IC)” are used interchangeably. Those skilled in the art will understand that the term “partially fabricated IC” can refer to a silicon wafer during any of the many stages of IC fabrication on top of it. Wafers and substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. The detailed description below assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. A work piece may be made of various shapes, sizes and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like.

도입introduction

반도체 디바이스들의 제작은 통상적으로 통합된 제조 프로세스에서 기판 상에 하나 이상의 박막들을 증착하는 단계를 수반한다. 제조 프로세스의 일부 양태들에서, 실리콘 카바이드, 실리콘 옥시카바이드, 실리콘 나이트라이카바이드, 및 실리콘 옥시나이트라이카바이드와 같은 박막들의 부류들이 ALD (atomic layer deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), 또는 임의의 다른 적합한 증착 방법을 사용하여 증착된다. 본 명세서에 사용된 바와 같이, 용어 실리콘 카바이드는 산소 도핑된 실리콘 카바이드, 질소 도핑된 실리콘 카바이드, 및 질소 및 산소 도핑된 실리콘 카바이드와 같은 도핑된 실리콘 카바이드류를 포함한다. 대부분의 도핑된 실리콘 카바이드류는, 이들 원자들이 산소, 질소, 또는 또 다른 원소의 원자들이든, 최대 약 50 원자% (% atomic) 의 도펀트 원자들을 갖는다. 도핑 레벨은 목표된 막 속성들을 제공한다.Fabrication of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated manufacturing process. In some aspects of the manufacturing process, classes of thin films, such as silicon carbide, silicon oxycarbide, silicon nitricharbide, and silicon oxynitride carbide, are formed using atomic layer deposition (ALD), chemical vapor deposition (CVD), or plasma-enhanced deposition (PECVD). Deposited using enhanced chemical vapor deposition, or any other suitable deposition method. As used herein, the term silicon carbide includes doped silicon carbides such as oxygen doped silicon carbide, nitrogen doped silicon carbide, and nitrogen and oxygen doped silicon carbide. Most doped silicon carbides have up to about 50 atomic percent dopant atoms, whether these atoms are oxygen, nitrogen, or atoms of another element. The doping level provides targeted film properties.

증착될 수 있는 일 예시적인 부류의 박막들은 실리콘 옥시카바이드를 포함한다. 본 명세서에 사용된 바와 같이, 실리콘 옥시카바이드는 실리콘, 산소, 및 탄소를 포함하는 화학적 화합물을 지칭할 수 있다. 실리콘 옥시카바이드는 SiCO 또는 SiOC 막들을 포함할 수도 있는, 산소 도핑된 실리콘 카바이드와 상호교환가능하게 사용될 수도 있다.One exemplary class of thin films that can be deposited includes silicon oxycarbide. As used herein, silicon oxycarbide may refer to a chemical compound containing silicon, oxygen, and carbon. Silicon oxycarbide may be used interchangeably with oxygen-doped silicon carbide, which may include SiCO or SiOC films.

실리콘 카바이드류를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함할 수 있다. 실리콘 옥시카바이드류를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-산소 (Si-O) 결합들 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 실리콘 나이트라이카바이드류를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-질소 (Si-N) 결합들 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 실리콘 옥시나이트라이카바이드류를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-질소 (Si-N) 결합들, 실리콘-산소 (Si-O) 결합들, 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 현재 PECVD 프로세스들은 플라즈마가 기판에 바로 인접하게 제공되는 인시츄 (in situ) 플라즈마 프로세싱을 사용할 수도 있다.Precursor molecules for depositing silicon carbides include silicon-containing molecules with silicon-hydrogen (Si-H) and/or silicon-silicon (Si-Si) bonds, and silicon-carbon (Si-C) bonds. can do. Precursor molecules for depositing silicon oxycarbides include silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-oxygen (Si-O) bonds and/or silicon -Contains silicon-containing molecules with carbon (Si-C) bonds. Precursor molecules for depositing silicon nitricarbides include silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds and/or Includes silicon-containing molecules with silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon oxynitrite carbides include silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds, silicon -Includes silicon-containing molecules having oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Current PECVD processes may use in situ plasma processing, where the plasma is provided directly adjacent to the substrate.

고품질 실리콘 카바이드 박막들을 증착하는 것은 우수한 단차 커버리지 (step coverage), 저 유전 상수들, 고 브레이크다은 전압들 (breakdown voltages), 저 누설 전류들, 고 다공성, 및/또는 금속 표면들을 산화하지 않고 노출된 금속 표면들에 대한 커버리지를 갖는 막들을 제공하는 것과 같은 특정한 과제들을 가질 수 있다는 것을 알게 되었다.Depositing high quality silicon carbide thin films provides excellent step coverage, low dielectric constants, high breakdown voltages, low leakage currents, high porosity, and/or exposed metal surfaces without oxidizing them. It has been found that there may be specific challenges, such as providing films with coverage on metal surfaces.

본 개시는 임의의 특정한 이론으로 제한되지 않고, 통상적인 PECVD 프로세스들에서 플라즈마 조건들은 바람직하지 않은 효과들을 생성하는 방식으로 실리콘-함유 전구체 분자들을 단편화한다 (fragment). 예를 들어, PECVD 매우 반응성 라디칼들 또는 고 부착 계수들 (sticking coefficients) 을 갖는 다른 단편 (fragment) 타입들을 생성하기 위해 전구체 분자들의 Si-O 및/또는 Si-C 결합들을 분열할 (break) 수도 있다. 발생되는 도핑된 실리콘 카바이드 막의 단편들은 "댕글링 (dangling)" 결합들을 갖는, 실리콘, 탄소, 및/또는 산소 원자들을 포함할 수 있고, "댕글링"은 실리콘, 탄소, 및/또는 산소 원자들이 반응성의 짝이 없는 원자가 전자들 (valence electrons) 을 갖는다는 것을 의미한다. 고 부착 계수들의 전구체 분자들 및 이들의 단편들은, 반응성 전구체 단편들이 측벽들의 상부 영역들 및 리세스된 피처들의 다른 구조체들에 불균형적으로 부착할 수도 있기 때문에, 불량한 단차 커버리지를 갖는 실리콘 카바이드 막들을 증착할 수 있다. Without wishing to be limited by any particular theory, the plasma conditions in conventional PECVD processes fragment silicon-containing precursor molecules in a way that creates undesirable effects. For example, PECVD may break Si-O and/or Si-C bonds of precursor molecules to generate highly reactive radicals or other fragment types with high sticking coefficients. there is. The resulting doped silicon carbide film fragments may contain silicon, carbon, and/or oxygen atoms with “dangling” bonds, where “dangling” refers to silicon, carbon, and/or oxygen atoms having “dangling” bonds. This means that the atom has reactive, unpaired valence electrons. High adhesion coefficients precursor molecules and their fragments can create silicon carbide films with poor step coverage because the reactive precursor fragments may adhere disproportionately to the upper regions of the sidewalls and other structures in the recessed features. can be deposited.

댕글링 결합들은 증착된 실리콘 옥시카바이드 또는 실리콘 옥시나이트라이카바이드 막 내에 실라놀기들 (Si-OH) 을 생성할 수 있다. 그 결과, 막은 유해하게 고 유전 상수들을 가질 수도 있다. 막 품질은 또한 직접 플라즈마 (direct plasma) 조건들이 증착된 막으로부터 탄소를 추출하는 경향이 있기 때문에 악화될 수도 있다.Dangling bonds can create silanol groups (Si-OH) within the deposited silicon oxycarbide or silicon oxynitride film. As a result, the film may have detrimentally high dielectric constants. Film quality may also deteriorate because direct plasma conditions tend to extract carbon from the deposited film.

더욱이, 댕글링 결합들은 증착된 실리콘 카바이드 막들에서 증가된 실리콘-수소 결합 (Si-H) 을 생성할 수 있다. 분열된 결합들의 Si-C는 직접 플라즈마 증착 조건들에서 Si-H로 대체될 수 있다. 실리콘 카바이드 막들 내 Si-H 결합들의 존재는 불량한 전기적 속성들을 갖는 막들을 생성할 수 있다. 예를 들어, Si-H 결합들의 존재는 브레이크다운 전압들을 생성할 수 있고 Si-H 결합들이 전자들에 대한 누설 경로를 제공하기 ‹š문에, 누설 전류들을 증가시킬 수 있다. Moreover, dangling bonds can create increased silicon-hydrogen bonding (Si-H) in deposited silicon carbide films. The Si-C of the cleaved bonds can be replaced by Si-H in direct plasma deposition conditions. The presence of Si-H bonds in silicon carbide films can result in films with poor electrical properties. For example, the presence of Si-H bonds can create breakdown voltages and increase leakage currents because Si-H bonds provide a leakage path for electrons.

게다가, 댕글링 결합들은 실리콘 카바이드 막들에 제어되지 않는 화학적 구조체 또는 형태학적 구조체를 야기할 수 있다. 일부 경우들에서, 이러한 구조체들은 낮은 다공성을 갖거나 다공성이 없는 치밀한 필라멘트들이어서, 이 필라멘트들은 용인가능하지 않게 높은 유전 상수를 갖는다. 다공성의 결여는, 그렇지 않으면 ULK (ultralow-k) 유전체 재료에 다공성을 제공할, 고리형 실록산류에서 Si-C 및/또는 Si-O 결합들을 분열하는 직접 플라즈마 조건들의 결과일 수 있다. Additionally, dangling bonds can cause uncontrolled chemical or morphological structure in silicon carbide films. In some cases, these structures are dense filaments with low or no porosity, such that the filaments have an unacceptably high dielectric constant. The lack of porosity may be a result of direct plasma conditions that cleave Si-C and/or Si-O bonds in cyclic siloxanes that would otherwise provide porosity in ultralow-k (ULK) dielectric materials.

때때로 PECVD에 채용되는 직접 플라즈마 조건들은 전구체 분자들을 분열하기 위한 에너지가 표면에서 많은 이온 충돌을 생성하는 저 주파수일 수 있기 때문에, 증착시 지향성을 야기할 수 있다. 지향성 증착은 또한 불량한 단차 커버리지를 갖는 실리콘 카바이드 막들의 증착을 야기할 수 있다. 직접 플라즈마는 증착 동안 플라즈마 (적절한 농도의 전자들 및 양이온들) 가 기판 표면에 인접하게 체류하고 (reside), 때때로 플라즈마 시스 (plasma sheath) 에 의해서만 기판 표면으로부터 분리되는, 플라즈마이다. The direct plasma conditions sometimes employed in PECVD can cause directivity in deposition because the energy to split the precursor molecules can be low frequency, creating many ion bombardments at the surface. Directional deposition can also result in the deposition of silicon carbide films with poor step coverage. A direct plasma is a plasma in which the plasma (with an appropriate concentration of electrons and positive ions) remains adjacent to the substrate surface during deposition, sometimes separated from the substrate surface only by a plasma sheath.

통상적인 PECVD 프로세스들은, 이 프로세스들이 금속을 산화시킬 수 있기 때문에, 노출된 구리 또는 다른 금속 표면들 위에 실리콘 카바이드 막들을 증착하기에 때때로 부적절하다. PECVD 프로세스는 실리콘 옥시카바이드 막을 형성하기 위해 산소 (O2), 오존 (O3), 이산화탄소 (CO2), 또는 다른 산화 종과 같은 산화제들을 사용할 수도 있다. Conventional PECVD processes are sometimes inadequate for depositing silicon carbide films on exposed copper or other metal surfaces because these processes can oxidize the metal. The PECVD process may use oxidizing agents such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), or other oxidizing species to form the silicon oxycarbide film.

증착 동안 기판 표면의 환경 Environment of the substrate surface during deposition

도 1a는 기판 위에 증착된 예시적인 실리콘 카바이드 막의 단면을 예시한다. 실리콘 카바이드 막 (101) 은 기판 (100) 에 인접하여 상대적으로 순한 (mild) 환경을 생성하는 프로세스 조건들 하에서 형성될 수 있다. 기판 (100) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 IC, 인쇄 회로 기판, 디스플레이 스크린, 또는 다른 적절한 워크 피스일 수 있다. 실리콘 카바이드 막 (101) 을 증착하기 위한 프로세스는 생성될 도핑된 구조체의 타입에 따라, Si-C 결합들, Si-O 결합들, 및/또는 Si-N 결합들과 같은 다른 결합들과 함께, 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합을 갖는 하나 이상의 실리콘-함유 전구체들을 수반할 수 있다.1A illustrates a cross-section of an exemplary silicon carbide film deposited on a substrate. Silicon carbide film 101 may be formed under process conditions that create a relatively mild environment adjacent to substrate 100. Substrate 100 may be any wafer, semiconductor wafer, partially fabricated IC, printed circuit board, display screen, or other suitable work piece. The process for depositing the silicon carbide film 101 includes, depending on the type of doped structure to be created, along with other bonds such as Si-C bonds, Si-O bonds, and/or Si-N bonds. It may involve one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds.

산소 도핑된 실리콘 카바이드 막들을 채용하는 특정한 애플리케이션들이 도 1b 내지 도 1d에 도시된다. 일부 실시예들에서, 실리콘-함유 전구체들은 실리콘-산소 함유 전구체들, 실리콘-질소 함유 전구체들, 및/또는 실리콘-탄소 함유 전구체들을 포함할 수 있다. 실리콘-산소 함유 전구체들은 하나 이상의 Si-O 결합들을 포함할 수 있고, 실리콘-질소 함유 전구체들은 하나 이상의 Si-N 결합들을 포함할 수 있고, 그리고 실리콘-탄소 함유 전구체들은 하나 이상의 Si-C 결합들을 포함할 수 있다. 일부 실시예들에서, 예를 들어, 실리콘-함유 전구체들은 Si-O 결합들 및 Si-C 결합들을 갖는 반응물질 A를 포함할 수 있다. 일부 실시예들에서, 실리콘-함유 전구체들은 Si-O 결합들을 갖는 반응물질 B 및 Si-C 결합들을 갖는 반응물질 C를 포함할 수 있다. 임의의 수의 적합한 반응물질들은 본 개시의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 예시적인 실리콘-함유 전구체들의 화학적 구조체들이 이하에 더 상세히 논의된다. Specific applications employing oxygen-doped silicon carbide films are shown in FIGS. 1B-1D. In some embodiments, the silicon-containing precursors may include silicon-oxygen containing precursors, silicon-nitrogen containing precursors, and/or silicon-carbon containing precursors. Silicon-oxygen containing precursors can contain one or more Si-O bonds, silicon-nitrogen containing precursors can contain one or more Si-N bonds, and silicon-carbon containing precursors can contain one or more Si-C bonds. It can be included. In some embodiments, for example, silicon-containing precursors may include reactant A with Si-O bonds and Si-C bonds. In some embodiments, silicon-containing precursors may include reactant B, which has Si-O bonds, and reactant C, which has Si-C bonds. It will be understood that any number of suitable reactants may be employed within the scope of the present disclosure. Chemical structures of exemplary silicon-containing precursors are discussed in more detail below.

실리콘-함유 전구체들은 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 포함한다. 증착 프로세스 동안, Si-H 결합들 및/또는 Si-Si 결합들이 분열되고 증착된 실리콘 카바이드 막 (101) 의 실리콘-함유 전구체들 사이에 결합들을 형성하기 위한 반응성 사이트들 (sites) 로서 역할을 한다. 분열된 결합들은 또한 증착 동안 또는 증착 후에 수행되는 열적 프로세싱 동안 교차-결합 (cross-linking) 을 위한 사이트들로서 역할을 할 수 있다. 반응성 사이트들에서 결합 및 교차-결합은 발생되는 실리콘 카바이드 막 (101) 에서 1차 백본 또는 매트릭스를 집합적으로 형성할 수 있다.Silicon-containing precursors include one or more Si-H bonds and/or one or more Si-Si bonds. During the deposition process, Si-H bonds and/or Si-Si bonds are cleaved and serve as reactive sites to form bonds between the silicon-containing precursors of the deposited silicon carbide film 101. . Cleaved bonds can also serve as sites for cross-linking during thermal processing performed during or after deposition. Bonding and cross-linking at the reactive sites can collectively form a primary backbone or matrix in the resulting silicon carbide film 101.

일부 실시예들에서, 프로세스 조건들은 증착될 때 실리콘 카바이드 막 (101) 의 층의 Si-C 결합들, 및 존재한다면, Si-O 및 Si-N 결합들을 실질적으로 보호할 수 있다. 이에 따라, 기판 (100) 에 인접한 반응 조건들은 예를 들어, 분열된 Si-H 결합들로부터 수소를 추출하는, Si-H 결합들 및/또는 Si-Si 결합들의 선택적 분열을 제공하지만, 반응 조건들은 Si-O 결합들로부터 산소, Si-N 결합들로부터 질소, 또는 Si-C 결합들로부터 탄소를 추출하는 것은 제공하지 않는다. 그러나, 이하에 논의된 바와 같이, 산소와 같은 공-반응물질의 도입으로 Si-C 결합들로부터 탄소를 추출할 수도 있다. 일반적으로, 기술된 반응 조건들이 기판 (100) 의 노출된 면 (실리콘 카바이드 막 (101) 이 증착되는 면) 에 존재한다. 이 조건들은 또한 기판 (100) 위로 일정 거리에, 예를 들어, 기판 (100) 위로 약 0.5 ㎛ 내지 약 150 ㎜에 존재할 수도 있다. 사실상, 전구체의 활성화 (activation) 는 기판 (100) 위로 상당한 거리에서 가스 상 (phase) 으로 발생할 수 있다. 통상적으로, 특정한 애플리케이션들이 어느 정도 변형을 허용할 수도 있지만, 관련한 반응 조건들은 기판 (100) 의 전체 노출된 표면 위에서 균일하거나 실질적으로 균일할 것이다.In some embodiments, process conditions can substantially protect the Si-C bonds, and Si-O and Si-N bonds, if present, of the layer of silicon carbide film 101 as deposited. Accordingly, the reaction conditions adjacent to the substrate 100 provide for selective cleavage of Si-H bonds and/or Si-Si bonds, for example, extracting hydrogen from the cleaved Si-H bonds. They do not provide for extraction of oxygen from Si-O bonds, nitrogen from Si-N bonds, or carbon from Si-C bonds. However, as discussed below, the introduction of a co-reactant such as oxygen can also extract carbon from Si-C bonds. Generally, the described reaction conditions exist on the exposed side of the substrate 100 (the side on which the silicon carbide film 101 is deposited). These conditions may also exist at a distance above the substrate 100, for example, from about 0.5 μm to about 150 mm above the substrate 100. In fact, activation of the precursor may occur in the gas phase at a considerable distance above the substrate 100. Typically, the relevant reaction conditions will be uniform or substantially uniform over the entire exposed surface of substrate 100, although specific applications may allow for some variation.

실리콘-함유 전구체들에 더하여, 워크 피스 (예를 들어, 기판 (100)) 에 인접한 환경은 바람직하게 실질적으로 저 에너지 상태인 하나 이상의 라디칼 종을 포함할 수 있다. 이러한 종의 예는 수소 원자 라디칼들을 포함한다. 일부 실시예들에서, 모든, 또는 실질적으로 모든, 또는 상당한 분획 (fraction) 의 수소 원자 라디칼들은 바닥 상태에 있다, 예를 들어, 워크 피스에 인접한 수소 원자 라디칼들의 적어도 약 90 % 또는 95 %가 바닥 상태에 있다. 특정한 실시예들에서, 소스 가스는 헬륨과 같은 캐리어 가스에 제공된다. 예로서, 수소 가스는 약 1 내지 10 %의 수소 농도로 헬륨 캐리어에 제공될 수도 있다. 저 에너지 상태의 라디칼들이 재결합하지 않기 때문에 수소 원자들이 기판 (100) 과 직면하도록 (encounter) 압력, 헬륨과 같은 캐리어 가스의 분획 및 다른 프로세스 조건들이 선택된다. In addition to silicon-containing precursors, the environment adjacent to the work piece (e.g., substrate 100) may include one or more radical species, preferably in a substantially low energy state. Examples of such species include hydrogen atomic radicals. In some embodiments, all, or substantially all, or a significant fraction of the hydrogen atom radicals are in the ground state, e.g., at least about 90% or 95% of the hydrogen atom radicals adjacent to the work piece are in the ground state. is in a state In certain embodiments, the source gas is provided as a carrier gas, such as helium. As an example, hydrogen gas may be provided on a helium carrier at a hydrogen concentration of about 1 to 10%. The pressure, fraction of carrier gas such as helium, and other process conditions are selected such that the hydrogen atoms encounter the substrate 100 because the radicals in the low energy state do not recombine.

다른 곳에 설명된 바와 같이, 수소 가스는 수소 원자 라디칼들 또는 수소 라디칼들을 생성하기 위해 리모트 플라즈마 소스 내로 공급될 수도 있다. 일단 생성되면, 수소 원자 라디칼들은 여기된 (excited) 에너지 상태에 있을 수도 있다. 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기된 상태) 의 에너지를 가질 수 있다. 여기된 수소 원자 라디칼들은 실리콘-함유 전구체의 비선택적인 (unselective) 분해를 유발할 수도 있다. 예를 들어, 여기된 상태의 수소 원자 라디칼들은 Si-H, Si-Si, Si-N, Si-O, 및 Si-C 결합들을 용이하게 분열시킬 수 있고, 이는 실리콘 카바이드 막 (101) 의 조성 또는 물리적 특성들 또는 전기적 특성들을 변경할 수 있다. 일부 구현예들에서, 여기된 수소 원자 라디칼들이 에너지를 손실하거나 완화되면, 여기된 수소 원자 라디칼은 실질적으로 저 에너지 상태 수소 원자 라디칼 또는 바닥 상태 수소 원자 라디칼이 될 수도 있다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 원자 라디칼들은 일반적으로 Si-O 결합, Si-N 결합, 및 Si-C 결합을 보존하면서 Si-H 결합들 및 Si-Si 결합들을 선택적으로 분열시킬 수 있다. 일부 구현예들에서, 프로세스 조건들은 여기된 수소 원자 라디칼들이 실질적으로 저 에너지 상태 또는 바닥 상태 수소 원자 라디칼들을 형성하기 위해 에너지를 손실하거나 완화되도록 제공될 수도 있다. 예를 들어, 리모트 플라즈마 소스 또는 연관된 컴포넌트들이 리모트 플라즈마 소스로부터 기판 (100) 으로 확산하는 수소 원자 라디칼들의 체류 시간이 여기된 수소 원자 라디칼의 에너지의 (energetic) 완화 시간보다 길도록 설계될 수도 있다. 여기된 수소 원자 라디칼에 대한 에너지의 완화 시간은 약 1x10-3 초와 거의 같거나 보다 작을 수 있다. As described elsewhere, hydrogen gas may be supplied into a remote plasma source to generate atomic hydrogen radicals or hydrogen radicals. Once generated, hydrogen atomic radicals may be in an excited energy state. For example, hydrogen in its excited energy state can have an energy of at least 10.2 eV (the first excited state). Excited hydrogen atomic radicals may cause unselective decomposition of the silicon-containing precursor. For example, hydrogen atom radicals in an excited state can easily cleave Si-H, Si-Si, Si-N, Si-O, and Si-C bonds, which affects the composition of the silicon carbide film 101. Or the physical properties or electrical properties may be changed. In some embodiments, when the excited hydrogen atom radicals lose energy or relax, the excited hydrogen atom radicals may become substantially low-energy state hydrogen atom radicals or ground state hydrogen atom radicals. Substantially low energy state or ground state hydrogen atom radicals can selectively cleave Si-H bonds and Si-Si bonds while generally preserving Si-O bonds, Si-N bonds, and Si-C bonds. . In some implementations, process conditions may be provided such that excited hydrogen atomic radicals substantially lose energy or relax to form low energy state or ground state hydrogen atomic radicals. For example, the remote plasma source or associated components may be designed such that the residence time of hydrogen atom radicals diffusing from the remote plasma source to the substrate 100 is longer than the energetic relaxation time of the excited hydrogen atom radicals. The relaxation time of energy for excited hydrogen atom radicals may be approximately equal to or less than about 1x10 -3 seconds.

수소 원자 라디칼들의 상당한 분획이 바닥 상태에 있는 상태가 다양한 기법들에 의해 달성될 수 있다. 이하에 기술된 바와 같은 일부 장치가 이 상태를 달성하도록 설계된다. 장치 피처들 및 프로세스 제어 피처들이 수소 원자 라디칼들의 상당한 분획이 바닥 상태인 순한 상태를 생성하기 위해 테스트되고 튜닝될 수 있다. 예를 들어, 장치는 플라즈마 소스의 다운스트림에; 즉, 기판 (100) 근방에 충전된 입자들에 대해 동작되고 테스트될 수도 있다. 프로세스 및 장치는 대전된 종이 기판 (100) 근방에 실질적으로 존재하지 않을 때까지 튜닝될 수도 있다. 부가적으로, 장치 피처들 및 프로세스 피처들은 트리메틸실란과 같은 표준 전구체로부터 실리콘 카바이드 막 (101) 을 생성하기 시작하는 구성으로 튜닝될 수도 있다. 이러한 막 증착을 지지하는 상대적으로 순한 조건들이 선택된다. A state in which a significant fraction of hydrogen atomic radicals is in the ground state can be achieved by various techniques. Some devices, such as those described below, are designed to achieve this condition. Device features and process control features can be tested and tuned to create a benign state in which a significant fraction of hydrogen atomic radicals are in the ground state. For example, the device may be downstream of a plasma source; That is, it may be operated and tested for charged particles near the substrate 100. The process and apparatus may be tuned until substantially no charged paper is present in the vicinity of the substrate 100. Additionally, device features and process features may be tuned to a configuration that begins to produce silicon carbide film 101 from a standard precursor such as trimethylsilane. Relatively mild conditions are chosen to support this film deposition.

라디칼 종의 다른 예들은 원소적 산소 라디칼들 (원자성 또는 이원자성) 과 같은 산소-함유 종, 원소적 질소 라디칼들 (원자성 또는 이원자성) 과 같은 질소-함유 종, 및 질소가 막 내로 선택가능하게 통합되는 암모니아 라디칼들과 같은 N-H 함유 라디칼들을 포함한다. N-H 함유 라디칼들의 예들은 이로 제한되는 것은 아니지만, 메틸아민, 디메틸아민 및 아닐린의 라디칼들을 포함한다. 전술한 라디칼 종은 수소, 질소, N-H 함유 종, 또는 이들의 혼합물들을 포함하는 소스 가스로부터 생성될 수도 있다. 일부 실시예들에서, 증착된 막의 실질적으로 모든 또는 상당한 분획의 원자들이 전구체 분자들에 의해 제공된다. 이러한 경우들에서, 증착 반응을 구동하기 위해 사용된 저 에너지 라디칼들은 독점적으로 수소이거나 대부분의 증착된 층에 실질적으로 기여하지 않는 다른 종일 수도 있다. 일부 실시예들에서, 이하에 더 상세히 논의된 바와 같이, 라디칼 종은 리모트 플라즈마 소스에 의해 생성될 수 있다. 일부 실시예들에서, 보다 고 에너지 상태의 라디칼들 또는 고른 이온들이 잠재적으로 웨이퍼 평면 근방에 존재할 수 있다.Other examples of radical species are oxygen-containing species such as elemental oxygen radicals (atomic or diatomic), nitrogen-containing species such as elemental nitrogen radicals (atomic or diatomic), and nitrogen is selected into the film. Possibly incorporating N-H containing radicals such as ammonia radicals. Examples of N-H containing radicals include, but are not limited to, the radicals of methylamine, dimethylamine, and aniline. The radical species described above may be generated from a source gas containing hydrogen, nitrogen, N-H containing species, or mixtures thereof. In some embodiments, substantially all or a significant fraction of the atoms of the deposited film are provided by precursor molecules. In these cases, the low energy radicals used to drive the deposition reaction may be exclusively hydrogen or other species that do not substantially contribute to most of the deposited layer. In some embodiments, radical species may be generated by a remote plasma source, as discussed in more detail below. In some embodiments, higher energy state radicals or even ions may potentially exist near the wafer plane.

일부 실시예들에서, 프로세스 조건들은 Si-O, Si-N, 및 Si-C 결합들을 실질적으로 보존하면서, Si-H 결합들 및/또는 Si-Si 결합들을 분열시키기 충분한 실질적으로 저 에너지 상태의 라디칼 종을 채용한다. 이러한 프로세스 조건들은 바닥 상태 이상의 상태들과 같은 고 에너지 상태들인 상당한 양의 이온들, 전자들 또는 라디칼 종을 갖지 않을 수도 있다. 일부 실시예들에서, 막에 인접한 영역에서 이온들의 농도는 약 107/㎤보다 크지 않다. 상당한 양의 이온들 또는 고 에너지 라디칼들의 존재는 Si-O 결합, Si-N 결합, 및 Si-C 결합들을 분열시키는 경향이 있을 수도 있고, 이는 바람직하지 않은 전기적 속성들 (예를 들어, 고 유전 상수들 및/또는 저 브레이크다운 전압들) 및 불량한 컨포멀성 (conformality) 을 갖는 막들을 생성할 수 있다. 과도하게 반응성 환경은 (워크 피스 측벽들에 화학적으로 또는 물리적으로 부착하는 성질을 나타내는) 고 부착 계수들을 갖는 반응성 전구체 단편들을 생성하고, 불량한 컨포멀성을 발생시킨다고 여겨진다. In some embodiments, the process conditions are sufficient to cleave Si-H bonds and/or Si-Si bonds while substantially preserving Si-O, Si-N, and Si-C bonds. Radical species are employed. These process conditions may not have significant amounts of ions, electrons or radical species in high energy states such as states above the ground state. In some embodiments, the concentration of ions in the area adjacent to the membrane is no greater than about 10 7 /cm3. The presence of significant amounts of ions or high-energy radicals may tend to cleave Si-O bonds, Si-N bonds, and Si-C bonds, leading to undesirable electrical properties (e.g., high dielectric constants). constants and/or low breakdown voltages) and poor conformality. It is believed that an excessively reactive environment produces reactive precursor fragments with high adhesion coefficients (indicative of the tendency to chemically or physically adhere to the work piece sidewalls) and results in poor conformality.

기판 (100) 에 인접한 환경에서, 실리콘-함유 전구체들은 통상적으로 다른 종, 특히 캐리어 가스와 함께 전달된다. 일부 구현예들에서, 실리콘-함유 전구체들은 라디칼 종 및 다른 반응성 종 및/또는 캐리어 가스들을 포함하는, 다른 종과 함께 존재한다. 일부 실시예들에서, 실리콘-함유 전구체들은 혼합물로서 도입될 수도 있다. 증착 반응 표면으로부터 업스트림에서, 실리콘-함유 전구체들은 불활성 캐리어 가스와 혼합될 수 있다. 예시적인 불활성 캐리어 가스들은, 이로 제한되는 것은 아니지만, 질소 (N2), 아르곤 (Ar), 및 헬륨 (He) 을 포함한다. 이에 더하여, 실리콘-함유 전구체들은 주 (major) 종 및 부 (minor) 종을 갖는 혼합물로 도입될 수 있고, 부 종은 실리콘 카바이드 막 (101) 내에 상대적으로 저 농도로 존재하는 일부 원소와 함께 또는 구조적 특징 (예를 들어, 링 구조, 케이지 구조, 불포화 결합, 등) 을 갖는다. 복수의 전구체들은 발생되는 실리콘 카바이드 막 (101) 에서 1차 백본 또는 매트릭스를 형성하기 적절하게 등몰 (equimolar) 또는 상대적으로 유사한 비율로 존재할 수도 있다. 다른 실시예들에서, 상이한 전구체들의 상대적인 양들은 등몰로부터 상당히 왜곡된다. In the environment adjacent to the substrate 100, silicon-containing precursors are typically transferred with other species, particularly carrier gases. In some implementations, silicon-containing precursors are present together with other species, including radical species and other reactive species and/or carrier gases. In some embodiments, silicon-containing precursors may be introduced as a mixture. Upstream from the deposition reaction surface, silicon-containing precursors can be mixed with an inert carrier gas. Exemplary inert carrier gases include, but are not limited to, nitrogen (N 2 ), argon (Ar), and helium (He). In addition, the silicon-containing precursors may be introduced as a mixture having a major species and a minor species, with the minor species present in relatively low concentrations within the silicon carbide film 101 or It has structural features (e.g., ring structure, cage structure, unsaturated bonds, etc.). The plurality of precursors may be present in equimolar or relatively similar proportions as appropriate to form the primary backbone or matrix in the resulting silicon carbide film 101. In other embodiments, the relative amounts of different precursors are significantly distorted from equimolar.

일부 실시예들에서, 하나 이상의 실리콘-함유 전구체들은 막 질량의 약 5 원자% 미만 또는 약 2 원자% 미만을 제공하는 리모트 플라즈마로부터 소량의 수소 또는 다른 원소와 함께, 대부분의 증착된 실리콘 카바이드 막 (101) 을 본질적으로 제공한다. 일부 실시예들에서, 라디칼 종 및 하나 이상의 실리콘-함유 전구체들만이 증착된 실리콘 카바이드 막 (101) 의 조성에 기여한다. 다른 실시예들에서, 증착 반응은 하나 이상의 실리콘-함유 전구체들 및 라디칼 종 이외에 공-반응물질을 포함한다. 이러한 공-반응물질들의 예들은 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 디아진 (N2H2), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C-2H4), 디보란 (B2H6) 및 이들의 조합들을 포함한다. 이러한 재료들은 질화제들 (nitriding agents), 산화제들, 환원제들, 등으로서 사용될 수도 있다. 일부 경우들에서, 이들은 실리콘-함유 전구체와 함께 제공된 탄소의 분획을 제거함으로써 증착된 막에서 탄소의 양을 튜닝하도록 사용될 수 있다. 비-수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 실리콘-함유 전구체와 동일한 플로우 경로 예를 들어, 샤워헤드를 포함하는 경로를 통해; 통상적으로 플라즈마에 직접적인 노출 없이, 반응 챔버로 도입된다. 일부 실시예들에서, 산소 및/또는 이산화탄소가 증착 동안 막 또는 전구체로부터 탄소를 제거함으로써 실리콘 카바이드 막 (101) 의 조성을 변경하기 위해 전구체와 함꼐 도입된다. 비-수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 공-반응물질이 적어도 부분적으로 라디칼들 및/또는 이온들로 변환되도록 수소와 동일한 플로우 경로를 통해 반응 챔버로 도입된다. 이러한 구현예들에서, 수소 라디칼들 및 공-반응물질 라디칼들 모두 증착된 실리콘 카바이드 막 (101) 을 생성하기 위해 실리콘-함유 전구체(들)와 반응한다.In some embodiments, one or more silicon-containing precursors are used to form most of the deposited silicon carbide film ( 101) is essentially provided. In some embodiments, only radical species and one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101. In other embodiments, the deposition reaction includes one or more silicon-containing precursors and a co-reactant in addition to the radical species. Examples of these co-reactants are carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), and nitrogen (N 2 ). , nitrous oxide (N 2 O), ammonia (NH 3 ), diazine (N 2 H 2 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C- 2 H 4 ), diborane (B 2 H 6 ), and combinations thereof. These materials may be used as nitriding agents, oxidizing agents, reducing agents, etc. In some cases, they can be used to tune the amount of carbon in the deposited film by removing a fraction of the carbon provided with the silicon-containing precursor. In some implementations employing a non-hydrogen co-reactant, the co-reactant is sent via the same flow path as the silicon-containing precursor, for example, a path comprising a showerhead; Typically, it is introduced into the reaction chamber without direct exposure to the plasma. In some embodiments, oxygen and/or carbon dioxide is introduced with the precursor to change the composition of the silicon carbide film 101 by removing carbon from the film or precursor during deposition. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber via the same flow path as the hydrogen such that the co-reactant is at least partially converted to radicals and/or ions. do. In these implementations, both hydrogen radicals and co-reactant radicals react with the silicon-containing precursor(s) to produce the deposited silicon carbide film 101.

특정한 실시예들에서 공-반응물질들이 사용되고 공-반응물질들이 라디칼들 (예를 들어, 수소) 로 변환될 종과 함께 챔버에 도입되면, 공-반응물질등느 라디칼들 (예를 들어, 수소) 및 헬륨과 같은 임의의 캐리어 가스(들)의 소스를 포함하는, 반응 챔버 내 다른 가스들과 비교하여 상대적으로 적은 양들로 반응 챔버에 제공될 수도 있다. 예를 들어, 공-반응물질은 중량으로 (by mass) 약 0.05 % 이하 또는 중량으로 약 0.01 % 이하, 또는 중량으로 약 0.001 % 이하로 프로세스 가스들 내에 존재할 수도 있다. 예를 들어, (플라즈마 소스 내로 들어가는) 반응물질 혼합물은 약 10 내지 20 L/m (liters per minute) 의 He, 약 200 내지 500 sccm (standard cubic centimeters per minute) 의 H2, 및 약 1 내지 10 sccm 산소일 수도 있다. 공-반응물질들이 실리콘-함유 전구체와 함께 (예를 들어, 샤워헤드를 통해) 반응 챔버로 도입될 때, 공-반응물질들은 보다 높은 농도, 예를 들어, 약 2 % 이하 또는 약 0.1 % 이하로 존재할 수도 있다. 공-반응물질이 상대적으로 약한 반응물질 (예를 들어, 이산화탄소와 같이 약한 산화제) 일 때, 훨씬 보다 높은 농도들, 예컨대 약 10 % 이하 또는 약 4 % 이하로 존재할 수도 있다. In certain embodiments, co-reactants are used and when the co-reactants are introduced into the chamber with a species to be converted to radicals (e.g., hydrogen), the co-reactants are converted to radicals (e.g., hydrogen). ) and a source of optional carrier gas(s), such as helium, may be provided to the reaction chamber in relatively small amounts compared to other gases in the reaction chamber. For example, the co-reactant may be present in the process gases at less than about 0.05% by mass, or less than about 0.01% by mass, or less than about 0.001% by mass. For example, the reactant mixture (entering the plasma source) may contain about 10 to 20 liters per minute (L/m) of He, about 200 to 500 standard cubic centimeters per minute (sccm) of H 2 , and about 1 to 10 liters per minute (sccm) of H 2 . It could be sccm oxygen. When the co-reactants are introduced into the reaction chamber with the silicon-containing precursor (e.g., via a showerhead), the co-reactants are present at higher concentrations, e.g., about 2% or less or about 0.1% or less. It may exist as When the co-reactant is a relatively weak reactant (e.g., a weak oxidizing agent such as carbon dioxide), it may be present at much higher concentrations, such as up to about 10% or up to about 4%.

기판 (100) 에 인접한 환경의 온도는 증착 반응을 용이하게 하는 임의의 적합한 온도일 수 있지만, 때때로 실리콘 카바이드 막 (101) 을 포함하는 디바이스의 적용에 의해 제한된다. 일부 실시예들에서, 기판 (100) 에 인접한 환경의 온도는 주로 실리콘 카바이드 막 (101) 의 증착 동안 상부에 기판 (100) 이 지지되는, 페데스탈의 온도에 의해 제어될 수 있다. 일부 실시예들에서, 동작 온도는 약 50 ℃ 내지 약 500 ℃일 수 있다. 예를 들어, 동작 온도는 많은 IC 애플리케이션들에서 약 250 ℃ 내지 약 400 ℃일 수 있다. 일부 실시예들에서, 온도를 상승시키는 것은 기판 표면 상에서 증가된 교차-결합을 야기할 수 있다.The temperature of the environment adjacent to the substrate 100 can be any suitable temperature that facilitates the deposition reaction, but is sometimes limited by the application of the device comprising the silicon carbide film 101. In some embodiments, the temperature of the environment adjacent to the substrate 100 may be controlled primarily by the temperature of the pedestal on which the substrate 100 is supported during deposition of the silicon carbide film 101. In some embodiments, the operating temperature may be from about 50°C to about 500°C. For example, operating temperatures can range from about 250°C to about 400°C for many IC applications. In some embodiments, increasing temperature can cause increased cross-linking on the substrate surface.

기판 (100) 에 인접한 환경의 압력은 반응 챔버 내에서 임의의 반응성 라디칼들을 생성하기 적합한 압력일 수 있다. 일부 실시예들에서, 압력은 약 35 Torr 이하일 수 있다. 예를 들어, 압력은 마이크로파 생성된 플라즈마를 구현하는 실시예들에서와 같이, 약 10 Torr 내지 약 20 Torr일 수 있다. 다른 예들에서, 압력은 RF (radio-frequency) 생성된 플라즈마를 구현하는 실시예들에서와 같이, 약 5 Torr 미만, 또는 약 0.2 Torr 내지 약 5 Torr일 수 있다. The pressure of the environment adjacent to the substrate 100 may be a pressure suitable to generate any reactive radicals within the reaction chamber. In some embodiments, the pressure may be about 35 Torr or less. For example, the pressure may be about 10 Torr to about 20 Torr, such as in embodiments implementing microwave generated plasma. In other examples, the pressure may be less than about 5 Torr, or between about 0.2 Torr and about 5 Torr, such as in embodiments implementing radio-frequency (RF) generated plasma.

도 1b 내지 도 1d는 다양한 애플리케이션들에서 실리콘 카바이드 막들을 포함하는 구조체들의 단면도들을 예시한다. 도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상의 실리콘 카바이드 수직 구조체들을 예시한다. 도 1c는 에어 갭 타입 금속화 층에서 구리 라인들의 노출된 측벽들 상의 실리콘 카바이드 수직 구조체들을 예시한다. 도 1d는 다공성 유전체 재료들에 대한 실리콘 카바이드 포어 시일제를 예시한다. 이들 애플리케이션들 각각은 이하에 더 상세히 논의된다. 1B-1D illustrate cross-sectional views of structures containing silicon carbide films in various applications. 1B illustrates silicon carbide vertical structures on the sidewalls of the gate electrode structure of a transistor. 1C illustrates silicon carbide vertical structures on the exposed sidewalls of copper lines in an air gap type metallization layer. Figure 1D illustrates a silicon carbide pore seal for porous dielectric materials. Each of these applications is discussed in more detail below.

전구체들의 화학적 구조Chemical structures of precursors

논의된 바와 같이, 실리콘 카바이드 막들을 형성하는데 채용된 전구체들은 적어도 하나의 Si-H 결합 및/또는 적어도 하나의 Si-Si 결합을 갖는 적어도 일부의 실리콘-함유 전구체들을 갖는 실리콘-함유 전구체들을 포함할 수 있다. 특정한 실시예들에서, 실리콘-함유 전구체는 실리콘 원자마다 최대 하나의 수소 원자를 갖는다. 따라서, 예를 들어, 하나의 실리콘 원자를 갖는 전구체는 실리콘 원자에 결합된 최대 하나의 수소 원자를 갖고; 2 개의 실리콘 원자들을 갖는 전구체는 하나의 실리콘 원자에 결합된 하나의 수소 원자 및 선택가능하게 제 2 실리콘 원자에 결합된 또 다른 수소 원자를 갖고; 3개의 실리콘 원자들을 갖는 전구체는 하나의 실리콘 원자에 결합된 적어도 하나의 수소 원자 및 선택가능하게 1 또는 2 이상의 남아 있는 실리콘 원자들에 결합된 1 또는 2 이상의 수소 원자들을 갖고, 이렇게 계속된다. 이에 더하여, 실리콘-함유 전구체들은 적어도 하나의 Si-O 결합, 적어도 하나의 Si-N 결합, 및/또는 적어도 하나의 Si-C 결합을 포함할 수도 있다. 임의의 수의 적절한 전구체들이 실리콘 카바이드 막들을 형성에 사용될 수 있지만, 전구체들 중 적어도 일부는 적어도 하나의 Si-H 결합 또는 Si-Si 결합, 및 선택가능하게 적어도 하나의 Si-O 결합, Si-N 결합, 및/또는 Si-C 결합을 갖는 실리콘-함유 전구체들을 포함할 것이다. 다양한 구현예들에서, 실리콘-함유 전구체(들)는 O-C 또는 N-C 결합들을 포함하지 않고; 예를 들어, 전구체(들)는 알콕시 (-O-R) 를 포함하지 않고, 여기서 R은 하이드로카본기 (hydrocarbon group), 또는 아민 (-NR1R2) 기들과 같은 유기기이고, R1 및 R2는 독립적으로 수소기 또는 유기기이다.As discussed, precursors employed to form silicon carbide films may include silicon-containing precursors with at least some silicon-containing precursors having at least one Si-H bond and/or at least one Si-Si bond. You can. In certain embodiments, the silicon-containing precursor has at most one hydrogen atom per silicon atom. Thus, for example, a precursor having one silicon atom will have at most one hydrogen atom bonded to the silicon atom; A precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to a second silicon atom; A precursor with three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or two more hydrogen atoms bonded to one or two or more remaining silicon atoms, and so on. Additionally, the silicon-containing precursors may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Any number of suitable precursors can be used to form silicon carbide films, but at least some of the precursors have at least one Si-H bond or Si-Si bond, and optionally at least one Si-O bond, Si- It will include silicon-containing precursors having N bonds, and/or Si-C bonds. In various embodiments, the silicon-containing precursor(s) do not contain OC or NC linkages; For example, the precursor(s) does not include an alkoxy (-OR), where R is a hydrocarbon group, or an organic group such as an amine (-NR 1 R 2 ) group, and R 1 and R 2 is independently a hydrogen group or an organic group.

특정한 실시예들에서, 실리콘 카바이드 막에 제공된 적어도 일부 탄소는 실리콘-함유 전구체 상의 하나 이상의 하이드로카본 모이어티들 (moieties) 에 의해 제공된다. 이러한 모이어티들은 알킬기들, 알켄기들, 알킨기들, 아릴기들, 등으로부터의 모이어티들일 수도 있다. 특정한 실시예들에서, 하이드로카본기는 증착 동안 Si-H 및/또는 Si-Si 결합 분열 반응의 입체 장애 (steric hindrance) 를 최소화하기 위해 단일 탄소 원자를 갖는다. 그러나, 전구체들은 단일-탄소기들로 제한되지 않고, 보다 많은 수의 탄소 원자들이 2, 3, 4, 5, 또는 6 개의 탄소 원자들과 같이 사용될 수도 있다. 특정한 실시예들에서, 하이드로카본기는 선형이다. 특정한 실시예들에서, 하이드로카본기는 고리형이다. In certain embodiments, at least some of the carbon provided to the silicon carbide film is provided by one or more hydrocarbon moieties on the silicon-containing precursor. These moieties may be moieties from alkyl groups, alkene groups, alkyne groups, aryl groups, etc. In certain embodiments, the hydrocarbon group has a single carbon atom to minimize steric hindrance of Si-H and/or Si-Si bond cleavage reactions during deposition. However, precursors are not limited to single-carbon groups, and larger numbers of carbon atoms may be used, such as 2, 3, 4, 5, or 6 carbon atoms. In certain embodiments, the hydrocarbon group is linear. In certain embodiments, the hydrocarbon group is cyclic.

일부 실시예들에서, 실리콘-함유 전구체는 화학적 부류로 나눠진다. 실리콘-함유 전구체들의 다른 화학적 부류들이 채용될 수도 있고 실리콘-함유 전구체들은 이하에 논의된 화학적 부류들로 제한되지 않는다는 것이 이해될 것이다.In some embodiments, silicon-containing precursors are divided into chemical classes. It will be understood that other chemical classes of silicon-containing precursors may be employed and that the silicon-containing precursors are not limited to the chemical classes discussed below.

일부 실시예들에서, 실리콘-함유 전구체는 실록산일 수 있다. 일부 실시예들에서, 실록산은 고리형일 수도 있다. 고리형 실록산류는 사이클로테트라실록산류 (cyclotetrasiloxanes), 예컨대 2,4,6,8-테트라메틸사이클로테트라실록산 (TMCTS: 2,4,6,8-tetramethylcyclotetrasiloxane), 옥타메틸사이클로테트라실록산 (OMCTS: octamethylcyclotetrasiloxane), 및 헵타메틸사이크로테트라실록산 (HMCTS: heptamethylcyclotetrasiloxane) 을 포함할 수도 있다. 다른 고리형 실록산류는 또한 이로 제한되는 것은 아니지만, 사이클로트리실록산류 및 사이클로펜타실록산류를 포함할 수 있다. 고리형 실록산류를 사용하는 실시예들은, 링의 반경에 대응하는 포어들의 사이즈를 갖는, 산소 도핑된 실리콘 카바이드 막에 다공성을 도입할 수 있는 링 구조들이다. 예를 들어, 사이클로테트라실록산 링은 약 6.7 Å의 반경을 가질 수 있다.In some embodiments, the silicon-containing precursor can be a siloxane. In some embodiments, the siloxane may be cyclic. Cyclic siloxanes include cyclotetrasiloxanes, such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS: 2,4,6,8-tetramethylcyclotetrasiloxane) and octamethylcyclotetrasiloxane (OMCTS: octamethylcyclotetrasiloxane). ), and heptamethylcyclotetrasiloxane (HMCTS: heptamethylcyclotetrasiloxane). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are ring structures that can introduce porosity into an oxygen-doped silicon carbide film, with the size of the pores corresponding to the radius of the ring. For example, a cyclotetrasiloxane ring may have a radius of about 6.7 Å.

일부 실시예들에서, 실록산은 3차원 구조 또는 케이지된 구조를 가질 수도 있다. 도 2는 대표적인 케이지된 실록산 전구체들의 예들을 예시한다. 케이지된 실록산들은 다면체 또는 임의의 3-D 구조체를 형성하기 위해 산소 원자들을 통해 서로 브리지된 (bridged) 실리콘 원자들을 갖는다. 케이지된 실록산 전구체 분자의 일 예는 실세스퀴녹산 (silsesquioxane) 이다. 케이지된 실록산 구조체들은 Cleemput 등이 공동으로 소유한 미국 특허 제 6,576,345 호에 더 상세히 기술되고, 전체 내용이 모든 목적들을 위해 참조로서 본 명세서에 인용된다. 고리형 실록산류와 같이, 케이지된 실록산은 산소 도핑된 실리콘 카바이드 막에 다공성을 도입할 수 있다. 일부 실시예들에서, 다공성 크기는 메조포러스 (mesoporous) 이다.In some embodiments, the siloxane may have a three-dimensional or caged structure. Figure 2 illustrates examples of representative caged siloxane precursors. Caged siloxanes have silicon atoms bridged to each other through oxygen atoms to form a polyhedron or arbitrary 3-D structure. One example of a caged siloxane precursor molecule is silsesquioxane. Caged siloxane structures are described in more detail in commonly owned U.S. Patent No. 6,576,345 to Cleemput et al., the entire contents of which are incorporated herein by reference for all purposes. Like cyclic siloxanes, caged siloxanes can introduce porosity into oxygen-doped silicon carbide films. In some embodiments, the porosity size is mesoporous.

일부 실시예들에서, 실록산은 선형일 수도 있다. 적합한 선형 실록산류의 예들은 이로 제한되는 것은 아니지만, 디실록산류, 예컨대 펜타메틸디실록산 (PMDSO: pentamethyldisiloxane) 및 테트라디메틸디실록산 (TMDSO: tetramethyldisiloxane), 및 트리실록산류, 예컨대 헥사메틸트리실록산, 헵타메틸트리실록산을 포함한다.In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to, disiloxanes such as pentamethyldisiloxane (PMDSO) and tetramethyldisiloxane (TMDSO), and trisiloxanes such as hexamethyltrisiloxane, heptamethyldisiloxane, Contains methyltrisiloxane.

일부 실시예들에서, 실리콘-함유 전구체는 알킬 실란일 수 있고 또는 다른 하이드로카본-치환된 실란일 수 있다. 알킬 실란류는 중심 실리콘 원자에 결합된 하나 이상의 알킬기들 뿐만 아니라 중심 실리콘 원자에 결합된 하나 이상의 수소 원자들을 갖는 중심 실리콘 원자를 포함한다. 특정한 실시예들에서, 임의의 하나 이상의 알킬기들은 1 내지 5 개의 탄소 원자들을 함유한다. 하이드로카본기들은 포화될 수도 있고 또는 불포화될 (예를 들어, 알켄 (예를 들어, 비닐) 기, 알킨기, 및 방향족들) 수도 있다. 예들은 이로 제한되는 것은 아니지만, 트리메틸실란 (3MS: trimethylsilane), 트리에틸실란 (triethylsilane), 펜타메틸 디실라메탄 (pentamethyl disilamethane) ((CH3)2Si-CH2-Si(CH3)3), 및 디메틸실란 (2MS: dimethylsilane) 을 포함한다.In some embodiments, the silicon-containing precursor may be an alkyl silane or other hydrocarbon-substituted silane. Alkyl silanes contain a central silicon atom with one or more hydrogen atoms bonded to the central silicon atom as well as one or more alkyl groups bonded to the central silicon atom. In certain embodiments, any one or more alkyl groups contain 1 to 5 carbon atoms. Hydrocarbon groups may be saturated or unsaturated (e.g., alkene (e.g., vinyl) groups, alkyne groups, and aromatics). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyl disilamethane ((CH 3 ) 2 Si-CH 2 -Si(CH 3 ) 3 ) , and dimethylsilane (2MS: dimethylsilane).

일부 실시예들에서, 실리콘-함유 전구체는 알콕시 실록산일 수 있다. 알콕시 실록산류는 중심 실리콘 원자에 결합된 하나 이상의 알콕시 기들 및 중심 실리콘 원자에 결합된 하나 이상의 수소 원자들을 갖는 중심 실리콘 원자를 포함한다. 예들은 이로 제한되는 것은 아니지만, TMOS (trimethoxysilane), DMOS (dimethoxysilane), MOS (methoxysilane), MDMOS (methyldimethoxysilane), DEMS (diethyoxymethylsilane), DMES (dimethylethoxysilane), 및 DMMOS (dimethylmethoxysilane) 을 포함한다.In some embodiments, the silicon-containing precursor can be an alkoxy siloxane. Alkoxy siloxanes contain a central silicon atom with one or more alkoxy groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. Examples include, but are not limited to, trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethyoxymethylsilane (DEMS), dimethylethoxysilane (DMES), and dimethylmethoxysilane (DMMOS).

부가적으로, 디실란류, 트리실란류, 또는 다른 보다 고차 실란류가 모노실란류 대신 사용될 수도 있다. 알킬 실란 부류로부터 이러한 디실란의 일 예는 헥사메틸디실란 HMDS (hexamethyldisilane) 이다. 알킬 실란 부류로부터 디실란의 또다른 예는 PMDS (pentamethyldisilane) 를 포함할 수 있다. 다른 타입들의 알킬 실란류는 실리콘 원자에 결합 탄소뿐만 아니라 실리콘 원자에 결합된 알킬기들을 갖는 분기된 (branched) 고분자 구조를 가질 수 있는, 알킬카보실란류를 포함할 수 있다. 예들은 DTMSM (dimethyl trimethylsilyl methane) 및 BDMSE (bis-dimethylsilyl ethane) 을 포함한다. 일부 실시예들에서, 실리콘 원자들 중 하나는 실리콘 원자에 부착된 탄소-함유기 또는 하이드로카본-함유기를 가질 수 있고, 실리콘 원자들 중 하나는 실리콘 원자에 부착된 수소 원자를 가질 수 있다. Additionally, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. One example of such a disilane from the alkyl silane class is hexamethyldisilane (HMDS). Another example of a disilane from the alkyl silane class may include pentamethyldisilane (PMDS). Other types of alkyl silanes can include alkylcarbosilane, which can have a branched polymer structure with alkyl groups bonded to silicon atoms as well as carbon bonded to silicon atoms. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE). In some embodiments, one of the silicon atoms may have a carbon-containing group or a hydrocarbon-containing group attached to the silicon atom, and one of the silicon atoms may have a hydrogen atom attached to the silicon atom.

실리콘 카바이드 증착시, 복수의 실리콘-함유 전구체들이 프로세스 가스 내에 존재할 수 있다. 예를 들어, 실록산 및 알킬 실란은 함께 사용될 수도 있고, 또는 실록산 및 알콕시 실록산은 함께 사용될 수도 있다. 개별 전구체들의 상대적인 비율들은 선택된 전구체들의 화학적 구조들 및 발생되는 실리콘 카바이드 막의 애플리케이션에 기초하여 선택될 수 있다. 예를 들어, 실록산의 양은 이하에 보다 상세히 논의된 바와 같이 다공성 막을 생성하도록 몰 백분율로 실란의 양보다 클 수 있다. When depositing silicon carbide, multiple silicon-containing precursors may be present in the process gas. For example, siloxanes and alkyl silanes may be used together, or siloxanes and alkoxy siloxanes may be used together. Relative proportions of individual precursors may be selected based on the chemical structures of the selected precursors and the application of the resulting silicon carbide film. For example, the amount of siloxane can be greater than the amount of silane by mole percentage to create a porous membrane, as discussed in more detail below.

산소 도핑된 실리콘 카바이드 막들을 증착하기 위해, 적합한 전구체들의 예들은 사이클로테트라실록산류와 같은 고리형 실록산류 예컨대 HMCTS (heptamethylcyclotetrasiloxane) 및 테트라메틸사이클로테트라 실록산을 포함한다. 다른 고리형 실록산류는 또한 이로 제한되는 것은 아니지만, 사이클로트리실록산류 및 사이클로펜타실록산류를 포함할 수 있다. 산소 도핑된 실리콘 카바이드 막들을 증착하기 위해, 적합한 전구체들의 다른 예들은 이로 제한되지 않지만, 디실록산류와 같은 선형 실록산류, 예컨대 PMDSO (pentamethyldisiloxane), TMDSO (tetramethyldisiloxane), 헥사메틸트리실록산, 및 헵타메틸트리실록산을 포함한다.For depositing oxygen-doped silicon carbide films, examples of suitable precursors include cyclic siloxanes such as cyclotetrasiloxanes, such as heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane. Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. For depositing oxygen-doped silicon carbide films, other examples of suitable precursors include, but are not limited to, linear siloxanes such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyltrisiloxane, and heptamethyl Contains trisiloxane.

설명된 바와 같이, 실리콘-함유 전구체들은 매우 컨포멀한 실리콘 카바이드 막들을 제공하도록 선택된다. 저 부착 계수들을 갖는 실리콘-함유 전구체들은 매우 컨포멀한 막들을 생성할 수 있다고 여겨진다. "부착 계수"는 동일한 시간 기간 동안 표면 상에 충돌하는 종의 총 수와 비교하여, 표면에 흡착/부착하는 흡착 종 (예를 들어, 단편들 또는 분자들) 의 수의 비를 기술하기 위해 사용된 용어이다. 심볼 Sc는 때때로 부착 계수를 지칭하도록 사용된다. Sc의 값은 0 (어떠한 종도 부착되지 않음을 의미) 과 1 (충돌하는 모든 종이 부착됨을 의미) 사이이다. 다양한 인자들은 충돌하는 종의 타입, 표면 온도, 표면 커버리지, 표면의 구조적 상세들, 및 충돌하는 종의 운동 에너지를 포함하는 부착 계수에 영향을 준다. 특정한 종은 본질적으로 다른 종들보다 "끈적하고 (sticky)", 이 종이 표면 상에 충돌할 때마다 표면 상에 보다 잘 흡착하게 한다. 이들 보다 끈적한 종은 (모든 다른 인자들은 동일하고) 보다 큰 부착 계수들을 갖고, 보다 낮은 부착 계수들을 갖는 보다 덜 끈적한 종과 비교하여 리세스된 피처의 입구 (entrance) 근방에 보다 잘 흡착한다. 일부 경우들에서, (관련된 증착 조건들에서) 전구체들의 부착 계수는 약 0.05 이하, 예를 들어, 약 0.001 이하일 수도 있다. As explained, silicon-containing precursors are selected to provide highly conformal silicon carbide films. It is believed that silicon-containing precursors with low adhesion coefficients can produce highly conformal films. “Attachment coefficient” is used to describe the ratio of the number of adsorbed species (e.g. fragments or molecules) that adsorb/attach to a surface compared to the total number of species impinging on the surface during the same period of time. It is an established term. The symbol S c is sometimes used to refer to the attachment coefficient. The value of S c is between 0 (meaning no species is attached) and 1 (meaning all conflicting species are attached). Various factors affect the adhesion coefficient, including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species. Certain species are inherently more "sticky" than others, making them more likely to adhere to a surface whenever they hit it. These stickier species (all other factors being equal) have higher adhesion coefficients and are better at adsorbing near the entrance of the recessed feature compared to less sticky species which have lower adhesion coefficients. In some cases, the attachment coefficient of the precursors (at relevant deposition conditions) may be less than or equal to about 0.05, such as less than or equal to about 0.001.

등급화된 실리콘 카바이드 막Graded Silicon Carbide Membrane

기술 노드들은 IC 제작 산업계에서 계속해서 축소된다. 기술 노드 각각을 사용하여, 디바이스 기하구조들은 축소되고 피치는 보다 작아진다. 이러한 기술 노드들의 고 종횡비 갭들은 절연 재료로 충진되어야 할 수도 있고, 이러한 절연 재료는 저 유전 상수 (low-k) 를 갖는다. 반도체 집적 동작들은 로우-k 유전체 재료들을 사용하여 고 종횡비 갭들을 충진하는 것을 수반할 수도 있다. 이는 STI (shallow trench isolation), 금속-간 유전체 층들, 패시베이션 층들, 등에 해당한다. Technology nodes continue to shrink in the IC fabrication industry. With each technology node, device geometries shrink and the pitch becomes smaller. High aspect ratio gaps in these technology nodes may need to be filled with insulating material, which has a low dielectric constant (low-k). Semiconductor integration operations may involve filling high aspect ratio gaps using low-k dielectric materials. This corresponds to STI (shallow trench isolation), inter-metal dielectric layers, passivation layers, etc.

예를 들어, 45-㎚ 기술 노드로부터 14-㎚ 기술 노드로 가면, 디바이스 피처들이 측방향에서 축소되어 도전성 재료들이 점점 가까워진다. 도전성 재료들이 점점 가까워짐에 따라, 원치 않은 도전성 커플링이 발생할 수도 있고, 이는 기생 커패시턴스, 신호 전파시 지연, 및 용량성 효과들로 인한 신호 크로스토크 (crosstalk) 를 야기할 수 있다. 그러나, 기술 노드들이 보다 작아짐에 따라, 도전성 상호접속부들의 ILD (interlayer dielectric) 와 같은 로우-k 재료들은 기생 커패시턴스, 신호 지연, 및 신호 크로스토크를 감소시킬 수 있다. finFET (fin field effect transistor) 구조들 및 DRAM (dynamic random-access memory) 비트 구조들을 포함하여, 일부 애플리케이션들은 측벽 스페이서 재료들과 같은 로우-k 재료들을 필요로 한다. For example, going from a 45-nm technology node to a 14-nm technology node, device features shrink laterally, bringing the conductive materials closer together. As the conductive materials become closer together, unwanted conductive coupling may occur, which can cause parasitic capacitance, delay in signal propagation, and signal crosstalk due to capacitive effects. However, as technology nodes become smaller, low-k materials such as interlayer dielectric (ILD) of conductive interconnects can reduce parasitic capacitance, signal delay, and signal crosstalk. Some applications require low-k materials, such as sidewall spacer materials, including fin field effect transistor (finFET) structures and dynamic random-access memory (DRAM) bit structures.

실리콘 나이트라이드 (Si3N4) 는 종종 이의 단차 커버리지, 열적 안정성, 에칭-능력 및 에칭 내성, 및 고 브레이크다운 전압들 때문에 많은 IC 애플리케이션들에서 절연 재료로서 사용된다. 그러나, 약 7 내지 8의 실리콘 나이트라이드의 유전 상수는 기술 노드들이 보다 작아짐에 따라 매우 높을 수도 있다. Silicon nitride (Si 3 N 4 ) is often used as an insulating material in many IC applications because of its step coverage, thermal stability, etch-ability and etch resistance, and high breakdown voltages. However, the dielectric constant of silicon nitride, around 7 to 8, may become very high as technology nodes become smaller.

실리콘 옥사이드 (SiO2) 는 약 4.0인 보다 낮은 유전 상수를 갖고, 도전성 상호접속부들의 층간 유전체로서 커패시턴스의 상당한 감소를 제공할 수 있다. 그러나, 실리콘 옥사이드는 디바이스 집적 플로우의 에칭 동작들에 대해 충분한 레지스턴스 또는 선택도를 갖지 않을 수도 있다.Silicon oxide (SiO 2 ) has a lower dielectric constant of about 4.0 and can provide significant reduction in capacitance as an interlayer dielectric in conductive interconnects. However, silicon oxide may not have sufficient resistance or selectivity to the etch operations of the device integration flow.

도핑된 실리콘 카바이드 재료들을 포함하는, 실리콘 카바이드 재료들은 저 유전 상수, 뿐만 아니라 단차 커버리지, 열적 안정성, 습식 에칭 내성, 옥사이드/나이트라이드에 대한 건식 에칭 선택도 및 고 브레이크다운 전압들도 역시 제공하는, IC 애플리케이션들에서 절연 재료들로서 역할을 할 수도 있다. 산소 원자들 및/또는 질소 원자들의 통합은 실리콘 카바이드 재료들의 속성들을 튜닝할 수도 있다. 일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 저 유전 상수, 디바이스 집적 동작들을 견디기 위한 습식 에칭 내성, 및 옥사이드/나이트라이드에 대한 건식 에칭 선택도를 제공하는 IC 애플리케이션들에서 절연 재료로서 역할을 할 수 있다.Silicon carbide materials, including doped silicon carbide materials, provide not only low dielectric constant, but also step coverage, thermal stability, wet etch resistance, dry etch selectivity to oxide/nitride and high breakdown voltages. It may also serve as insulating materials in IC applications. Incorporation of oxygen atoms and/or nitrogen atoms may tune the properties of silicon carbide materials. In some embodiments, oxygen-doped silicon carbide films can serve as insulating materials in IC applications providing low dielectric constant, wet etch resistance to withstand device integration operations, and dry etch selectivity to oxides/nitrides. You can.

그러나, 산소 도핑된 실리콘 카바이드의 단일 층 또는 막은 저 유전 상수 및 고 에칭 내성 모두를 소지하는 (possess) 것을 포함하는, 목표된 속성들을 모두 소지하지 않을 수도 있다. 일부 실시예들에서, 다층 스택이 제공될 수 있어서, 층 각각은 상이한 속성들을 갖고, 다층 스택은 IC 애플리케이션들에서 절연 재료로서 역할을 한다. 예를 들어, 산소 도핑된 실리콘 카바이드 막은 다층 스택의 가변하는 양의 탄소 함량을 가질 수 있다. 다층 스택은 보호 층 및 저 유전 상수 (예를 들어, k < 4.0) 를 갖는 하단 층으로서 역할을 하도록 습식 에칭 내성, 옥사이드/나이트라이드에 대한 건식 에칭 선택도, 및 열적 안정성을 갖는 상단 층을 제공할 수 있다. 상단 층은 습식 에칭 내성, 스팀 어닐 (steam anneal) 에 대한 고 내성, 애싱 (ash) 및 스트립 (strip) 에 대한 고 내성, 옥사이드/나이트라이드에 대한 고 건식 에칭 선택도, 및 고 열적 안정성을 제공하기 위해 고 탄성 함량을 가질 수도 있다. 하단 층은 저 유전 상수 및 우수한 전기적 속성들, 예컨대 고 브레이크다운 전압 및 저 누설 전류를 제공하기 위해 저 탄소 함량을 가질 수도 있다. 중간 층들이 그 사이에 형성될 수도 있다. 층 각각은 상이한 전구체를 선택함으로써 형성될 수도 있고, 이 전구체는 실리콘, 탄소, 및 산소의 상대적인 농도에 기초하여 선택될 수 있다. 고 탄소 함량을 갖는 층이 보다 많은 Si-C 결합들을 갖는 실리콘-함유 전구체를 채용할 수 있고, 저 탄소 함량을 갖는 층은 보다 적은 Si-C 결합들을 갖는 실리콘-함유 전구체를 채용할 수도 있다. 대안적으로, 층 각각은 실리콘-함유 전구체의 플로우 레이트에 대해 공-반응물질 가스의 플로우 레이트를 변화시킴으로써 형성될 수도 있다. 또는, 층 각각은 실리콘-함유 전구체의 플로우 레이트를 변화시킴으로써 형성될 수도 있다. 따라서, 층 조성 튜닝이 적절한 전구체 선택 또는 전구체 가스 플로우 레이트에 대한 공-반응물질 비 선택에 의해 이루어질 수 있다. However, a single layer or film of oxygen-doped silicon carbide may not possess all of the desired properties, including possessing both a low dielectric constant and high etch resistance. In some embodiments, a multilayer stack may be provided, where each layer has different properties, and the multilayer stack serves as an insulating material in IC applications. For example, oxygen-doped silicon carbide films can have varying amounts of carbon content in a multilayer stack. The multilayer stack provides a top layer with wet etch resistance, dry etch selectivity to oxides/nitrides, and thermal stability to serve as a protective layer and a bottom layer with a low dielectric constant (e.g., k < 4.0). can do. The top layer provides wet etch resistance, high resistance to steam anneal, high resistance to ash and strip, high dry etch selectivity to oxides/nitrides, and high thermal stability. It may have a high elastic content in order to do so. The bottom layer may have a low carbon content to provide a low dielectric constant and excellent electrical properties, such as high breakdown voltage and low leakage current. Intermediate layers may be formed in between. Each layer may be formed by selecting a different precursor, which may be selected based on the relative concentrations of silicon, carbon, and oxygen. A layer with a high carbon content may employ a silicon-containing precursor with more Si-C bonds, and a layer with a low carbon content may employ a silicon-containing precursor with fewer Si-C bonds. Alternatively, each layer may be formed by varying the flow rate of the co-reactant gas relative to the flow rate of the silicon-containing precursor. Alternatively, each layer may be formed by varying the flow rate of the silicon-containing precursor. Accordingly, layer composition tuning can be achieved by appropriate precursor selection or co-reactant ratio selection to precursor gas flow rate.

복수의 개별 층들 대신, 실리콘 카바이드 막은 제 1 표면으로부터 제 1 표면의 맞은 편인 제 2 표면으로 등급화될 수 있다. 일부 실시예들에서, 제 1 표면 및 제 2 표면은 각각 하단 표면 및 상단 표면을 나타낼 수도 있다. 일부 실시예들에서, 제 1 표면 및 제 2 표면은 각각 상단 표면 및 하단 표면을 나타낼 수도 있다. 일부 실시예들에서, 실리콘 카바이드 막이 측벽 스페이서이면, 제 1 표면은 도전성 상호접속부들과 마주볼 수도 있고, 제 2 표면은 후속하는 디바이스 집적 동작들에 노출될 수도 있다. 등급화된 실리콘 카바이드 막은 제 1 표면으로부터 제 2 표면으로 조성 변화도를 가질 수 있다. 조성 변화도는 등급화된 실리콘 카바이드 막의 두께에 걸쳐 제공될 수 있다. 이에 따라, 등급화된 실리콘 카바이드 막의 속성들은 막의 두께에 걸쳐 점진적으로 변화할 수 있다.Instead of a plurality of separate layers, the silicon carbide film can be graded from a first surface to a second surface opposite the first surface. In some embodiments, the first surface and the second surface may represent a bottom surface and a top surface, respectively. In some embodiments, the first surface and the second surface may represent a top surface and a bottom surface, respectively. In some embodiments, if the silicon carbide film is a sidewall spacer, the first surface may face the conductive interconnects and the second surface may be exposed to subsequent device integration operations. The graded silicon carbide film can have a compositional gradient from the first surface to the second surface. Compositional gradients can be provided across the thickness of the graded silicon carbide film. Accordingly, the properties of graded silicon carbide films can change gradually over the thickness of the film.

가변하는 조성 및 속성들을 갖는 복수의 개별 층들은 등급화된 막만큼 튼튼하지 (robust) 않을 수도 있고, 이질적인 (heterogeneous) 다층 스택에서 보다 많은 계면 문제들을 가질 수도 있다. 복수이 개별 층들은 실패 가능성을 방지하기 위해 보호층의 두께를 신중하게 타깃팅할 것을 필요로 할 수도 있다. 즉, 고 탄소 농도를 갖는 실리콘 카바이드 층이 저 탄소 농도를 갖는 실리콘 카바이드 층 위에서 보호 층으로서 역할을 한다면, 보호 층의 두께는 디바이스 집적 동작들을 견디도록 신중하게 선택되고, 그렇지 않으면 저 탄소 농도를 갖는 실리콘 카바이드 층은 견디지 못할 수도 있다. 그러나, 등급화된 실리콘 카바이드 막은 다층 실리콘 카바이드 막보다 높은 보호를 위해 보다 많은 버퍼 구역을 제공할 수도 있다. 그럼에도 불구하고, 다층 실리콘 카바이드 막의 복수의 개별 층들은 보다 많은 다층 실리콘 카바이드 막이 저 탄소 농도를 가질 수 있기 때문에, 전기적 속성들의 측면에서 (예를 들어, 저 유전 상수) 동일하게 절충되지 않을 수도 있다.Multiple individual layers with varying compositions and properties may not be as robust as graded films and may have more interfacial problems in heterogeneous multilayer stacks. Multiple individual layers may require careful targeting of the thickness of the protective layer to prevent the possibility of failure. That is, if a silicon carbide layer with a high carbon concentration acts as a protective layer over a silicon carbide layer with a low carbon concentration, the thickness of the protective layer is carefully selected to withstand device integration operations; The silicon carbide layer may not hold up. However, graded silicon carbide films may provide more buffer zones for higher protection than multilayer silicon carbide films. Nonetheless, multiple individual layers of a multilayer silicon carbide film may not be equally compromised in terms of electrical properties (eg, low dielectric constant) since more multilayer silicon carbide films may have lower carbon concentrations.

도 4는 기판 위에 증착된 예시적인 등급화된 실리콘 카바이드 막의 단면을 예시한다. 등급화된 실리콘 카바이드 막 (401) 의 다양한 양태들은 조성 변화도를 갖는 등급화된 구조체들에 적용될 뿐만 아니라, 이러한 양태들은 다층 구조체들에 또한 적용될 수도 있다는 것이 이해되어야 한다. Figure 4 illustrates a cross-section of an exemplary graded silicon carbide film deposited on a substrate. It should be understood that the various aspects of the graded silicon carbide film 401 not only apply to graded structures with compositional gradients, but that these aspects may also apply to multilayer structures.

등급화된 실리콘 카바이드 막 (401) 은 본 개시에 기술된 리모트 플라즈마 기반 증착 프로세스를 사용하여 기판 (400) 상에 증착될 수 있다. 기판 (400) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 IC, 인쇄 회로 기판, 디스플레이 스크린, 또는 다른 적절한 워크 피스일 수 있다. 등급화된 실리콘 카바이드 막 (401) 은 기판과 마주보는 제 1 표면 (402) 및 제 1 표면 (402) 의 맞은 편인 제 2 표면 (403) 을 갖는다. 본 개시의 등급화된 실리콘 카바이드 막 (401) 은 이하에 보다 상세히 논의된, 도 1b 내지 도 1d에 도시된 구조체들을 포함하여, 다양한 애플리케이션들에서 구현될 수 있다. Graded silicon carbide film 401 can be deposited on substrate 400 using the remote plasma-based deposition process described in this disclosure. Substrate 400 may be any wafer, semiconductor wafer, partially fabricated IC, printed circuit board, display screen, or other suitable work piece. The graded silicon carbide film 401 has a first surface 402 facing the substrate and a second surface 403 opposite the first surface 402. The graded silicon carbide film 401 of the present disclosure can be implemented in a variety of applications, including the structures shown in FIGS. 1B-1D, discussed in more detail below.

등급화된 실리콘 카바이드 막 (401) 은 실리콘 및 탄소, 적용가능하다면, 산소 및/또는 질소를 포함한다. 일부 실시예들에서, 실리콘의 원자 농도는 약 15 % 내지 45 % (또는 약 25 % 내지 40 %) 이고, 탄소의 원자 농도는 약 5 % 내지 50 %이고, 산소의 원자 농도는 약 0 % 내지 45 %이고, 질소의 원자 농도는 약 0 % 내지 45 %이다. 일 예에서, 막 (401) 은 모두 원자 기반으로 약 10 내지 40 %의 탄소 및 약 20 내지 40 %의 산소를 함유한다. 모든 경우들에서, 막 (401) 은 어느 정도의 수소를 함유한다. 상대적인 원자 농도들은 전구체의 선택에 따라 가변할 수 있다는 것이 이해될 것이다. 실리콘 원자들은 탄소 원자들 및 산소 원자들과의 결합들을 형성할 수 있다. 특정한 실시예들에서, 막 밀도는 약 2.0 내지 2.7 g/㎤이다. The graded silicon carbide film 401 includes silicon and carbon and, if applicable, oxygen and/or nitrogen. In some embodiments, the atomic concentration of silicon is between about 15% and 45% (or between about 25% and 40%), the atomic concentration of carbon is between about 5% and 50%, and the atomic concentration of oxygen is between about 0% and 45%, and the atomic concentration of nitrogen is about 0% to 45%. In one example, film 401 contains about 10 to 40 percent carbon and about 20 to 40 percent oxygen on an all-atomic basis. In all cases, film 401 contains some amount of hydrogen. It will be appreciated that the relative atomic concentrations may vary depending on the choice of precursor. Silicon atoms can form bonds with carbon atoms and oxygen atoms. In certain embodiments, the membrane density is about 2.0 to 2.7 g/cm3.

등급화된 실리콘 카바이드 막 (401) 의 전체 조성은 전구체의 선택, 전구체의 플로우, 및 공-반응물질 가스의 플로우에 따라 가변할 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 전구체의 내부 구조는 증착된 막 내에서 유지된다. 이 구조는 Si-H 결합들 및/또는 Si-Si 결합들이 전구체 분자들 내에 존재하는 위치들에서의 결합들을 통해 그리고/또는 충분한 열적 에너지가 제공된다면 성장하는 표면 상에서 부가적인 응결 반응들을 통해 개별 전구체 모이어티들을 결합 또는 교차-결합하는 동안, 존재한다면, 전구체 내에서 모든 또는 대부분의 Si-C 결합들, 및 Si-O 결합들 및/또는 Si-N 결합들을 보존할 수도 있다.It will be appreciated that the overall composition of the graded silicon carbide film 401 can vary depending on the choice of precursor, flow of precursor, and flow of co-reactant gas. In some embodiments, the internal structure of the precursor is maintained within the deposited film. This structure is achieved by forming individual precursors through Si-H bonds and/or bonds at positions where Si-Si bonds exist within the precursor molecules and/or through additional condensation reactions on the growing surface if sufficient thermal energy is provided. While linking or cross-linking moieties, all or most Si-C bonds, and Si-O bonds and/or Si-N bonds, if present, may be preserved in the precursor.

본 명세서에서 앞서 기술된 프로세스 조건들은 실리콘 카바이드 막이 등급화된 막인지 또는 등급화되지 않은 막인지 여부와 무관하게, 매우 컨포멀한 막 구조를 제공할 수 있다. 상대적으로 순한 프로세스 조건들이 기판의 표면에서 이온 충돌 정도를 최소화할 수 있어 증착은 등방성이다. 더욱이, 상대적으로 순한 프로세스 조건들이 이전에 증착된 층들 또는 막들의 측벽들에 부착되는 경향을 갖기 때문에 고 부착 계수들을 갖는 라디칼들의 수를 감소시킬 수 있다. 특정한 실시예들에서, 약 2:1 내지 10:1의 깊이-대-폭 종횡비에 대해, 등급화된 실리콘 카바이드 막은 약 25 % 내지 100 %, 보다 통상적으로 약 50 % 내지 100 %, 훨씬 보다 통상적으로 약 80 % 내지 100 %의 컨포멀성을 갖고 증착될 수도 있다. 컨포멀성은 피처의 하단, 측벽 또는 상단 상의 증착된 막의 평균 두께를 피처의 하단, 측벽, 또는 상단 상에 증착된 막의 평균 두께와 비교함으로써 계산될 수도 있다. 예를 들어, 컨포멀성은 측벽 상에 증착된 막의 평균 두께를 피처의 상단에서 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 계산될 수도 있다. 특정한 애플리케이션들에 대해, 약 85 % 내지 95 %의 컨포멀성이 충분하다. 일부 예들에서, 약 2:1 내지 약 4:1의 종횡비를 갖는 피처들 상에 등급화된 실리콘 카바이드를 증착하면, 컨포멀성은 적어도 약 90 %이다. 특정한 BEOL (back end of line) 프로세스들이 이 카테고리에 속한다. 일부 예들에서, 약 4:1 내지 약 6:1의 종횡비를 갖는 피처들 상에 등급화된 실리콘 카바이드를 증착하면, 컨포멀성은 적어도 약 80 %이다. 특정한 스페이서 증착 프로세스들이 이 카테고리에 속한다. 일부 예들에서, 약 7:1 내지 약 10:1 (심지어 보다 높은) 종횡비를 갖는 피처들 상에 등급화된 실리콘 카바이드를 증착하면, 컨포멀성은 적어도 약 90 %이다. 특정한 DRAM 제조 프로세스들이 이 카테고리에 속한다. The process conditions previously described herein can provide highly conformal film structures, regardless of whether the silicon carbide film is a graded or ungraded film. Relatively mild process conditions can minimize the degree of ion bombardment at the surface of the substrate, so the deposition is isotropic. Moreover, relatively mild process conditions can reduce the number of radicals with high attachment coefficients because they tend to adhere to the sidewalls of previously deposited layers or films. In certain embodiments, for depth-to-width aspect ratios of about 2:1 to 10:1, the graded silicon carbide film has a thickness of about 25% to 100%, more typically about 50% to 100%, and even more typically. It may be deposited with conformality of about 80% to 100%. Conformality may be calculated by comparing the average thickness of the film deposited on the bottom, sidewall, or top of the feature to the average thickness of the film deposited on the bottom, sidewall, or top of the feature. For example, conformality may be calculated by dividing the average thickness of the film deposited on the sidewall by the average thickness of the film deposited at the top of the feature and multiplying by 100 to obtain a percentage. For certain applications, a conformality of about 85% to 95% is sufficient. In some examples, when depositing graded silicon carbide on features having an aspect ratio of about 2:1 to about 4:1, the conformality is at least about 90%. Certain BEOL (back end of line) processes fall into this category. In some examples, when depositing graded silicon carbide on features having an aspect ratio of about 4:1 to about 6:1, the conformality is at least about 80%. Certain spacer deposition processes fall into this category. In some examples, when depositing graded silicon carbide on features having an aspect ratio of about 7:1 to about 10:1 (and even higher), the conformality is at least about 90%. Certain DRAM manufacturing processes fall into this category.

이 프로세스 조건들은 또한 고 브레이크다운 전압 및 저 누설 전류를 갖는 막 구조를 제공할 수 있다. 제한된 양의 산소 또는 질소를 재료의 실리콘 카바이드 부류에 도입함으로써, Si-H 결합들 및/또는 Si-CH2-Si 결합들에 의해 제공된 누설 경로들이 산소 또는 질소에 의해 차단될 (block) 수도 있다. 도전 모드는 저 자장 (fields) 의 Si-O 및 Si-N에서 상이할 수도 있다. 이는 상대적으로 저 유전 상수를 유지하는 동안 개선된 전기적 속성들을 제공할 수 있다. 다양한 실시예들에서, 막은 약 6.0 이하, 또는 약 5.0 이하, 또는 약 4.0 이하, 및 일부 경우들에서 약 3.5 이하, 그리고 일부 경우들에서 약 3.0 이하, 그리고 또 다른 구현예들에서 약 2.5 이하의 유효 유전 상수를 갖는다. 3.5 이하의 유효 유전 상수를 갖는 막 구조체들에 대해, 공-반응물질 가스의 적절한 플로우 레이트 및 적절한 실리콘-함유 전구체가 선택될 수 있다. 유효 유전 상수는 결합 및 밀도에 종속될 수 있다. 특정한 실시예들에서, 산소 도핑된 실리콘 카바이드 막들은 특히 탄소 함량이 상대적으로 높을 때, 5.0 이상의 유전 상수로 이루어진다. 누설 전류가 중요한 고려 사항이라면, 산소 도핑된 실리콘 카바이드 막들은 약 5.0보다 작아야 한다. 유전 상수가 작을수록, 밀폐성 및 배리어 및 열적 내성 속성들이 보다 악화된다. These process conditions can also provide a membrane structure with high breakdown voltage and low leakage current. By introducing a limited amount of oxygen or nitrogen into the silicon carbide family of materials, the leakage paths provided by Si-H bonds and/or Si-CH 2 -Si bonds may be blocked by the oxygen or nitrogen. . The conduction mode may be different for Si-O and Si-N at low magnetic fields. This can provide improved electrical properties while maintaining a relatively low dielectric constant. In various embodiments, the membrane has a density of about 6.0 or less, or about 5.0 or less, or about 4.0 or less, and in some cases, about 3.5 or less, and in some cases, about 3.0 or less, and in still other embodiments, about 2.5 or less. It has an effective dielectric constant. For film structures with an effective dielectric constant of 3.5 or less, an appropriate flow rate of co-reactant gas and an appropriate silicon-containing precursor can be selected. The effective dielectric constant can be dependent on coupling and density. In certain embodiments, oxygen-doped silicon carbide films have a dielectric constant greater than 5.0, especially when the carbon content is relatively high. If leakage current is an important consideration, oxygen-doped silicon carbide films should be less than about 5.0. The smaller the dielectric constant, the worse the hermetic and barrier and thermal resistance properties.

일부 구현예들에서, 등급화된 실리콘 카바이드 막은 산소 도핑된 실리콘 카바이드를 포함하고, 산소 도핑된 실리콘 카바이드는 일반적으로 SiOC 또는 SiCO를 지칭할 수도 있다. 본 명세서에 사용된 바와 같이, 그러나, SiOC는 SiOC 막이 SiCO 막과 동일한 화학적 구조 또는 속성들을 갖지 않기 때문에 SiCO과 동일하지 않다. SiCO 막은 본 개시의 리모트 플라즈마 기반 증착 프로세스에 의해 증착된 화학적 구조를 나타낼 수 있다. SiCO 막은 말단 CH3 결합들을 거의 포함하지 않거나 전혀 포함하지 않고, 탄소 원자들은 일반적으로 교차-결합되고 실리콘 원자들에 의해 배위 결합된다 (coordinate). 탄소 원자들, 또는 탄소 원자들의 적어도 상단한 분획은 교차-결합되고 수소 원자들 또는 산소 원자들에 의해 배위 결합되지 않는다. 이에 더하여, SiCO 막은 상대적으로 저 수소 함량을 갖는다. 반대로, SiOC 막은 복수의 말단 CH3 결합들을 포함하고, 탄소 원자들은 산소 및 수소 원자들에 의해 배위 결합된다. 탄소 원자들, 또는 탄소 원자들의 적어도 상단한 분획은 교차-결합되지 않는다. 이에 더하여, SiOC 막은 상대적으로 고 수소 함량을 갖는다. SiOC 막들은 일반적으로 SiCO 막들만큼 열적으로 안정되지 않고 화학적으로 안정되지 않는다. 일부 실시예들에서, 본 개시의 등급화된 실리콘 카바이드 막은 등급화된 SiCO 막이라는 것이 이해된다.In some implementations, the graded silicon carbide film includes oxygen doped silicon carbide, which may generally refer to SiOC or SiCO. As used herein, however, SiOC is not the same as SiCO because SiOC films do not have the same chemical structure or properties as SiCO films. The SiCO film may exhibit a chemical structure deposited by the remote plasma-based deposition process of the present disclosure. SiCO films contain few or no terminal CH 3 bonds, and the carbon atoms are generally cross-linked and coordinated by the silicon atoms. The carbon atoms, or at least the top fraction of carbon atoms, are cross-linked and not coordinated by hydrogen or oxygen atoms. In addition, SiCO films have relatively low hydrogen content. In contrast, the SiOC film contains multiple terminal CH 3 bonds, and the carbon atoms are coordinated by oxygen and hydrogen atoms. The carbon atoms, or at least the top fraction of carbon atoms, are not cross-linked. In addition, SiOC films have relatively high hydrogen content. SiOC films are generally not as thermally and chemically stable as SiCO films. It is understood that in some embodiments, the graded silicon carbide film of the present disclosure is a graded SiCO film.

이하의 많은 실시예들은 등급화된 SiCO 막들을 참조하지만, 본 개시의 등급화된 실리콘 카바이드 막이 또한 실리콘 옥시나이트라이카바이드 (SiONC) 막들 및 실리콘 나이트라이카바이드 (SiNC) 막들에 도포될 수 있다는 것이 이해될 것이다. 실리콘 카바이드 막들은 따라서 SiC, SiCO, SiONC, 및 SiNC 막들을 지칭할 수 있다. 일부 구현예들에서, 등급화된 SiONC 막들 또는 등급화된 SiNC 막들은 SiCO 막들과 상이한 전구체들 그리고/또는 상이한 공-반응물질 가스를 사용하여 증착될 수 있다. 일부 구현예들에서, 공-반응물질 가스는 예를 들어, N2, N2O, NH3, N2H2, 또는 이들의 조합들을 포함할 수 있다. Although many of the examples below refer to graded SiCO films, it is understood that the graded silicon carbide film of the present disclosure can also be applied to silicon oxynitride carbide (SiONC) films and silicon nitricarbide (SiNC) films. It will be. Silicon carbide films may therefore refer to SiC, SiCO, SiONC, and SiNC films. In some implementations, graded SiONC films or graded SiNC films can be deposited using different precursors and/or a different co-reactant gas than SiCO films. In some implementations, the co-reactant gas may include, for example, N 2 , N 2 O, NH 3 , N 2 H 2 , or combinations thereof.

등급화된 실리콘 카바이드 막은 본 개시에 기술된 리모트 플라즈마 기반 증착 기법들을 사용하여 증착될 수 있다. 등급화된 실리콘 카바이드 막은 진공 브레이크 (예를 들어, 에어 브레이크) 와 인시츄로 또는 진공 브레이크 없이 증착될 수 있다. 진공 브레이크는 반도체 디바이스에 산화를 도입할 수 있고, 이는 보다 고 전기 저항 및 저하된 퍼포먼스를 야기할 수 있다. 더욱이, 진공 브레이크는 쓰루풋을 감소시킬 수 있다. 등급화된 실리콘 카바이드 막은 또한 복수의 개별 층들에 대한 복수의 증착 프로세스들로부터 발생할 수 있는 계면 연약함들을 감소시킨다.Graded silicon carbide films can be deposited using remote plasma-based deposition techniques described in this disclosure. Graded silicon carbide films can be deposited in situ with or without a vacuum break (eg, an air break). Vacuum breaks can introduce oxidation into semiconductor devices, which can lead to higher electrical resistance and reduced performance. Furthermore, vacuum breaks can reduce throughput. Graded silicon carbide films also reduce interfacial brittleness that can result from multiple deposition processes for multiple individual layers.

등급화된 실리콘 카바이드 막을 증착하기 위한 프로세스는 생성될 도핑된 구조체의 타입에 따라, Si-C 결합들, Si-O 결합들, 및/또는 Si-N 결합들과 같은 다른 결합들과 함께 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합을 갖는 하나 이상의 실리콘-함유 전구체들을 수반할 수 있다. 하나 이상의 실리콘-함유 전구체들은 상기 논의된 바와 같이 임의의 실리콘-함유 전구체를 포함할 수 있다. 일부 구현예들에서, 하나 이상의 실리콘-함유 전구체들은 유기실리콘 전구체를 포함한다. 유기실리콘 전구체는 반응 챔버 내로 그리고 반응 챔버 내에 제공된 기판 상으로 흐를 수 있다. 등급화된 SiNC 막의 증착시, 유기실리콘 전구체는: (i) 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들, (ii) 하나 이상의 Si-C 결합들, 및 (iii) 하나 이상의 Si-N 결합들을 포함할 수 있다. 등급화된 SiCO 막의 증착시, 유기실리콘 전구체는: (i) 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들, (ii) 하나 이상의 Si-C 결합들, 및 (iii) 하나 이상의 Si-O 결합들을 포함할 수 있다. 예를 들어, 유기실리콘 전구체는: 고리형 실록산, 선형 실록산, 및 알콕시 실록산으로 구성된 그룹으로부터 선택될 수 있다.The process for depositing a graded silicon carbide film involves one or more bonds along with other bonds such as Si-C bonds, Si-O bonds, and/or Si-N bonds, depending on the type of doped structure to be created. It may involve one or more silicon-containing precursors having Si-H bonds and/or one or more Si-Si bonds. The one or more silicon-containing precursors may include any silicon-containing precursor as discussed above. In some implementations, the one or more silicon-containing precursors include an organosilicon precursor. The organosilicon precursor may flow into the reaction chamber and onto a substrate provided within the reaction chamber. When depositing a graded SiNC film, the organosilicon precursor comprises: (i) one or more Si-H bonds and/or Si-Si bonds, (ii) one or more Si-C bonds, and (iii) one or more Si-C bonds. May contain -N bonds. When depositing a graded SiCO film, the organosilicon precursor is comprised of: (i) one or more Si-H bonds and/or Si-Si bonds, (ii) one or more Si-C bonds, and (iii) one or more Si-Si bonds. May contain -O bonds. For example, the organosilicon precursor can be selected from the group consisting of: cyclic siloxanes, linear siloxanes, and alkoxy siloxanes.

하나 이상의 실리콘-함유 전구체들에 더하여, 등급화된 실리콘 카바이드 막을 증착하기 위한 프로세스는 바람직하게 실질적으로 저 에너지 상태 (예를 들어, 바닥 상태) 인 하나 이상의 라디칼 종을 수반할 수 있다. 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종은 상기 기술된 바와 같이 제공될 수도 있다. 소스 가스, 예컨대 수소 가스가 리모트 플라즈마 소스 내로 공급될 수도 있다. 리모트 플라즈마 소스는 소스 가스의 라디칼들을 생성할 수도 있고 소스 가스의 라디칼들을 기판 상으로 도입할 수도 있다. 일부 실시예들에서, 하나 이상의 라디칼 종은 하나 이상의 수소 원자 라디칼들을 포함한다. 수소 원자 라디칼들은 여기된 상태로부터 완화된 상태 (relaxed state) 로 천이할 수도 있기 때문에, 수소 원자 라디칼들은 실질적으로 저 에너지 상태로 기판 상으로 도입될 수도 있다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 원자 라디칼들은 일반적으로 Si-O, Si-N, 및 Si-C 결합들을 보존하면서, Si-H 결합들 및 Si-Si 결합들을 선택적으로 분열시킬 수 있다. 일부 실시예들에서, 소스 가스의 라디칼 종의 적어도 90 %는 바닥 상태의 수소 원자 라디칼들이다. 수소 원자 라디칼들의 상당한 분획이 실질적으로 저 에너지 상태 또는 바닥 상태인 상태는 다양한 기법들에 의해 달성될 수 있다. 이하에 기술된 바와 같은, 일부 장치는 이 상태를 달성하기 위해 설계된다. In addition to one or more silicon-containing precursors, the process for depositing a graded silicon carbide film can preferably involve one or more radical species that are in a substantially low energy state (e.g., ground state). One or more radical species in a substantially low energy state may be provided as described above. A source gas, such as hydrogen gas, may be supplied into the remote plasma source. The remote plasma source may generate radicals of the source gas and may introduce radicals of the source gas onto the substrate. In some embodiments, the one or more radical species include one or more hydrogen atom radicals. Because hydrogen atomic radicals may transition from an excited state to a relaxed state, hydrogen atomic radicals may be introduced onto the substrate in a substantially low energy state. Hydrogen radicals in a substantially low energy state or ground state can selectively cleave Si-H bonds and Si-Si bonds, while generally preserving Si-O, Si-N, and Si-C bonds. In some embodiments, at least 90% of the radical species in the source gas are ground state hydrogen atomic radicals. A state in which a significant fraction of hydrogen atomic radicals is substantially in a low energy state or ground state can be achieved by a variety of techniques. Some devices, as described below, are designed to achieve this condition.

부가적으로 또는 대안적으로, 하나 이상의 라디칼 종은 원소적 산소 라디칼들 (원자성 또는 이원자성) 과 같은 산소-함유 종, 원소적 질소 라디칼들 (원자성 또는 이원자성) 과 같은 질소-함유 종, 및 암모니아 라디칼들과 같은 N-H 함유 라디칼들을 포함할 수 있고, 질소는 막 내로 선택가능하게 통합된다.Additionally or alternatively, the one or more radical species may be an oxygen-containing species, such as elemental oxygen radicals (atomic or diatomic), a nitrogen-containing species, such as elemental nitrogen radicals (atomic or diatomic). , and N-H containing radicals such as ammonia radicals, with nitrogen optionally incorporated into the film.

등급화된 실리콘 카바이드 막을 증착하기 위한 프로세스 조건들은 바닥 상태 위의 상태들과 같은 고 에너지 상태들의 이온들, 전자들 또는 라디칼 종의 상당한 양을 갖지 않을 수도 있다. 일부 실시예들에서, 막에 인접한 영역에서 이온들의 농도는 약 107/㎤보다 크지 않다. 다른 프로세스 조건들, 예컨대 압력 및 온도는, 상기 기술된 바와 같이, 등급화된 실리콘 카바이드 막을 증착하기 위해 유사하게 적용될 수도 있다.Process conditions for depositing graded silicon carbide films may not have significant amounts of ions, electrons or radical species in high energy states such as states above the ground state. In some embodiments, the concentration of ions in the area adjacent to the membrane is no greater than about 10 7 /cm3. Other process conditions, such as pressure and temperature, may be similarly applied to deposit graded silicon carbide films, as described above.

특정한 실시예들에서, 소스 가스는 헬륨과 같은 캐리어 가스로 제공된다. 예로서, 수소 가스는 약 1 내지 10 %의 수소 농도로 헬륨 캐리어에 제공될 수도 있다. 압력, 헬륨과 같은 캐리어 가스의 분획, 및 다른 프로세스 조건들은 저 에너지 상태의 라디칼들이 재결합하지 않기 때문에 수소 원자들이 기판에 직면하도록 선택된다. In certain embodiments, the source gas is provided as a carrier gas, such as helium. As an example, hydrogen gas may be provided on a helium carrier at a hydrogen concentration of about 1 to 10%. The pressure, fraction of carrier gas such as helium, and other process conditions are chosen such that the hydrogen atoms face the substrate because radicals in the lower energy state do not recombine.

등급화된 실리콘 카바이드 막을 증착하기 위한 프로세스는 하나 이상의 실리콘-함유 전구체들 및 소스 가스의 하나 이상의 라디칼 종 이외의 공-반응물질을 수반할 수 있다. 예시적인 공-반응물질들은 O2, CO2, CO, H2O, CH3OH, O3, N2, N2O, NH3, N2H2, CH4, C2H6, C2H2, C2H4, B2H6, 및 이들의 조합들을 포함할 수 있다. 등급화된 SiCO 막을 증착하는 일부 구현예들에서, 공-반응물질은 O2, CO2, CO, O3, N2O, 및 이들의 조합들을 포함할 수 있다. 등급화된 SiNC 막을 증착하는 일부 구현예들에서, 공-반응물질은 N2, NH3, N2H2, 및 이들의 조합들을 포함할 수 있다.The process for depositing a graded silicon carbide film may involve one or more silicon-containing precursors and a co-reactant other than one or more radical species in the source gas. Exemplary co-reactants include O 2 , CO 2 , CO, H 2 O, CH 3 OH, O 3 , N 2 , N 2 O, NH 3 , N 2 H 2 , CH 4 , C 2 H 6 , C 2 H 2 , C 2 H 4 , B 2 H 6 , and combinations thereof. In some embodiments of depositing graded SiCO films, the co-reactants can include O 2 , CO 2 , CO, O 3 , N 2 O, and combinations thereof. In some embodiments of depositing graded SiNC films, the co-reactants can include N 2 , NH 3 , N 2 H 2 , and combinations thereof.

공-반응물질은 반응 챔버를 향해 흐를 수 있고, 공-반응물질의 플로우 경로는 실리콘-함유 전구체와 함께 또는 소스 가스와 함께하는 경로일 수 있다. 비-수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 실리콘-함유 전구체와 동일한 플로우 경로, 예를 들어, 샤워헤드를 포함하는 경로를 통해, 통상적으로 플라즈마에 직접적인 노출 없이 반응 챔버로 도입된다. 비-수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 소스 가스 (예를 들어, 수소) 와 동일한 플로우 경로를 통해 반응 챔버로 도입되고, 공-반응물질은 공-반응물질이 적어도 부분적으로 라디칼들 및/또는 이온들로 변환되도록, 플라즈마 소스를 통해 반응 챔버를 향해 흐를 수 있다. 따라서, 공-반응물질의 하나 이상의 라디칼들은 리모트 플라즈마 소스 내에서 생성될 수 있고, 공-반응물질의 하나 이상의 라디칼들은 반응 챔버 내로 도입될 수 있다. 공-반응물질들이 반응 챔버로 도입될 때, 공-반응물질들은 반응 챔버 내에 제공된 다른 가스들의 일부와 비교하여 상대적으로 소량으로 제공될 수도 있다. 일부 실시예들에서, 공-반응물질 가스의 플로우 레이트는 약 10 sccm보다 작을 수 있는 한편, 캐리어 가스의 플로우 레이트 및 소스 가스의 플로우 레이트는 각각 약 10 L/m이하이다.The co-reactant may flow toward the reaction chamber, and the flow path of the co-reactant may be with the silicon-containing precursor or with the source gas. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is flowed via the same flow path as the silicon-containing precursor, e.g., a path comprising a showerhead, typically without direct exposure to the plasma. introduced into the reaction chamber. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber via the same flow path as the source gas (e.g., hydrogen), and the co-reactant is The material may flow through the plasma source toward the reaction chamber such that it is at least partially converted into radicals and/or ions. Accordingly, one or more radicals of the co-reactant can be generated within the remote plasma source and one or more radicals of the co-reactant can be introduced into the reaction chamber. When co-reactants are introduced into the reaction chamber, they may be present in relatively small amounts compared to some of the other gases provided within the reaction chamber. In some embodiments, the flow rate of the co-reactant gas can be less than about 10 sccm, while the flow rate of the carrier gas and the flow rate of the source gas are each less than or equal to about 10 L/m.

공-반응물질 가스의 플로우 레이트는 다층 실리콘 카바이드 막 또는 등급화된 실리콘 카바이드 막의 형성시 시간이 흐름에 따라 변화될 수 있다. 일부 실시예들에서, 공-반응물질 가스의 플로우 레이트는 등급화된 실리콘 카바이드 막의 조성 변화도를 형성하도록 시간이 흐름에 따라 점진적으로 변화될 수 있다. 일부 실시예들에서, 공-반응물질 가스의 플로우 레이트는 다층 실리콘 카바이드 막을 형성하도록 시간이 흐름에 따라 상승적으로 또는 이산 시간 인터벌들로 (intervals) 변화될 수 있다. 일부 실시예들에서, 공-반응물질 가스는 산소 가스이다. 일부 실시예들에서, 공-반응물질 가스의 플로우 레이트가 변화되는 동안 유기실리콘 전구체의 플로우 레이트는 일정할 수 있다. The flow rate of the co-reactant gas can vary over time in the formation of a multilayer silicon carbide film or graded silicon carbide film. In some embodiments, the flow rate of the co-reactant gas can be changed gradually over time to form a compositional gradient of the graded silicon carbide film. In some embodiments, the flow rate of the co-reactant gas can be varied synergistically or in discrete time intervals over time to form a multilayer silicon carbide film. In some embodiments, the co-reactant gas is oxygen gas. In some embodiments, the flow rate of the organosilicon precursor may be constant while the flow rate of the co-reactant gas is varied.

일부 실시예들에서, 공-반응물질 가스의 플로우 레이트가 변화하는 동안 유기실리콘 전구체의 플로우 레이트가 변화할 수 있다. 일부 실시예들에서, 공-반응물질 가스의 플로우 레이트가 일정한 동안 유기실리콘 전구체의 플로우 레이트는 변화할 수 있다. 시간이 흐름에 따라 공-반응물질 가스의 플로우 레이트가 변화하는 것과 유사하게, 시간이 흐름에 따라 유기실리콘 전구체의 플로우 레이트를 변화시키는 것은 등급화된 실리콘 카바이드 막의 조성 변화도를 형성할 수 있다. 유기실리콘 전구체의 플로우 레이트는 시간이 흐름에 따라 점진적으로 변화할 수 있고 또는 시간이 흐름에 따라 상승적으로 또는 이산 시간 인터벌들로 변화할 수 있다. 시간이 흐름에 따라 유기실리콘 전구체의 플로우 레이트를 변화시킴으로써, 다수의 Si-C 결합들이 제 1 표면 및 제 2 표면 내 탄소 함량을 튜닝하도록 조정될 수 있다.In some embodiments, the flow rate of the organosilicon precursor can be varied while the flow rate of the co-reactant gas is varied. In some embodiments, the flow rate of the organosilicon precursor can vary while the flow rate of the co-reactant gas is constant. Similar to changing the flow rate of a co-reactant gas over time, changing the flow rate of an organosilicon precursor over time can form a compositional gradient in a graded silicon carbide film. The flow rate of the organosilicon precursor may vary gradually over time or may vary synergistically or in discrete time intervals over time. By varying the flow rate of the organosilicon precursor over time, multiple Si-C bonds can be tuned to tune the carbon content in the first and second surfaces.

더욱이, 유기실리콘 전구체는 등급화된 실리콘 카바이드 막 내 탄소 함량을 튜닝하기 위해 선택될 수 있다. 상이한 탄소 함량을 갖는 상이한 유기실리콘 전구체들은 등급화된 실리콘 카바이드 막을 증착할 때 상이한 시간 인터벌들로 증착할 때 활용될 수 있다. 예를 들어, 보다 많은 탄소 결합들을 갖는 제 1 유기실리콘 전구체가 제 1 표면에서의 증착을 위해 선택될 수 있고, 보다 적은 탄소 결합들을 갖는 제 2 유기실리콘 전구체가 제 2 표면에서 증착을 위해 선택될 수 있다. 등급화된 실리콘 카바이드 막을 증착할 때 유기실리콘 전구체의 변화는 등급화된 실리콘 카바이드 막의 조성 변화도에서 보다 급격한 변화들을 발생시킬 수 있다.Moreover, organosilicon precursors can be selected to tune the carbon content in the graded silicon carbide film. Different organosilicon precursors with different carbon content can be utilized when depositing at different time intervals when depositing a graded silicon carbide film. For example, a first organosilicon precursor with more carbon bonds may be selected for deposition on a first surface and a second organosilicon precursor with fewer carbon bonds may be selected for deposition on a second surface. You can. Changes in the organosilicon precursor when depositing a graded silicon carbide film can result in more drastic changes in the compositional gradient of the graded silicon carbide film.

공-반응물질 가스의 플로우 레이트가 시간이 흐름에 따라 변화할 때, 공-반응물질 가스의 플로우 레이트는 진공 브레이크 없이 변화된다. 등급화된 실리콘 카바이드 막의 조성 변화도를 형성하기 위해 공-반응물질 가스의 플로우 레이트의 변화시, 조성으로 보다 등급화된 연속체를 갖는 막이 제공되고 복수의 개별 층들을 갖는 막보다 튼튼할 수 있다. When the flow rate of the co-reactant gas changes over time, the flow rate of the co-reactant gas changes without a vacuum break. Varying the flow rate of co-reactant gases to form a compositional gradient of a graded silicon carbide membrane provides a membrane with a more graded continuum in composition and can be more robust than a membrane with multiple individual layers.

따라서, 등급화된 실리콘 카바이드 막의 조성 변화도는 유기실리콘 전구체를 변화시키는 것, 시간이 흐름에 따라 유기실리콘 전구체의 플로우 레이트를 변화시키는 것, 및 시간이 흐름에 따라 공-반응물질 가스의 플로우 레이트를 변화시키는 것을 포함하는, 하나 이상의 기법들에 의해 튜닝될 수 있다.Accordingly, the compositional gradient of the graded silicon carbide film changes the organosilicon precursor, the flow rate of the organosilicon precursor over time, and the flow rate of the co-reactant gas over time. Can be tuned by one or more techniques, including changing .

도 5는 시간이 흐름에 따라 유기실리콘 전구체의 플로우 레이트 및 산소인 공-반응물질 가스의 플로우 레이트를 예시하는 그래프를 도시한다. 도 5에 도시된 바와 같이, 유기실리콘 전구체의 플로우 레이트는 등급화된 실리콘 카바이드 막의 증착 동안 시간이 흐름에 따라 일정하게 유지될 수 있다. 등급화된 실리콘 카바이드 막은 등급화된 SiCO 막일 수 있다. 도시되지 않지만, 수소인 소스 가스 및 헬륨인 캐리어 가스의 플로우 레이트는 등급화된 실리콘 카바이드 막의 증착 동안 시간이 흐름에 따라 일정하게 유지될 수 있다. 그러나, 산소인 공-반응물질 가스의 플로우 레이트는 시간이 흐름에 따라 상승적으로 변화된다. 도 5에서, 산소의 플로우 레이트는 시간이 흐름에 따라 저감된다. 예로서, 산소의 플로우 레이트는 증착된 막의 처음 50 Å에 대해 9.5 sccm으로 시작하여, 이어서 증착된 막의 다음 50 Å에 대해 7.5 sccm으로 떨어지고, 증착된 막의 다음 50 Å에 대해 5.5 sccm으로 떨어지고, 증착된 막의 다음 50 Å에 대해 3.5 sccm으로 떨어질 수 있다. 증착된 막의 50 Å의 증착 각각은 약 100 초 내지 약 500 초, 예컨대 약 375 초일 수 있다. 유기실리콘 전구체의 플로우 레이트는 약 8.0 sccm의 일정한 플로우 레이트로 유지될 수 있다. 그러나, 유기실리콘 전구체의 플로우 레이트는 전구체 화학물질에 따라 상이할 수 있다는 것이 이해된다. 도 5의 그래프가 플로우 레이트의 변화를 단차된 프로파일로 도시하지만, 플로우 레이트의 변화는 기울어지거나 커브된 (curved) 프로파일과 같은 상이한 프로파일일 수 있다는 것이 이해된다. Figure 5 shows a graph illustrating the flow rate of the organosilicon precursor and the flow rate of the co-reactant gas, which is oxygen, over time. As shown in Figure 5, the flow rate of the organosilicon precursor can remain constant over time during the deposition of the graded silicon carbide film. The graded silicon carbide film can be a graded SiCO film. Although not shown, the flow rate of the source gas, which is hydrogen, and the carrier gas, which is helium, can be kept constant over time during the deposition of the graded silicon carbide film. However, the flow rate of the co-reactant gas, which is oxygen, changes synergistically over time. In Figure 5, the flow rate of oxygen decreases over time. As an example, the flow rate of oxygen starts at 9.5 sccm for the first 50 Å of the deposited film, then drops to 7.5 sccm for the next 50 Å of the deposited film, then drops to 5.5 sccm for the next 50 Å of the deposited film, and then This can drop to 3.5 sccm for the next 50 Å of the formed membrane. Each deposition of 50 Å of the deposited film may take from about 100 seconds to about 500 seconds, such as about 375 seconds. The flow rate of the organosilicon precursor can be maintained at a constant flow rate of about 8.0 sccm. However, it is understood that the flow rate of the organosilicon precursor may vary depending on the precursor chemistry. Although the graph in Figure 5 shows the change in flow rate as a stepped profile, it is understood that the change in flow rate could be a different profile, such as a sloped or curved profile.

시간이 흐름에 따라 공-반응물질의 플로우 레이트를 조정함으로써, 등급화된 실리콘 카바이드 막의 조성은 등급화된 실리콘 카바이드 막의 두께에 걸쳐 가변한다. 산소 가스 또는 산소 라디칼들의 존재는 Si-C 결합들로부터 탄소를 추출하는 경향이 있다. 즉, 산소의 존재는 카바이드를 옥사이드로 변환할 수 있다. 탄소는 기판 상의 유기실리콘 전구체로부터 제거되고, 일부 예들에서, 산소로 대체될 수 있다. 따라서, 반응 혼합물 내 산소의 농도를 상승시키는 것은 등급화된 SiCO 막과 같은 막의 탄소 함량을 효과적으로 튜닝할 수 있다. By adjusting the flow rate of the co-reactants over time, the composition of the graded silicon carbide film varies across the thickness of the graded silicon carbide film. The presence of oxygen gas or oxygen radicals tends to extract carbon from Si-C bonds. In other words, the presence of oxygen can convert carbide to oxide. Carbon may be removed from the organosilicon precursor on the substrate and, in some instances, replaced with oxygen. Therefore, increasing the concentration of oxygen in the reaction mixture can effectively tune the carbon content of films such as graded SiCO films.

대안적으로, 질소 가스 또는 질소 라디칼들의 존재는 Si-C 결합들로부터 탄소를 추출하는 경향이 있다. 따라서, 반응 혼합물 내 질소의 농도를 상승시키는 것은 또한 등급화된 SiNC 막과 같은 등급화된 실리콘 카바이드 막의 탄소 함량을 효과적으로 튜닝할 수 있다.Alternatively, the presence of nitrogen gas or nitrogen radicals tends to extract carbon from Si-C bonds. Therefore, increasing the concentration of nitrogen in the reaction mixture can also effectively tune the carbon content of graded silicon carbide films, such as graded SiNC films.

일부 실시예들에서, 등급화된 실리콘 카바이드 막의 조성 변화도는 실리콘 카바이드 막의 제 1 표면으로부터 제 2 표면으로 또는 반대로 상승하는 탄소의 농도를 갖는다. 제 1 표면은 보다 낮은 농도의 탄소를 갖고, 탄소의 원자 농도는 약 20 %보다 작고, 약 15 %보다 작고, 또는 약 10 %보다 작을 수 있다. 제 2 표면은 보다 높은 농도의 탄소를 갖고, 탄소의 원자 농도는 약 20 %보다 크고, 약 30 %보다 크고, 또는 35 %보다 클 수 있다. 일부 실시예들에서, 탄소 농도는 조성 변화도에 걸쳐 약 1 %와 약 50 % 사이의 어느 곳, 또는 조성 변화도에 걸쳐 약 5 %와 약 45 % 사이의 어느 곳의 범위일 수 있다. SiCO 막의 일부 구현예들에서, 제 1 표면은 SiO2에 대한 화학적 성질에 육박하는 탄소 농도를 가질 수 있고, 제 2 표면은 SiC에 대한 화학적 성질에 육박하는 탄소 농도를 가질 수 있다. 시간이 흐름에 따라 반응 혼합물에서 산소의 농도를 저감시키는 것과 함께, 제 2 표면에서의 막은 보다 많은 Si-C 결합들을 가질 수 있고 제 1 표면에서의 막은 보다 적은 Si-C 결합들을 가질 수 있다. 탄소 농도 변화도는 애플리케이션에 따라 반전될 수 있다는 것이 이해된다. In some embodiments, the compositional gradient of the graded silicon carbide film has the concentration of carbon rising from a first surface of the silicon carbide film to a second surface or vice versa. The first surface has a lower concentration of carbon, and the atomic concentration of carbon may be less than about 20%, less than about 15%, or less than about 10%. The second surface has a higher concentration of carbon, and the atomic concentration of carbon may be greater than about 20%, greater than about 30%, or greater than 35%. In some embodiments, the carbon concentration may range anywhere between about 1% and about 50% across the compositional gradient, or anywhere between about 5% and about 45% across the compositional gradient. In some implementations of the SiCO film, the first surface can have a carbon concentration approaching the chemistry for SiO 2 and the second surface can have a carbon concentration approaching the chemistry for SiC. With decreasing concentration of oxygen in the reaction mixture over time, the film at the second surface can have more Si-C bonds and the film at the first surface can have fewer Si-C bonds. It is understood that the carbon concentration gradient can be reversed depending on the application.

예로서, 등급화된 SiCO 막의 조성 변화도는 하단 표면으로부터 상단 표면으로, 또는 반대로 탄소의 상승하는 농도를 갖는다. 본 명세서에 사용된 바와 같이, 등급화된 SiCO 막의 하단 표면은 기판 또는 기판의 피처들 상에 증착된 표면을 지칭할 수 있고, 등급화된 SiCO 막의 상단 표면은 후속하는 디바이스 집적 동작들에 노출된 표면을 지칭할 수 있다. 일부 실시예들에서, 하단 표면에서 탄소의 원자 농도는 약 20 %보다 작고, 예컨대 약 12 %, 심지어 5 %만큼 낮고, 상단 표면에서 탄소의 원자 농도는 약 20 %보다 높고, 예컨대 약 36 %, 심지어 40 %만큼 높다. 상단 표면에서 보다 높은 농도의 탄소를 가져, 등급화된 SiCO 막의 상단 표면은 하단 표면보다 옥사이드/나이트라이드에 대해 보다 높은 건식 에칭 선택도를 갖고, 애싱 및 스트립에 보다 높은 내성을 갖고, 스팀 어닐링 프로세스와 같은 거친 다른 집적 단계들에 보다 높은 내성을 갖는다. 이는 등급화된 SiCO 막으로 하여금 복수의 디바이스 집적 요건들을 만족하게 한다. 하단 표면에서 보다 낮은 농도의 탄소를 가져, 등급화된 SiCO 막의 하단 표면은 상단 표면보다 보다 낮은 유전 상수를 갖고, 보다 높은 브레이크다운 전압을 갖고, 보다 낮은 누설 전류를 갖는다. 이는 등급화된 SiCO 막으로 하여금 특히 고속 디바이스들에서 기생 커패시턴스, 신호 지연, 및 신호 크로스토크를 감소시키게 한다.As an example, the compositional gradient of a graded SiCO film has an increasing concentration of carbon from the bottom surface to the top surface, or vice versa. As used herein, the bottom surface of a graded SiCO film can refer to the surface deposited on the substrate or features of the substrate, and the top surface of the graded SiCO film is exposed to subsequent device integration operations. It can refer to the surface. In some embodiments, the atomic concentration of carbon at the bottom surface is less than about 20%, such as about 12%, or even as low as 5%, and the atomic concentration of carbon at the top surface is greater than about 20%, such as about 36%, Even as high as 40%. With a higher concentration of carbon at the top surface, the top surface of graded SiCO films has a higher dry etch selectivity to oxides/nitrides than the bottom surface, is more resistant to ashing and stripping, and is more resistant to steam annealing processes. It has higher resistance to other rough integration steps such as. This allows graded SiCO films to meet multiple device integration requirements. With a lower concentration of carbon at the bottom surface, the bottom surface of the graded SiCO film has a lower dielectric constant, higher breakdown voltage, and lower leakage current than the top surface. This allows graded SiCO films to reduce parasitic capacitance, signal delay, and signal crosstalk, especially in high-speed devices.

일부 실시예들에서, 보다 낮은 탄소 농도를 갖는 표면은 보다 낮은 유전 상수를 제공할 수 있고, 유전 상수는 약 5.0 미만, 또는 약 4.0 미만, 또는 약 3.5 미만일 수 있다. 다층 실리콘 카바이드 막은 예를 들어, 제 1 표면에서 약 3.5의 유전 상수 그리고 제 2 표면에서 약 4.5의 유전 상수를 제공할 수 있다. 등급화된 실리콘 카바이드 막은 예를 들어, 제 2 표면에서 약 4.5의 유전 상수로 점진적으로 상승하는 제 1 표면에서 약 3.5의 유전 상수를 제공할 수 있고, 등급화된 실리콘 카바이드 막은 다층 실리콘 카바이드 막보다 큰 버퍼를 제공한다.In some embodiments, a surface with a lower carbon concentration may provide a lower dielectric constant, which may be less than about 5.0, or less than about 4.0, or less than about 3.5. The multilayer silicon carbide film can, for example, provide a dielectric constant of about 3.5 at the first surface and about 4.5 at the second surface. A graded silicon carbide film can, for example, provide a dielectric constant of about 3.5 at a first surface that gradually rises to a dielectric constant of about 4.5 at a second surface, where the graded silicon carbide film has a lower dielectric constant than a multilayer silicon carbide film. Provides a large buffer.

도 6은 등급화된 실리콘 카바이드 막 및 등급화되지 않은 실리콘 카바이드 막에 대한 깊이의 함수로서 탄소 농도의 조성 프로파일을 예시하는 그래프를 도시한다. 등급화되지 않은 SiCO 막에서, 탄소 농도는 일반적으로 등급화되지 않은 SiCO 막의 두께에 걸쳐 일정하다. 등급화된 SiCO 막에서, 탄소 농도는 노출된 표면 (예를 들어, 상단 표면) 으로부터 노출되지 않은 표면 (예를 들어, 하단 표면) 으로 등급화된 SiCO 막의 두께에 걸쳐 탄소의 농도를 감소시키는 것을 나타내기 위해 하향으로 기울어진다. 도 5에 도시된 바와 같이, 시간이 흐름에 따라 산소 플로우가 감소함에 따라, 탄소 농도는 도 6에 도시된 바와 같이 노출된 표면으로부터 막의 두께에 걸쳐 저감될 수도 있다. 대안적으로, 유기실리콘 전구체 플로우는 시간이 흐름에 따라 저감되거나 유기실리콘 전구체가 보다 낮은 탄소 농도로 변화한다면, 탄소 농도는 도 6에 도시된 바와 같이 노출된 표면으로부터 막의 두께에 걸쳐 저감될 수 있다. Figure 6 shows a graph illustrating compositional profiles of carbon concentration as a function of depth for graded and ungraded silicon carbide films. In ungraded SiCO films, the carbon concentration is generally constant throughout the thickness of the ungraded SiCO film. In graded SiCO films, the carbon concentration decreases across the thickness of the graded SiCO film from the exposed surface (e.g., top surface) to the unexposed surface (e.g., bottom surface). tilted downward to indicate As shown in Figure 5, as oxygen flow decreases over time, the carbon concentration may decrease from the exposed surface through the thickness of the film as shown in Figure 6. Alternatively, if the organosilicon precursor flow is reduced over time or the organosilicon precursor is changed to a lower carbon concentration, the carbon concentration can be reduced from the exposed surface through the thickness of the film as shown in Figure 6. .

등급화된 실리콘 카바이드 막의 두께에 걸쳐 탄소 농도를 가변시키면서 등급화된 실리콘 카바이드 막이 증착될 수 있을 뿐만 아니라, 등급화된 실리콘 카바이드 막도 고 종횡비 피처들에서 우수한 컨포멀성을 갖고 증착될 수 있다. 일부 실시예들에서, 등급화된 실리콘 카바이드 막은 2:1보다 크거나, 5:1보다 크거나, 10:1보다 큰 깊이 대 폭 종횡비를 갖는 피처들에 증착될 수 있다. 이러한 고 종횡비 피처들에서도, 등급화된 실리콘 카바이드 막은 적어도 80 %, 적어도 85 %, 또는 적어도 90 %의 단차 커버리지를 가질 수 있다. 이러한 단차 커버리지는 다양한 IC 애플리케이션들, 예컨대 측벽 스페이서 애플리케이션들에서 유용할 수 있다. 도 7은 기판의 피처 내에 증착된 예시적인 (등급화된 또는 등급화되지 않은) SiCO 막의 STEM (scanning transmission electron microscopy) 이미지를 예시한다. 등급화된 SiCO 막이든 등급화되지 않은 SiCO 막이든 피처들의 측벽들을 따라 우수한 컨포멀성을 유지한다. 피처들 내 원소적 함량을 측정하기 위해, EELS (electron energy loss spectroscopy) 동작이 측방향으로 수행된다. Not only can graded silicon carbide films be deposited with varying carbon concentrations across the thickness of the graded silicon carbide films, but graded silicon carbide films can also be deposited with excellent conformality in high aspect ratio features. In some embodiments, graded silicon carbide films may be deposited in features having a depth-to-width aspect ratio greater than 2:1, greater than 5:1, or greater than 10:1. Even in these high aspect ratio features, the graded silicon carbide film can have a step coverage of at least 80%, at least 85%, or at least 90%. This step coverage can be useful in a variety of IC applications, such as sidewall spacer applications. Figure 7 illustrates a scanning transmission electron microscopy (STEM) image of an exemplary (graded or ungraded) SiCO film deposited within a feature of a substrate. Both graded and ungraded SiCO films maintain excellent conformality along the sidewalls of the features. To measure the elemental content in the features, an electron energy loss spectroscopy (EELS) operation is performed laterally.

도 8a는 등급화되지 않은 SiCO 막의 조성 프로파일을 도시하는 도 7의 STEM 이미지의 라인 스캔 그래프를 예시한다. 도 8a에서, 등급화되지 않은 SiCO 막의 조성 프로파일은 약 70 ㎚ 내지 약 90 ㎚의 깊이들, 및 약 140 ㎚ 내지 약 160 ㎚의 깊이들에서 나타날 수 있다. 탄소 및 산소의 상대적인 농도들은 등급화되지 않은 SiCO 막에서 일반적으로 일정하다.FIG. 8A illustrates a line scan graph of the STEM image of FIG. 7 showing the composition profile of an ungraded SiCO film. In Figure 8A, the composition profile of the ungraded SiCO film can be seen at depths from about 70 nm to about 90 nm, and at depths from about 140 nm to about 160 nm. The relative concentrations of carbon and oxygen are generally constant in the ungraded SiCO film.

도 8b는 등급화된 SiCO 막의 조성 프로파일을 도시하는 도 7의 STEM 이미지의 라인 스캔 그래프를 예시한다. 도 8b에서, 등급화된 SiCO 막의 조성 프로파일은 약 70 ㎚ 내지 약 90 ㎚의 깊이들, 및 약 145 ㎚ 내지 약 165 ㎚의 깊이들에서 나타날 수 있다. 탄소 및 산소의 상대적인 농도들은 등급화된 SiCO 막에서 보다 기울어진다. 구체적으로, 탄소의 상대적인 농도는 외측 표면으로부터 내측 표면으로 저감되고 산소의 상대적인 농도는 외측 표면으로부터 내측 표면으로 상승한다. 따라서, Si-O 결합들의 수가 증가함에 따라, Si-C 결합들의 수는 감소한다.FIG. 8B illustrates a line scan graph of the STEM image of FIG. 7 showing the composition profile of a graded SiCO film. In FIG. 8B, the composition profile of the graded SiCO film can be seen at depths from about 70 nm to about 90 nm, and at depths from about 145 nm to about 165 nm. The relative concentrations of carbon and oxygen are more inclined in the graded SiCO film. Specifically, the relative concentration of carbon decreases from the outer surface to the inner surface and the relative concentration of oxygen increases from the outer surface to the inner surface. Therefore, as the number of Si-O bonds increases, the number of Si-C bonds decreases.

장치Device

본 개시의 일 양태는 본 명세서에 기술된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 직접 플라즈마와 비교하여 순한 반응 조건들을 제공한다. 적합한 리모트 플라즈마 장치의 예는 2013년 10월 24일 출원된 미국 특허 출원번호 제 14/062,648 호에 기술되고, 전체 내용이 모든 목적들을 위해 참조로서 본 명세서에 인용된다. One aspect of the disclosure is an apparatus configured to accomplish the methods described herein. A suitable apparatus includes hardware for accomplishing the process operations and a system controller with instructions for controlling the process operations in accordance with the present disclosure. In some embodiments, an apparatus for performing the above-described process operations may include a remote plasma source. Remote plasma sources provide milder reaction conditions compared to direct plasma. An example of a suitable remote plasma device is described in U.S. Patent Application Serial No. 14/062,648, filed October 24, 2013, the entire contents of which are incorporated herein by reference for all purposes.

도 3은 특정한 실시예들에 따른 리모트 플라즈마 장치의 개략도를 나타낸다. 디바이스 (300) 는 샤워헤드 어셈블리 (320) 를 갖는 반응 챔버 (310) 를 포함한다. 반응 챔버 (310) 내부에서, 기판 (330) 이 스테이지 또는 페데스탈 (335) 상에 놓인다. 일부 실시예들에서, 페데스탈 (335) 은 가열/냉각 엘리먼트와 피팅 (fit) 될 수 있다. 제어기 (340) 가 디바이스 (300) 의 동작을 제어하기 위해 디바이스 (300) 의 컴포넌트들에 연결될 수도 있다. 예를 들어, 제어기 (340) 는 디바이스 (300) 의 동작들을 위한 프로세스 조건들, 예컨대 온도 프로세스 조건들 및/또는 압력 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 제어기 (340) 는 전구체 가스, 공-반응물질 가스, 소스 가스, 및 캐리어 가스의 플로우 레이트들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (340) 는 시간이 흐름에 따라 공-반응물질 가스의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다. 부가적으로 또느 대안적으로, 제어기 (340) 는 시간이 흐름에 따라 전구체 가스의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다.3 shows a schematic diagram of a remote plasma device according to certain embodiments. Device 300 includes a reaction chamber 310 having a showerhead assembly 320 . Inside reaction chamber 310, substrate 330 is placed on a stage or pedestal 335. In some embodiments, pedestal 335 may fit with a heating/cooling element. A controller 340 may be coupled to components of device 300 to control the operation of device 300. For example, controller 340 may include instructions for controlling process conditions for operations of device 300, such as temperature process conditions and/or pressure process conditions. In some embodiments, controller 340 may include instructions for controlling flow rates of precursor gas, co-reactant gas, source gas, and carrier gas. Controller 340 may include instructions to change the flow rate of the co-reactant gas over time. Additionally or alternatively, controller 340 may include instructions to change the flow rate of the precursor gas over time.

동작 동안, 가스들 또는 가스 혼합물들이 반응 챔버 (310) 에 커플링된 하나 이상의 가스 유입구들을 통해 반응 챔버 (310) 내로 도입된다. 일부 실시예들에서, 2 이상의 가스 유입구들이 반응 챔버 (310) 에 커플링된다. 제 1 가스 유입구 (355) 는 반응 챔버 (310) 에 커플링될 수 있고 용기 (350) 에 연결될 수 있고, 제 2 가스 유입구 (365) 는 반응 챔버 (310) 에 커플링될 수 있고 리모트 플라즈마 소스 (360) 에 연결될 수 있다. 리모트 플라즈마 구성들을 포함하는 실시예들에서, 전구체들 및 리모트 플라즈마 소스에서 생성된 라디칼 종을 위한 전달 라인들은 분리된다. 따라서, 전구체들 및 라디칼 종은 기판 (330) 에 도달하기 전에 실질적으로 상호작용하지 않는다.During operation, gases or gas mixtures are introduced into the reaction chamber 310 through one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to reaction chamber 310. A first gas inlet 355 can be coupled to the reaction chamber 310 and can be connected to a vessel 350, and a second gas inlet 365 can be coupled to the reaction chamber 310 and a remote plasma source. It can be connected to (360). In embodiments that include remote plasma configurations, delivery lines for precursors and radical species generated in the remote plasma source are separate. Accordingly, the precursors and radical species do not substantially interact before reaching the substrate 330.

하나 이상의 라디칼 종은 리모트 플라즈마 소스 (360) 에서 생성될 수도 있고 제 2 가스 유입구 (365) 를 통해 반응 챔버 (310) 로 들어가도록 구성될 수도 있다. 임의의 타입의 플라즈마 소스가 라디칼 종을 생성하기 위해 리모트 플라즈마 소스 (360) 에서 사용될 수도 있다. 이는, 이로 제한되는 것은 아니지만, 용량 결합 플라즈마들, 유도 결합 플라즈마들, 마이크로파 플라즈마들, DC 플라즈마들, 및 레이저-생성된 플라즈마들을 포함한다. 용량 결합 플라즈마의 예는 RF (radio frequency) 플라즈마일 수 있다. 고-주파수 플라즈마는 13.56 ㎒ 이상에서 동작하도록 구성될 수 있다. 이러한 리모트 플라즈마 소스 (360) 의 예는 California, Fremont 소재의 Lam Research Corporation에 의해 제작된 GAMMA®일 수 있다. 이러한 RF 리모트 플라즈마 소스 (360) 의 또 다른 예는 Massachusetts, Wilmington 소재의 MKS Instruments에 의해 제작된 Astron®일 수 있고, 440 ㎑에서 동작할 수 있고 하나 이상의 기판들을 동시에 프로세싱하기 위해 보다 대형의 장치에 볼트 결합된 서브유닛으로서 제공될 수 있다. 일부 실시예들에서, 마이크로파 플라즈마가 또한 MKS Instruments에 의해 제작된 Astex®와 같은 리모트 플라즈마 소스 (360) 로서 사용될 수 있다. 마이크로파 플라즈마는 2.45 ㎓의 주파수에서 동작하도록 구성될 수 있다. 리모트 플라즈마 소스로 제공된 가스는 수소, 질소, 산소, 및 본 명세서의 다른 곳에서 언급된 바와 같은 다른 가스들을 포함할 수도 있다. 특정한 실시예들에서, 수소는 헬륨과 같은 캐리어 가스에 제공된다. 예로서, 수소 가스는 약 1 내지 10 %의 수소의 농도의 헬륨 캐리어에 제공될 수도 있다.One or more radical species may be generated at the remote plasma source 360 and may be configured to enter the reaction chamber 310 through the second gas inlet 365. Any type of plasma source may be used in remote plasma source 360 to generate radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser-generated plasmas. An example of a capacitively coupled plasma may be a radio frequency (RF) plasma. The high-frequency plasma can be configured to operate above 13.56 MHz. An example of such a remote plasma source 360 may be GAMMA® manufactured by Lam Research Corporation of Fremont, California. Another example of such an RF remote plasma source 360 may be the Astron® manufactured by MKS Instruments of Wilmington, Massachusetts, which can operate at 440 kHz and can be used in larger devices for processing more than one substrate simultaneously. It may be provided as a bolted subunit. In some embodiments, microwave plasma may also be used as a remote plasma source 360, such as Astex® manufactured by MKS Instruments. The microwave plasma can be configured to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In certain embodiments, hydrogen is provided in a carrier gas such as helium. As an example, hydrogen gas may be provided in a helium carrier at a concentration of hydrogen of about 1 to 10%.

전구체들은 용기 (350) 내에 제공될 수 있고 제 1 가스 유입구 (355) 를 통해 샤워헤드 (320) 로 공급될 수 있다. 샤워헤드 (320) 는 반응 챔버 (310) 내로 기판 (330) 을 향해 전구체들을 분산시킨다. 기판 (330) 은 샤워헤드 (320) 밑에 위치될 수 있다. 샤워헤드 (320) 는 임의의 적합한 형상을 가질 수 있고, 가스들을 기판 (330) 으로 분산시키기 위한 임의의 수 및 배열의 포트들을 가질 수도 있다고 인식될 것이다. 전구체들은 제어된 플로우 레이트로 샤워헤드 (320) 로 궁극적으로 기판 (330) 으로 공급될 수 있다. Precursors may be provided in vessel 350 and supplied to showerhead 320 through first gas inlet 355. Showerhead 320 disperses precursors into reaction chamber 310 toward substrate 330 . Substrate 330 may be positioned beneath showerhead 320. It will be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for dispersing gases to the substrate 330. Precursors may be supplied at a controlled flow rate to the showerhead 320 and ultimately to the substrate 330.

리모트 플라즈마 소스 (360) 에서 형성된 하나 이상의 라디칼 종은 기판 (330) 을 향해 가스 상으로 반송될 수 있다. 하나 이상의 라디칼 종은 제 2 가스 유입구 (365) 를 통해 반응 챔버 (310) 내로 흐를 수 있다. 도 3에 예시된 바와 같이 제 2 가스 유입구 (365) 가 기판 (330) 의 표면에 직교할 필요는 없다는 것이 이해된다. 특정한 실시예들에서, 제 2 가스 유입구 (365) 는 기판 (330) 바로 위 또는 다른 위치들에 있을 수 있다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 리모트 플라즈마 소스 (360) 에서 생성된 이온화된 종은 실질적으로 중성화되지만, 실질적으로 저 에너지 상태들인 적어도 일부 라디칼 종은 기판 (330) 에 인접한 환경에 남아 있도록 순한 반응 조건들을 제공하도록 구성될 수 있다. 이러한 저 에너지 상태 라디칼 종은 안정한 화합물들을 형성하기 위해 재결합되지 않는다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 (예를 들어, 소스 RF 전력 레벨에 의해 부분적으로 결정된) 플라즈마의 침투성 (aggressiveness), 플라즈마 내 가스의 밀도 (예를 들어, 고농도의 수소 원자들이 있다면, 이들 중 상당한 분획은 반응 챔버 (310) 에 도달하기 전 H2를 형성하도록 재결합할 수도 있음), 및 다른 인자들의 함수일 수 있다. 일부 실시예들에서, 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 약 1 ㎝ 내지 30 ㎝, 예컨대 약 5 ㎝ 또는 약 15 ㎝일 수 있다.One or more radical species formed at the remote plasma source 360 may be transported in the gas phase toward the substrate 330. One or more radical species may flow into reaction chamber 310 through second gas inlet 365. It is understood that the second gas inlet 365 need not be perpendicular to the surface of the substrate 330 as illustrated in FIG. 3 . In certain embodiments, second gas inlet 365 may be directly above substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 is such that ionized species generated in the remote plasma source 360 are substantially neutralized, but at least some radical species in substantially low energy states are kept adjacent to the substrate 330. It can be configured to provide benign reaction conditions so that it remains in the environment. These low energy state radical species do not recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 depends on the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the density of gases in the plasma (e.g., high concentrations of hydrogen), A significant fraction of the atoms, if any, may recombine to form H 2 before reaching reaction chamber 310), and other factors. In some embodiments, the distance between remote plasma source 360 and reaction chamber 310 may be about 1 cm to 30 cm, such as about 5 cm or about 15 cm.

일부 실시예들에서, 주 실리콘-함유 전구체 또는 수소 라디칼이 아닌, 공-반응물질이 증착 반응 동안 도입된다. 일부 구현예들에서, 장치는 제 2 가스 유입구 (365) 를 통해 공-반응물질을 도입하도록 구성되고, 이 경우, 공-반응물질은 적어도 부분적으로 플라즈마로 변환된다. 일부 구현예들에서, 장치는 제 1 가스 유입구 (355) 를 통해 샤워헤드 (320) 를 통해 공-반응물질을 도입하도록 구성된다. 공-반응물질의 예들은 산소, 질소, 암모니아, 이산화탄소, 일산화탄소, 등을 포함한다. 공-반응물질의 플로우 레이트는 등급화된 막에서 조성 변화도를 생성하기 위해 시간이 흐름에 따라 가변할 수 있다.In some embodiments, a co-reactant other than the primary silicon-containing precursor or hydrogen radical is introduced during the deposition reaction. In some implementations, the device is configured to introduce a co-reactant through the second gas inlet 365, where the co-reactant is at least partially converted to plasma. In some implementations, the device is configured to introduce the co-reactant through the showerhead 320 through the first gas inlet 355. Examples of co-reactants include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, etc. The flow rate of the co-reactants can be varied over time to create a compositional gradient in the graded membrane.

제어기 (340) 는 디바이스 (300) 의 동작을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (340) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부들 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기 (340) 와 연관된 메모리 디바이스들 상에 저장될 수도 있거나 네트워크를 통해 제공될 수도 있다. Controller 340 may include instructions to control process conditions for operation of device 300. Controller 340 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog input/output connections and/or digital input/output connections, stepper motor controller boards, etc. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on memory devices associated with controller 340 or provided over a network.

특정한 실시예들에서, 제어기 (340) 는 본 명세서에 기술된 반도체 프로세싱 디바이스 (300) 의 모든 또는 대부분의 액티비티들을 제어한다. 예를 들어, 제어기 (340) 는 등급화된 실리콘 카바이드 막을 증착하는 것과 연관된 반도체 프로세싱 디바이스 (300) 의 모든 또는 대부분의 액티비티들 또는 선택가능하게 등급화된 실리콘 카바이드 막들을 포함하는 제조 플로우의 다른 동작들을 제어할 수도 있다. 제어기 (340) 는 타이밍, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, RF 전력 레벨들, 기판 위치 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 제어기 (340) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 일부 실시예들에서 채용될 수도 있다. 기판 (330) 에 인접한 환경에서 상대적으로 순한 반응 조건들을 제공하기 위해, RF 전력 레벨들, 리모트 플라즈마 영역으로의 가스 플로우 레이트, 및 플라즈마 점화 (ignition) 타이밍과 같은 파라미터들이 제어기 (340) 에 의해 조정되고 유지될 수 있다. 부가적으로, 기판 위치를 조정하는 것은 기판 (330) 에 인접한 환경에서 고-에너지 라디칼 종의 존재를 더 감소시킬 수도 있다. 멀티-스테이션 리액터에서, 제어기 (340) 는 상이한 장치 스테이션들에 대해 상이하거나 동일한 인스트럭션들을 포함할 수도 있어서, 장치 스테이션들로 하여금 독립적으로 또는 동기하여 동작하게 한다. In certain embodiments, controller 340 controls all or most activities of semiconductor processing device 300 described herein. For example, controller 340 may control all or most activities of semiconductor processing device 300 associated with depositing graded silicon carbide films or optionally other operations of a manufacturing flow including graded silicon carbide films. You can also control them. Controller 340 may execute system control software that includes sets of instructions for controlling timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters. . Other computer programs, scripts, or routines stored on memory devices associated with controller 340 may be employed in some embodiments. Parameters such as RF power levels, gas flow rate to the remote plasma region, and plasma ignition timing are adjusted by controller 340 to provide relatively benign reaction conditions in the environment adjacent to substrate 330. and can be maintained. Additionally, adjusting the substrate position may further reduce the presence of high-energy radical species in the environment adjacent to the substrate 330. In a multi-station reactor, controller 340 may include different or identical instructions for different device stations, allowing the device stations to operate independently or synchronously.

일부 실시예들에서, 제어기 (340) 는 실리콘-함유 전구체를 제 1 가스 유입구 (355) 를 통해 반응 챔버 (310) 내로 흘리는 동작, 실질적으로 저 에너지 상태의 소스 가스의 하나 이상의 라디칼 종을 리모트 플라즈마 소스 (360) 로부터 제공하는 동작, 공-반응물질 가스를 제 2 가스 유입구 (365) 를 통해 반응 챔버 (310) 내로 흘리는 동작, 시간이 흐름에 따라 공-반응물질 가스의 플로우 레이트를 변화시키는 동작, 및 기판 (330) 상에 등급화된 실리콘 카바이드 막을 형성하기 위해 실리콘-함유 전구체와 반응하도록 하나 이상의 라디칼 종을 제 2 가스 유입구 (365) 를 통해 반응 챔버 (310) 로 흘리는 동작과 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수도 있다. 일부 구현예들에서, 제어기 (340) 는 시간이 흐름에 따라 실리콘-함유 전구체의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다. In some embodiments, the controller 340 may operate to flow a silicon-containing precursor into the reaction chamber 310 through the first gas inlet 355, converting one or more radical species of the source gas in a substantially low energy state into a remote plasma. providing from a source 360, flowing co-reactant gas into reaction chamber 310 through second gas inlet 365, and varying the flow rate of the co-reactant gas over time. , and flowing one or more radical species through the second gas inlet 365 into the reaction chamber 310 to react with the silicon-containing precursor to form a graded silicon carbide film on the substrate 330. It may also include instructions for execution. In some implementations, controller 340 may include instructions to change the flow rate of the silicon-containing precursor over time.

일부 실시예들에서, 장치는 제어기 (340) 와 연관된 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.In some embodiments, the device may include a user interface associated with controller 340. The user interface may include a display screen, graphical software displays of device and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.

상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. Computer program code for controlling the operations may be written in any conventional computer-readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform tasks identified within the program.

프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 접속부들 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 시스템의 아날로그 출력 접속부들 및 디지털 출력 접속부들에 출력된다.Signals for monitoring the process may be provided by analog input connections and/or digital input connections of the system controller. Signals for controlling the process are output to analog output connections and digital output connections of the process system.

일반적으로, 본 명세서에 기술된 방법들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 과 같은 반도체 프로세싱 장비를 포함하는 시스템들 상에서 수행될 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 일반적으로, 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.Generally, the methods described herein utilize semiconductor processing equipment, such as a processing tool or tools, a chamber or chambers, a platform or platforms for processing, and/or specific processing components (wafer pedestals, gas flow systems, etc.). It can be performed on systems including: These systems may be integrated with electronics to control the operation of semiconductor wafers or substrates before, during, and after processing. Generally, electronic devices are referred to as “controllers” that may control a system or various components or sub-parts of systems. The controller controls delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, and power settings, depending on the processing requirements and/or type of system. , radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and motion settings, tools and other transfer tools and/or It may also be programmed to control any of the processes disclosed herein, including wafer transfers into and out of loadlocks connected or interfaced with a particular system.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 실리콘 카바이드), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, a controller includes various integrated circuits, logic, memory, and/or components that receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, etc. It may also be defined as an electronic device with software. Integrated circuits are chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one that executes program instructions (e.g., software). It may also include one or more microprocessors or microcontrollers. Program instructions may be instructions delivered to the controller or to the system in the form of various individual settings (or program files) that specify operating parameters for executing a particular process on or for a semiconductor wafer. In some embodiments, operating parameters are determined by a process engineer to accomplish one or more processing steps during fabrication of dies of one or more layers, materials (e.g., silicon carbide), surfaces, circuits, and/or wafers. It may be part of a prescribed recipe.

제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.The controller may, in some implementations, be coupled to or part of a computer that may be integrated into the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be all or part of a fab host computer system or within the “cloud,” which may enable remote access to wafer processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from multiple manufacturing operations, changes parameters of current processing, and performs processing steps that follow the current processing. You can also enable remote access to the system to configure, or start new processes. In some examples, a remote computer (eg, a server) may provide process recipes to the system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings to be subsequently transferred to the system from the remote computer. In some examples, the controller receives instructions in the form of data that specify parameters for each of the process steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of tool the controller is configured to control or interface with and the type of process to be performed. Accordingly, as discussed above, a controller may be distributed, for example by comprising one or more individual controllers that are networked together and cooperate together for a common purpose, for example the processes and controls described herein. An example of a distributed controller for this purpose is one or more integrated circuits on a chamber that communicate with one or more remotely located integrated circuits (e.g., at a platform level or as part of a remote computer) that combine to control processes on the chamber. It could be circuits.

본 명세서에 기술된 실리콘 카바이드 증착에 더하여, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다. In addition to the silicon carbide deposition described herein, exemplary systems include plasma etch chambers or modules, deposition chambers or modules, spin-rinse chambers or modules, metal plating chambers or modules, clean chambers or modules, and bevel edge etch chambers or modules. , physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etch (ALE) chamber or module, ion implantation chamber or module, track ) chamber or module, and any other semiconductor processing systems that may be used or associated in the fabrication and/or fabrication of semiconductor wafers.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. As described above, depending on the process step or steps to be performed by the tool, the controller may be used in material transfer to move containers of wafers to and from tool locations and/or load ports within the semiconductor fabrication plant. It may communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout the factory, a main computer, another controller or tools. .

본 명세서에 상기 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들이 일반의 제조 설비에서 함께 사용되거나 실행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 다음 동작들: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트의 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광 또는 UV 광 또는 x-레이 광에 포토레지스트를 노출하는 동작; (4) 레지스트를 선택적으로 제거하여 습식 벤치와 같은 툴을 사용하여 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다.The apparatus/process described above herein may be used in conjunction with lithographic patterning tools or processes for, for example, the fabrication or fabrication of semiconductor devices, displays, LEDs, photovoltaic panels, etc. Typically, although not necessarily, these tools/processes will be used or run together in a typical manufacturing facility. Lithographic patterning of a film typically involves the following operations, each of which is enabled using a number of possible tools: (1) a layer of photoresist on a workpiece, i.e., a substrate, using a spin-on or spray-on tool; The action of applying; (2) curing the photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV light or x-ray light using a tool such as a wafer stepper; (4) developing the resist for patterning using a tool such as a wet bench to selectively remove the resist; (5) transferring the resist pattern to the underlying film or workpiece using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

애플리케이션들applications

본 개시는 등급화된 실리콘 카바이드 막들을 포함하는, 고품질 실리콘 카바이드 막들에 대한 이하의 애플리케이션들을 참조하여 더 이해될 수도 있고, 이 애플리케이션들은 순수하게 예들로서 역할을 하도록 의도된다. 본 개시는 본 개시의 양태들의 단순한 예시들인 명시된 애플리케이션들에 의해 범위가 제한되지 않는다.The present disclosure may be further understood with reference to the following applications for high quality silicon carbide films, including graded silicon carbide films, which applications are intended to serve purely as examples. The present disclosure is not limited in scope by the specified applications, which are merely examples of aspects of the disclosure.

일부 실시예들에서, 실리콘 카바이드 막은 노출된 구리 위에 증착될 수도 있다. 실리콘 카바이드 막의 증착시, 기판에 인접한 반응 조건들은, 이들의 라디칼들을 포함하여, O2, O3, 및 CO2와 같은 산화제들이 없을 수 있다. 따라서, 실리콘 카바이드 막은 (예를 들어, 구리 옥사이드를 생성하는) 구리를 산화시키지 않고 노출된 구리 바로 위에 증착될 수도 있다. 이러한 막들은 에칭 정지 층들로서 역할을 할 수 있고, 또한 구리 확산 배리어들로서 역할을 할 수 있다. 실리콘 카바이드 막의 존재는 확산 배리어로서 역할을 하기에 우수한 누설 속성들과 함께 충분히 낮은 유전 상수를 제공할 수 있다. 실리콘 카바이드 막은 그 자체로 또는 이중층 스택 (예를 들어, 노출된 구리 위에 증착된 실리콘 카바이드/SiNC 이중층) 으로서, 또는 등급화된 막 (예를 들어, 등급화된 SiCO 막) 또는 다층 스택 (예를 들어, 다층 SiCO 막) 으로서 에칭 정지 및/또는 확산 배리어일 수 있다. 일부 실시예들에서, 실리콘 카바이드 막은 다마신 (damascene) 프로세스에 의해 통상적으로 생성되는 인접한 금속화 층들 사이에 배치될 수 있다. 실리콘 카바이드 막은 에칭에 내성을 가질 수 있고 유전체 재료의 인접한 영역들로 구리 이온들의 확산을 최소화하기 위해 충분히 치밀할 수 있다. 일부 실시예들에서, 실리콘 카바이드 막으로 채용된 전구체는 비-고리형 (non-cyclic) 일 수 있다. 비-고리형 전구체들은 PMDSO 또는 TMDSO를 포함할 수 있다. 비-고리형 전구체는 밀폐 또는 확산 배리어로서 역할을 하도록 충분히 고 밀도를 제공할 수 있다. 일부 실시예들에서, 질소는 질소-함유 전구체들 또는 플라즈마 활성화 질소-함유 라디칼들, 예컨대 원소적 질소 라디칼들 또는 아민 라디칼들을 채용함으로써 막 내로 통합될 수도 있다.In some embodiments, a silicon carbide film may be deposited over the exposed copper. When depositing a silicon carbide film, reaction conditions adjacent to the substrate may be free of oxidizing agents such as O 2 , O 3 , and CO 2 , including their radicals. Accordingly, a silicon carbide film may be deposited directly over the exposed copper without oxidizing the copper (e.g., creating copper oxide). These films can act as etch stop layers and also serve as copper diffusion barriers. The presence of a silicon carbide film can provide a sufficiently low dielectric constant along with excellent leakage properties to act as a diffusion barrier. Silicon carbide films can be prepared by themselves or as a bilayer stack (e.g., a silicon carbide/SiNC bilayer deposited on exposed copper), or as a graded film (e.g., a graded SiCO film) or a multilayer stack (e.g. For example, a multilayer SiCO film) may be an etch stop and/or diffusion barrier. In some embodiments, a silicon carbide film can be disposed between adjacent metallization layers, which are typically produced by a damascene process. The silicon carbide film can be resistant to etching and can be sufficiently dense to minimize diffusion of copper ions into adjacent areas of the dielectric material. In some embodiments, the precursor employed into the silicon carbide film may be non-cyclic. Non-cyclic precursors may include PMDSO or TMDSO. Non-cyclic precursors can provide sufficiently high densities to act as confinement or diffusion barriers. In some embodiments, nitrogen may be incorporated into the film by employing nitrogen-containing precursors or plasma activated nitrogen-containing radicals, such as elemental nitrogen radicals or amine radicals.

일부 실시예들에서, 실리콘 카바이드 막이 금속 구조체 또는 반도체 구조체에 인접한 수직 구조체들로서 증착될 수도 있다. 실리콘 카바이드의 증착은 수직 구조체들을 생성하기 위해 금속 구조체 또는 반도체 구조체의 측벽들을 따라 우수한 단차 커버리지를 제공한다. 특정한 실시예들에서, 수직 구조체들은 스페이서들 또는 라이너들로서 지칭될 수도 있다. 도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상에 증착된 실리콘 카바이드 라이너들의 단면을 예시한다. 도 1b에 예시된 바와 같이, 트랜지스터는 소스 (112) 및 드레인 (113) 을 갖는 실리콘 기판 (110) 을 갖는 CMOS 트랜지스터일 수 있다. 게이트 유전체 (114) 는 실리콘 기판 (110) 위에 증착될 수 있고, 게이트 전극은 트랜지스터를 형성하기 위해 게이트 유전체 (115) 위에 증착될 수 있다. 실리콘 카바이드 라이너들 (111) 은 게이트 전극 (115) 및 게이트 유전체 (114) 의 측벽들 상에 증착될 수 있다. 또 다른 예에서, 도 1c는 에어 갭 타입 금속화 층의 노출된 구리 라인들의 측벽들 상에 증착된 실리콘 카바이드의 단면을 예시한다. 에어 갭들 (120) 은 구리 라인들 (122) 사이의 IC 층으로 도입될 수 있고, 층의 유효 k-값을 감소시킬 수 있다. 실리콘 카바이드 라이너들 (121) 은 구리 라인들 (122) 의 측벽들 상에 증착될 수 있고, 비컨포멀 유전체 층 (123) 은 에어 갭들 (120), 라이너들 (121), 및 구리 라인들 (122) 상에 증착될 수 있다. 이러한 에어 갭 타입 금속화 층들이 예들은 Fei Wang 등의 미국 특허 공보 제 2004/0232552 호에 기술될 수 있고, 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.In some embodiments, the silicon carbide film may be deposited as vertical structures adjacent to the metal structure or semiconductor structure. Deposition of silicon carbide provides excellent step coverage along the sidewalls of a metallic or semiconductor structure to create vertical structures. In certain embodiments, vertical structures may be referred to as spacers or liners. 1B illustrates a cross-section of silicon carbide liners deposited on the sidewalls of the gate electrode structure of a transistor. As illustrated in FIG. 1B, the transistor may be a CMOS transistor with a silicon substrate 110 having a source 112 and a drain 113. A gate dielectric 114 can be deposited over the silicon substrate 110 and a gate electrode can be deposited over the gate dielectric 115 to form a transistor. Silicon carbide liners 111 may be deposited on the sidewalls of the gate electrode 115 and gate dielectric 114. In another example, Figure 1C illustrates a cross-section of silicon carbide deposited on the sidewalls of the exposed copper lines of an air gap type metallization layer. Air gaps 120 may be introduced into the IC layer between copper lines 122 and may reduce the effective k-value of the layer. Silicon carbide liners 121 can be deposited on the sidewalls of the copper lines 122 and a non-conformal dielectric layer 123 is formed on the air gaps 120, liners 121, and copper lines 122. ) can be deposited on. Examples of such air gap type metallization layers can be described in US Patent Publication No. 2004/0232552 to Fei Wang et al., incorporated herein by reference in its entirety for all purposes.

일부 실시예들에서, 실리콘 카바이드 막은 패터닝된 다공성 유전체 재료들의 측벽들 상에 증착될 수도 있다. ULK유전체 재료들이 다공성 구조체로 이루어질 수 있다. 이러한 재료들의 포어들은 탄탈 (Ta) 과 같은 금속 함유 확산 배리어들의 증착을 포함하여, 후속하는 층들의 증착 동안 금속의 진입 (ingress) 을 위한 영역을 제공할 수 있다. 매우 많은 금속이 유전체 재료 내로 이동하면 (migrate), 유전체 재료는 인접한 구리 금속화 라인들 사이에 쇼트 회로를 제공할 수도 있다. 도 1d는 다공성 유전체 재료들에 대한 포어 시일제로서 실리콘 카바이드의 단면을 예시한다. 다공성 유전체 층 (132) 은 포어들 (130) 을 형성하도록 다공성 유전체 층 (132) 내로 복수의 트렌치들 절단부 또는 비아들 절단부를 가질 수 있다. 실리콘 카바이드 (131) 는 포어들 (130) 을 효과적으로 시일링하기 위해 포어들 (130) 을 따라 증착될 수 있다. 실리콘 카바이드 (131) 를 사용하여 포어들 (130) 을 시일링하는 것은 그렇지 않으면 플라즈마를 사용하는 다른 시일링 기법들에 의해 발생할 수도 있는 다공성 유전체 층 (132) 을 손상시키는 것을 방지할 수 있다. 실리콘 카바이드 (131) 는 포어 시일제로서 충분히 치밀할 수 있고, PMDSO 및 TMDSO와 같은 비-고리형 실리콘-함유 전구체들을 포함할 수도 있다. 일부 실시예들에서, 다공성 유전체 층 (132) 과 같은 에칭된 유전체 재료는 다공성 유전체 층 (132) 을 UV 복사선 및 환원제레 노출하는, k-회복 (recovery)" 프로세스에 의해 먼저 처리될 수도 있다. 이 회복 프로세스는 Varadarajan 등이 공동으로 소유한 미국 특허 공보 제 2011/0111533 호에 더 기술되고, 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용된다. 또 다른 "회복" 프로세스에서, 다공성 유전체 층 (132) 은 UV 복사선 및 화학적 실릴화 제 (silylating agent) 에 노출될 수 있다. 이 회복 프로세스는 Varadarajan 등이 공동으로 소유한 미국 특허 공보 제 2011/0117678 호에 더 기술되고, 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다. 포어들 (130) 을 회복 처리에 노출한 후, 이는 표면을 보다 친수성 (hydrophilic) 으로 만들고, 재료의 모노레이어 (monolayer) 를 제공하고, 컨포멀하게 증착된 실리콘 카바이드 (131) 의 층이 다공성 유전체 층 (132) 의 포어들을 효과적으로 시일링하기 위해 증착될 수 있다.In some embodiments, a silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric materials. ULK dielectric materials can be composed of porous structures. Pores in these materials can provide an area for ingress of metal during the deposition of subsequent layers, including the deposition of metal-containing diffusion barriers, such as tantalum (Ta). If too much metal migrates into the dielectric material, it may provide a short circuit between adjacent copper metallization lines. Figure 1D illustrates a cross section of silicon carbide as a pore sealer for porous dielectric materials. Porous dielectric layer 132 may have a plurality of trenches or vias cut into porous dielectric layer 132 to form pores 130 . Silicon carbide 131 may be deposited along the pores 130 to effectively seal the pores 130. Sealing the pores 130 using silicon carbide 131 can prevent damage to the porous dielectric layer 132 that might otherwise be caused by other sealing techniques using plasma. Silicon carbide 131 can be sufficiently dense as a pore sealant and may include non-cyclic silicon-containing precursors such as PMDSO and TMDSO. In some embodiments, the etched dielectric material, such as porous dielectric layer 132, may first be treated by a "k-recovery" process, exposing porous dielectric layer 132 to UV radiation and a reducing agent. This recovery process is further described in commonly owned U.S. Patent Publication No. 2011/0111533 to Varadarajan et al., which is hereby incorporated by reference in its entirety for all purposes. In another "recovery" process, a porous dielectric layer ( 132) can be exposed to UV radiation and chemical silylating agents.This recovery process is further described in US Patent Publication No. 2011/0117678, co-owned by Varadarajan et al., and is disclosed in its entirety for all purposes. Incorporated herein by reference. After exposing the pores 130 to a reparative treatment, which renders the surface more hydrophilic and provides a monolayer of material, the conformally deposited silicon carbide A layer of 131 may be deposited to effectively seal the pores of the porous dielectric layer 132.

일부 실시예들에서, 실리콘 카바이드 막은 ULK 유전체 재료 자체로서 증착될 수도 있다. ULK 유전체들은 2.5보다 낮은 유전 상수를 갖는 재료들로서 종래에 규정되었다. 이러한 구성들에서, 실리콘 카바이드의 ULK유전체 재료는 다공성 유전체 층일 수 있다. 유전체 재료의 포어들은 고리형 실록산류 및 실세퀴녹산류를 포함하는, 고리형 전구체 분자들 또는 케이지된 전구체 분자들을 사용하여 도입될 수 있다. 일 예에서, 실리콘 카바이드의 ULK 유전체 층의 다공성은 약 20 % 내지 50 %일 수 있다. 게다가, ULK 유전체 층은 약 5 Å 내지 20 Å와 같이, 약 100 Å 미만의 평균 포어 사이즈를 가질 수 있다. 예를 들어, 사이클로실록산 링은 약 6.7 Å의 반경을 가질 수 있다. 포어들의 수 및 사이즈를 증가시키는 것이 유전 상수를 하강시킬 수 있지만, 유전체 층이 매우 다공성이라면 유전체 층의 기계적 무결성은 절충될 수 있다. In some embodiments, the silicon carbide film may be deposited as the ULK dielectric material itself. ULK dielectrics have previously been defined as materials with a dielectric constant lower than 2.5. In these configurations, the ULK dielectric material of silicon carbide may be a porous dielectric layer. Pores of the dielectric material can be introduced using cyclic or caged precursor molecules, including cyclic siloxanes and silsequinoxanes. In one example, the porosity of the ULK dielectric layer of silicon carbide may be about 20% to 50%. Additionally, the ULK dielectric layer may have an average pore size of less than about 100 Å, such as about 5 Å to 20 Å. For example, a cyclosiloxane ring may have a radius of approximately 6.7 Å. Increasing the number and size of pores can lower the dielectric constant, but the mechanical integrity of the dielectric layer can be compromised if the dielectric layer is highly porous.

전술한 바는 명확성 및 이해의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것은 자명할 것이다. 기술된 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 기술된 실시예들은 예시로서 그리고 제한하지 않는 것으로 간주되어야 한다. Although the foregoing has been described in some detail for purposes of clarity and understanding, it will be apparent that certain changes and modifications may be made within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the described processes, systems and devices. Accordingly, the described embodiments should be regarded as illustrative and not limiting.

Claims (19)

등급화된 (graded) 실리콘 카바이드 막을 증착하는 방법에 있어서,
반응 챔버에 기판을 제공하는 단계;
상기 기판 상으로 유기실리콘 (organosilicon) 전구체를 흘리는 단계;
상기 반응 챔버를 향해 공-반응물질 (co-reactant) 가스를 흘리는 단계;
상기 반응 챔버로부터 리모트인 (remote) 플라즈마 소스에 소스 가스를 제공하는 단계;
상기 소스 가스로부터, 상기 플라즈마 소스에서 상기 소스 가스의 하나 이상의 라디칼들을 생성하는 단계;
상기 기판 상으로 상기 소스 가스의 상기 하나 이상의 라디칼들을 도입하는 단계로서, 상기 공-반응물질 가스 및 상기 소스 가스의 상기 하나 이상의 라디칼들은 실리콘 카바이드 막을 증착하기 위해 상기 유기실리콘 전구체와 반응하는, 상기 기판 상으로 상기 소스 가스의 상기 하나 이상의 라디칼들을 도입하는 단계; 및
상기 공-반응물질 가스 및 상기 소스 가스의 상기 하나 이상의 라디칼들이 상기 유기실리콘 전구체와 반응하는 동안 상기 실리콘 카바이드 막으로부터 등급화된 실리콘 카바이드 막을 형성하기 위해 시간이 흐름에 따라 (over time) 상기 공-반응물질 가스의 플로우 레이트 (flow rate) 를 변화시키는 단계로서, 상기 등급화된 실리콘 카바이드 막은 상기 등급화된 실리콘 카바이드 막의 제 1 표면으로부터 상기 등급화된 실리콘 카바이드 막의 상기 제 1 표면의 맞은 편인 제 2 표면으로의 조성 변화도 (gradient) 를 갖고, 시간이 흐름에 따라 상기 공-반응물질 가스의 플로우 레이트를 변화시키는 단계가 상기 등급화된 실리콘 카바이드 막의 탄소 함량을 튜닝하는, 상기 공-반응물질 가스의 플로우 레이트를 변화시키는 단계를 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
A method for depositing a graded silicon carbide film, comprising:
providing a substrate to a reaction chamber;
flowing an organosilicon precursor onto the substrate;
flowing a co-reactant gas toward the reaction chamber;
providing a source gas to a plasma source remote from the reaction chamber;
generating, from the source gas, one or more radicals of the source gas in the plasma source;
Introducing the one or more radicals of the source gas onto the substrate, wherein the co-reactant gas and the one or more radicals of the source gas react with the organosilicon precursor to deposit a silicon carbide film. introducing the one or more radicals of the source gas into the phase; and
The co-reactant gas and the one or more radicals of the source gas react with the organosilicon precursor over time to form a graded silicon carbide film from the silicon carbide film. Changing the flow rate of the reactant gas, wherein the graded silicon carbide film is moved from a first surface of the graded silicon carbide film to a second surface opposite the first surface of the graded silicon carbide film. a co-reactant gas having a compositional gradient to the surface, wherein varying the flow rate of the co-reactant gas over time tunes the carbon content of the graded silicon carbide film. A method of depositing a graded silicon carbide film comprising varying the flow rate of .
제 1 항에 있어서,
상기 공-반응물질 가스를 상기 반응 챔버를 향해 흘리는 단계는 상기 공-반응물질 가스를 상기 플라즈마 소스를 통해 흘리는 것을 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 1,
Wherein flowing the co-reactant gas toward the reaction chamber includes flowing the co-reactant gas through the plasma source.
제 2 항에 있어서,
상기 공-반응물질 가스로부터, 상기 플라즈마 소스의 상기 공-반응물질 가스의 하나 이상의 라디칼들을 생성하는 단계; 및
상기 공-반응물질 가스의 상기 하나 이상의 라디칼들을 상기 기판 상으로 도입하는 단계를 더 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 2,
generating, from the co-reactant gas, one or more radicals of the co-reactant gas of the plasma source; and
A method of depositing a graded silicon carbide film, further comprising introducing the one or more radicals of the co-reactant gas onto the substrate.
제 1 항에 있어서,
상기 공-반응물질 가스를 상기 반응 챔버를 향해 흘리는 단계는 상기 유기실리콘 전구체와 동일한 플로우 경로에서 상기 공-반응물질 가스를 흘리는 것을 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 1,
Wherein flowing the co-reactant gas toward the reaction chamber comprises flowing the co-reactant gas in the same flow path as the organosilicon precursor.
제 1 항에 있어서,
상기 공-반응물질 가스는 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 디아진 (diazene) (N2H2), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 디보란 (B2H6), 또는 이들의 조합들을 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 1,
The co-reactant gases include carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ), Nitrous oxide (N 2 O), ammonia (NH 3 ), diazene (N 2 H 2 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene ( A method of depositing a graded silicon carbide film comprising C 2 H 4 ), diborane (B 2 H 6 ), or combinations thereof.
제 5 항에 있어서,
상기 공-반응물질 가스는 산소 가스인, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 5,
A method of depositing a graded silicon carbide film, wherein the co-reactant gas is oxygen gas.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 등급화된 실리콘 카바이드 막은 등급화된 산소 도핑된 실리콘 카바이드 (SiCO) 막인, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
A method of depositing a graded silicon carbide film, wherein the graded silicon carbide film is a graded oxygen-doped silicon carbide (SiCO) film.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 등급화된 실리콘 카바이드 막의 상기 조성 변화도는 상기 등급화된 실리콘 카바이드 막의 상기 제 1 표면으로부터 상기 제 2 표면으로 상승하는 농도의 탄소를 갖는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
and wherein the compositional gradient of the graded silicon carbide film has an increasing concentration of carbon from the first surface to the second surface of the graded silicon carbide film.
제 8 항에 있어서,
상기 등급화된 실리콘 카바이드 막의 상기 제 1 표면에서의 상기 탄소의 원자 농도는 20 %보다 작고, 상기 등급화된 실리콘 카바이드 막의 상기 제 2 표면에서의 상기 탄소의 원자 농도는 20 %보다 큰, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 8,
wherein the atomic concentration of carbon at the first surface of the graded silicon carbide film is less than 20% and the atomic concentration of carbon at the second surface of the graded silicon carbide film is greater than 20%. Method for depositing silicon carbide films.
제 8 항에 있어서,
상기 제 2 표면은 상기 등급화된 실리콘 카바이드 막의 상기 제 1 표면보다 옥사이드/나이트라이드에 대해 보다 높은 에칭 선택도, 애싱 (ash) 및 스트립 (strip) 에 대해 보다 높은 내성, 및 스팀 어닐링 (steam annealing) 에 대한 보다 높은 내성을 갖는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 8,
The second surface has higher etch selectivity to oxides/nitrides, higher resistance to ash and stripping, and steam annealing than the first surface of the graded silicon carbide film. ) A method of depositing graded silicon carbide films with higher resistance to
제 8 항에 있어서,
상기 제 2 표면은 상기 등급화된 실리콘 카바이드 막의 상기 제 1 표면보다 높은 유전 상수를 갖는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 8,
wherein the second surface has a higher dielectric constant than the first surface of the graded silicon carbide film.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 등급화된 실리콘 카바이드 막은 진공 브레이크 (vacuum break) 를 도입하지 않고 형성되는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
A method of depositing a graded silicon carbide film, wherein the graded silicon carbide film is formed without introducing a vacuum break.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
시간이 흐름에 따라 상기 공-반응물질 가스의 상기 플로우 레이트를 변화시키는 단계는 상기 등급화된 실리콘 카바이드 막의 두께를 가로질러 상기 공-반응물질 가스의 상기 플로우 레이트를 점진적으로 변화시키는 것을 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
Changing the flow rate of the co-reactant gas over time includes gradually changing the flow rate of the co-reactant gas across a thickness of the graded silicon carbide film. Method for depositing graded silicon carbide films.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 소스 가스의 상기 하나 이상의 라디칼들은 수소 라디칼들을 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
wherein the one or more radicals of the source gas comprise hydrogen radicals.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 유기실리콘 전구체는: (i) 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들, (ii) 하나 이상의 실리콘-탄소 결합들, 및 (iii) 하나 이상의 실리콘-산소 결합들을 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
The organosilicon precursor comprises: (i) one or more silicon-hydrogen bonds and/or silicon-silicon bonds, (ii) one or more silicon-carbon bonds, and (iii) one or more silicon-oxygen bonds. Method for depositing graded silicon carbide films.
제 15 항에 있어서,
상기 유기실리콘 전구체는: 고리형 실록산, 선형 실록산, 및 알콕시 실록산으로 구성된 그룹으로부터 선택되는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
According to claim 15,
The organosilicon precursor is selected from the group consisting of: cyclic siloxanes, linear siloxanes, and alkoxy siloxanes.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 기판은 복수의 피처들을 갖고, 상기 피처들 각각은 5:1보다 큰 깊이 대 폭 종횡비를 갖는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
wherein the substrate has a plurality of features, each of the features having a depth-to-width aspect ratio of greater than 5:1.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
시간이 흐름에 따라 상기 공-반응물질 가스의 상기 플로우 레이트를 변화시키는 단계는 상기 유기실리콘 전구체의 플로우 레이트 또는 상기 소스 가스의 플로우 레이트를 변화시키지 않고 발생하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
wherein changing the flow rate of the co-reactant gas over time occurs without changing the flow rate of the organosilicon precursor or the flow rate of the source gas. .
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
시간이 흐름에 따라 상기 유기실리콘 전구체의 플로우 레이트를 변화시키는 단계를 더 포함하는, 등급화된 실리콘 카바이드 막을 증착하는 방법.
The method according to any one of claims 1 to 6,
A method of depositing a graded silicon carbide film, further comprising varying the flow rate of the organosilicon precursor over time.
KR1020227018731A 2016-09-30 2017-09-15 Remote plasma based deposition of graded or multi-layered silicon carbide film KR102611346B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237041846A KR20230169473A (en) 2016-09-30 2017-09-15 Remote plasma based deposition of graded or multi-layered silicon carbide film

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/283,159 US10297442B2 (en) 2013-05-31 2016-09-30 Remote plasma based deposition of graded or multi-layered silicon carbide film
US15/283,159 2016-09-30
PCT/US2017/051794 WO2018063825A1 (en) 2016-09-30 2017-09-15 Remote plasma based deposition of graded or multi-layered silicon carbide film
KR1020197012042A KR102406467B1 (en) 2016-09-30 2017-09-15 Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197012042A Division KR102406467B1 (en) 2016-09-30 2017-09-15 Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237041846A Division KR20230169473A (en) 2016-09-30 2017-09-15 Remote plasma based deposition of graded or multi-layered silicon carbide film

Publications (2)

Publication Number Publication Date
KR20220080028A KR20220080028A (en) 2022-06-14
KR102611346B1 true KR102611346B1 (en) 2023-12-07

Family

ID=61758427

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237041846A KR20230169473A (en) 2016-09-30 2017-09-15 Remote plasma based deposition of graded or multi-layered silicon carbide film
KR1020227018731A KR102611346B1 (en) 2016-09-30 2017-09-15 Remote plasma based deposition of graded or multi-layered silicon carbide film
KR1020197012042A KR102406467B1 (en) 2016-09-30 2017-09-15 Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237041846A KR20230169473A (en) 2016-09-30 2017-09-15 Remote plasma based deposition of graded or multi-layered silicon carbide film

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197012042A KR102406467B1 (en) 2016-09-30 2017-09-15 Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films

Country Status (5)

Country Link
US (1) US10297442B2 (en)
KR (3) KR20230169473A (en)
CN (1) CN109791871B (en)
TW (1) TW201823159A (en)
WO (1) WO2018063825A1 (en)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10224414B2 (en) * 2016-12-16 2019-03-05 Lam Research Corporation Method for providing a low-k spacer
US10965281B2 (en) * 2017-09-25 2021-03-30 Dialog Semiconductor (Uk) Limited Circuit based on a III/V semiconductor and a method of operating the same
US10957543B2 (en) 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN112469846B (en) * 2018-07-24 2023-10-27 朗姆研究公司 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
CN109119339B (en) * 2018-08-26 2022-02-08 合肥安德科铭半导体科技有限公司 SiCO spacer layer material with low dielectric constant and preparation method and application thereof
US10692991B2 (en) 2018-09-06 2020-06-23 Globalfoundries Inc. Gate-all-around field effect transistors with air-gap inner spacers and methods
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11681213B2 (en) 2019-02-21 2023-06-20 International Business Machines Corporation EUV pattern transfer using graded hardmask
US10903317B1 (en) 2019-08-07 2021-01-26 Globalfoundries U.S. Inc. Gate-all-around field effect transistors with robust inner spacers and methods
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20220097483A (en) * 2019-11-08 2022-07-07 어플라이드 머티어리얼스, 인코포레이티드 Methods for reducing material surface roughness
US11859278B2 (en) * 2020-03-08 2024-01-02 Applied Materials, Inc. Molecular layer deposition of amorphous carbon films
KR20230039745A (en) * 2020-07-24 2023-03-21 버슘머트리얼즈 유에스, 엘엘씨 Cyclosiloxanes and films made thereof
US20220091513A1 (en) * 2020-09-18 2022-03-24 Applied Materials, Inc. Film structure for electric field assisted bake process
US11658026B2 (en) * 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
CN114361274B (en) * 2022-01-07 2024-04-16 上海交通大学 Silicon-based semiconductor photoelectric material based on component gradual change silicon-carbon strain layer and preparation method thereof
CN115775768B (en) * 2023-02-13 2023-07-04 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004172590A (en) 2002-10-30 2004-06-17 Fujitsu Ltd Silicon oxycarbide, method for growing silicon oxycarbide layer, semiconductor device, and method for manufacturing semiconductor device
US20060091559A1 (en) * 2004-11-04 2006-05-04 International Business Machines Corporation Hardmask for improved reliability of silicon based dielectrics
JP2012182373A (en) 2011-03-02 2012-09-20 Taiyo Nippon Sanso Corp Silicon carbide removal device and silicon carbide removal method
US20150303056A1 (en) * 2012-06-12 2015-10-22 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Family Cites Families (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
JP2736380B2 (en) 1987-08-11 1998-04-02 株式会社豊田中央研究所 Method for producing silicon carbide material and raw material composition
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (en) 1988-04-07 1989-10-19 Wacker Chemie Gmbh METHOD FOR PRODUCING ORGANOPOLYSILANES
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JPH05326452A (en) 1991-06-10 1993-12-10 Kawasaki Steel Corp Equipment and method for plasma treatment
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
SE9501312D0 (en) 1995-04-10 1995-04-10 Abb Research Ltd Method of procucing a semiconductor device
KR100219550B1 (en) 1996-08-21 1999-09-01 윤종용 Anti-reflective coating layer and pattern forming method using the same
JP3164019B2 (en) 1997-05-21 2001-05-08 日本電気株式会社 Silicon oxide film, method for forming the same, and film forming apparatus
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
WO1999004911A1 (en) 1997-07-28 1999-02-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JP4151862B2 (en) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (en) 1998-06-12 1999-12-24 Sharp Corp Photoelectric conversion element and manufacture thereof
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
EP1056139A3 (en) 1999-05-28 2007-09-19 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
EP1208002A4 (en) 1999-06-03 2006-08-02 Penn State Res Found Deposited thin film void-column network materials
DE69940114D1 (en) 1999-08-17 2009-01-29 Applied Materials Inc Surface treatment of carbon-doped SiO 2 films to increase the stability during O 2 ashing
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (en) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 Etching mask, method of forming contact hole using etching mask, and semiconductor device formed by the method
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
US7019399B2 (en) 2001-01-22 2006-03-28 N.V. Bekaert S.A. Copper diffusion barriers made of diamond-like nanocomposits doped with metals
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (en) 2001-05-29 2004-01-07 삼성전자주식회사 Method for manufacturing capacitor in integrated circuits device
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100420598B1 (en) 2001-11-28 2004-03-02 동부전자 주식회사 Method for formation copper diffusion barrier a film by using aluminum
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
KR100449028B1 (en) 2002-03-05 2004-09-16 삼성전자주식회사 Method for forming thin film using ALD
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
ATE322561T1 (en) 2002-05-24 2006-04-15 Schott Ag APPARATUS FOR CVD COATINGS
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4109531B2 (en) 2002-10-25 2008-07-02 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (en) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale An improved SiC barrier layer for a low-k dielectric, metallization layer and method of making the same
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) * 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
JP3966249B2 (en) 2003-07-30 2007-08-29 日産自動車株式会社 Semiconductor device and manufacturing method of semiconductor device
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
WO2005064403A1 (en) 2003-12-26 2005-07-14 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
WO2005069367A1 (en) 2004-01-13 2005-07-28 Tokyo Electron Limited Method for manufacturing semiconductor device and film-forming system
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
JP5113982B2 (en) 2004-04-23 2013-01-09 トヨタ自動車株式会社 Method for producing carbon composite material in which metal carbide particles are dispersed
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (en) 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
JP2007053133A (en) 2005-08-15 2007-03-01 Toshiba Corp Semiconductor device and manufacturing method thereof
JP4837370B2 (en) 2005-12-05 2011-12-14 東京エレクトロン株式会社 Deposition method
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101427361A (en) 2006-02-28 2009-05-06 St微电子(克偌林斯2)股份有限公司 Metal interconnects in a dielectric material
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
JP5040913B2 (en) 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP5380797B2 (en) 2006-08-21 2014-01-08 富士通株式会社 Manufacturing method of semiconductor device
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
DE102006058771B4 (en) 2006-12-12 2018-03-01 Schott Ag Container with improved emptiness and method for its production
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
CA2670809A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
CN101017834A (en) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 SOI integrated circuit structure and its making method
JP5140290B2 (en) 2007-03-02 2013-02-06 富士フイルム株式会社 Insulation film
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
TWI455203B (en) 2007-05-03 2014-10-01 Lam Res Corp Hardmask open and etch profile control with hardmask open
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (en) 2007-09-20 2009-04-09 Fujifilm Corp Stripper for semiconductor device and stripping method
WO2009057223A1 (en) 2007-11-02 2009-05-07 Canon Anelva Corporation Surface treating apparatus and method for substrate treatment
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
WO2010011390A2 (en) 2008-05-07 2010-01-28 The Trustees Of Princeton University Hybrid layers for use in coatings on electronic devices or other articles
KR101629193B1 (en) * 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing soi substrate
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) * 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
KR101758944B1 (en) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 Novel gap fill integration
JP5394270B2 (en) 2010-01-25 2014-01-22 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
JP5123349B2 (en) 2010-04-19 2013-01-23 Hoya株式会社 Multi-tone mask manufacturing method
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012061593A2 (en) * 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
CN102468434A (en) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 Manufacturing method of phase change memory
KR101787041B1 (en) 2010-11-17 2017-10-18 삼성전자주식회사 Methods for forming semiconductor devices having etch stopping layers, and methods for fabricating semiconductor devices
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (en) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 Composition for high strength siliconoxycarbide bonded silicon carbide ceramics and the producing method of the silicon carbide ceramics
JP2013055136A (en) 2011-09-01 2013-03-21 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
WO2013073216A1 (en) 2011-11-14 2013-05-23 住友電気工業株式会社 Silicon carbide substrate, semiconductor device and methods for producing same
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9382268B1 (en) * 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (en) 2013-12-19 2018-01-24 東京エレクトロン株式会社 Manufacturing method of semiconductor device
KR102231643B1 (en) * 2014-03-13 2021-03-24 엘지이노텍 주식회사 METHOD FOR GROWIG SiC EPITAXIAL LAYER AND POWER DEVICE
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004172590A (en) 2002-10-30 2004-06-17 Fujitsu Ltd Silicon oxycarbide, method for growing silicon oxycarbide layer, semiconductor device, and method for manufacturing semiconductor device
US20060091559A1 (en) * 2004-11-04 2006-05-04 International Business Machines Corporation Hardmask for improved reliability of silicon based dielectrics
JP2012182373A (en) 2011-03-02 2012-09-20 Taiyo Nippon Sanso Corp Silicon carbide removal device and silicon carbide removal method
US20150303056A1 (en) * 2012-06-12 2015-10-22 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Also Published As

Publication number Publication date
TW201823159A (en) 2018-07-01
US20180096842A1 (en) 2018-04-05
CN109791871A (en) 2019-05-21
WO2018063825A1 (en) 2018-04-05
US10297442B2 (en) 2019-05-21
KR20220080028A (en) 2022-06-14
KR102406467B1 (en) 2022-06-07
KR20190050855A (en) 2019-05-13
KR20230169473A (en) 2023-12-15
CN109791871B (en) 2024-04-02
US20180240664A9 (en) 2018-08-23

Similar Documents

Publication Publication Date Title
KR102611346B1 (en) Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102582838B1 (en) Densification of silicon carbide film using remote plasma treatment
KR102545881B1 (en) METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US20220148875A1 (en) Conformal deposition of silicon carbide films
KR102515238B1 (en) Conformal deposition of silicon carbide films
KR102480201B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102615163B1 (en) Remote plasma-based deposition of silicon carbide films using silicon-containing precursors and carbon-containing precursors
KR102542281B1 (en) Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant