KR102548632B1 - 플라즈마 프로세스들을 제어하기 위한 킬로헤르츠 rf 생성기 및 메가헤르츠 rf 생성기를 사용하는 동작을 위한 임피던스 매칭 회로 - Google Patents

플라즈마 프로세스들을 제어하기 위한 킬로헤르츠 rf 생성기 및 메가헤르츠 rf 생성기를 사용하는 동작을 위한 임피던스 매칭 회로 Download PDF

Info

Publication number
KR102548632B1
KR102548632B1 KR1020160022427A KR20160022427A KR102548632B1 KR 102548632 B1 KR102548632 B1 KR 102548632B1 KR 1020160022427 A KR1020160022427 A KR 1020160022427A KR 20160022427 A KR20160022427 A KR 20160022427A KR 102548632 B1 KR102548632 B1 KR 102548632B1
Authority
KR
South Korea
Prior art keywords
circuit
signal
generator
mhz
khz
Prior art date
Application number
KR1020160022427A
Other languages
English (en)
Other versions
KR20160106499A (ko
Inventor
알렉세이 마라크타노브
펠릭스 코자케비치
존 패트릭 홀란드
브렛 제이콥스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160106499A publication Critical patent/KR20160106499A/ko
Application granted granted Critical
Publication of KR102548632B1 publication Critical patent/KR102548632B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F38/00Adaptations of transformers or inductances for specific applications or functions
    • H01F38/14Inductive couplings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F38/00Adaptations of transformers or inductances for specific applications or functions
    • H01F38/14Inductive couplings
    • H01F2038/146Inductive couplings in combination with capacitive coupling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

임피던스 매칭 회로 (IMC) 가 기술된다. 임피던스 매칭 회로는 제 1 회로를 포함한다. 제 1 회로는 킬로헤르츠 (㎑) RF (radio frequency) 생성기에 커플링된 입력부를 갖는다. IMC는 제 2 회로를 포함한다. 제 2 회로는 저주파수 메가헤르츠 (㎒) RF 생성기에 커플링된 입력부를 갖는다. IMC는 제 3 회로를 포함한다. 제 3 회로는 고주파수 ㎒ RF 생성기에 커플링된 입력부를 갖는다. IMC는 RF 전송 라인의 입력부에 커플링된 제 1 회로, 제 2 회로, 및 제 3 회로의 출력부를 포함한다. 제 1 회로 및 제 2 회로는 제 1 회로를 통해 전송된 ㎑ RF 신호와 제 2 회로를 통해 전송된 저주파수 ㎒ RF 신호 사이의 분리를 제공한다.

Description

플라즈마 프로세스들을 제어하기 위한 킬로헤르츠 RF 생성기 및 메가헤르츠 RF 생성기를 사용하는 동작을 위한 임피던스 매칭 회로{IMPEDANCE MATCHING CIRCUIT FOR OPERATION WITH A KILOHERTZ RF GENERATOR AND A MEGAHERTZ RF GENERATOR TO CONTROL PLASMA PROCESSES}
본 실시예들은 플라즈마 프로세스들을 제어하기 위한 킬로헤르츠 (㎑) RF (radio frequency) 생성기 및 메가헤르츠 (㎒) RF 생성기를 사용하는 동작을 위한 임피던스 매칭에 관한 것이다.
플라즈마 툴에서, 복수의 RF 생성기들은 플라즈마 챔버에 연결된다. RF 생성기들은 펄싱될 수도 있는 RF 신호들을 생성하고 그리고 플라즈마 챔버 내의 다양한 프로세스들을 제어하도록 플라즈마 챔버에 RF 신호들을 제공한다. 웨이퍼는 플라즈마 프로세스 가스 및 RF 신호들을 수신 시 생성되는 플라즈마에 의해 플라즈마 챔버 내에서 프로세싱된다.
플라즈마 챔버에 RF 생성기들을 연결하는 방식은 여러 가지이다. 예를 들어, 일부 RF 생성기들은 플라즈마 챔버의 상단 전극에 연결되고 그리고 나머지 RF 생성기들은 플라즈마 챔버의 하단 전극에 연결된다. 이러한 개별적인 연결은 상단 전극 및 하단 전극의 개별적인 제어에 도움이 된다. 그러나, 개별적인 제어는 비용 면에 있어서 효율적이지 않다.
본 개시에 기술된 실시예들은 이 맥락에서 발생한다.
본 개시의 실시예들은 플라즈마 프로세스들을 제어하도록 ㎑ RF 생성기 및 ㎒ RF 생성기를 사용하는 동작을 위한 임피던스 매칭을 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 수많은 방식들로, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 비일시적인 컴퓨터-판독 가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들은 이하에 기술된다.
일 실시예에서, ㎑ RF 생성기는 저주파수 ㎒ 생성기 및/또는 고주파수 ㎒ RF 생성기에 더하여 IMC (impedance matching circuit) 에 연결된다. IMC는 저주파수 ㎒ RF 생성기 또는 고주파수 ㎒ RF 생성기에 의해 생성되는 RF 신호로부터 ㎑ RF 생성기에 의해 생성되는 RF 신호의 효과를 분리하고 그리고 수정된 신호를 생성하도록 IMC에 연결된 소스의 임피던스와 IMC에 연결된 부하의 임피던스를 매칭한다. 수정된 신호는 플라즈마 챔버의 전극에 제공된다.
IMC를 통한 동일한 전극에의 ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 및/또는 고주파수 ㎒ RF 생성기의 연결은, 플라즈마 챔버의 상부 전극에 ㎑ RF 생성기를 연결하는 것 및 플라즈마 챔버의 하부 전극에 저주파수 ㎒ RF 생성기 및 고주파수 ㎒ RF 생성기를 연결하는 것과 연관된 비용을 감소시킨다. 예를 들어, IMC를 통해 동일한 전극에 ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 및/또는 고주파수 ㎒ RF 생성기가 연결될 때, 일 IMC가 사용된다. 비교적으로, ㎑ RF 생성기가 플라즈마 챔버의 상부 전극에 연결되고 그리고 저주파수 ㎒ RF 생성기 및 고주파수 ㎒ RF 생성기가 플라즈마 챔버의 하부 전극에 연결될 때, 복수의 IMC들이 사용된다. 일 IMC는 상부 전극에 ㎑ RF 생성기를 연결하고 그리고 또 다른 IMC는 하부 전극에 저주파수 RF 생성기 및 고주파수 RF 생성기를 연결한다. 또한, 제 1 RF 전송 라인은 플라즈마 챔버에 ㎑ RF 생성기에 연결된 IMC를 연결하고 그리고 제 2 RF 전송 라인은 저주파수 ㎒ RF 생성기 및 고주파수 ㎒ RF 생성기에 또 다른 IMC를 연결한다. 복수의 IMC들 및 복수의 RF 전송 라인들은 비용들, 예를 들어, IMC를 하우징하는 비용, IMC를 튜닝하는 (tuning) 비용들, 복수의 RF 전송 라인들의 비용들 등을 증가시킨다.
또한, IMC를 통해 ㎑ RF 생성기에 연결되는 상부 전극은 상부 전극의 비용을 증가시키는 절연체 층들, 예를 들어, 알루미늄 나이트라이드 층, 등을 포함한다. 알루미늄 나이트라이드 층은 RF 전력 공급된 상부 전극과 지면 사이에서 절연체의 역할을 한다. 이러한 비용들은 RF 전송 라인, IMC, 및 알루미늄 나이트라이드 층을 배제한 상부 전극을 사용함으로써 감소된다. ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 및/또는 고주파수 ㎒ RF 생성기가 플라즈마 챔버의 반대에 위치된 전극, 예를 들어, 하부 전극, 등에 연결될 때 전극, 예를 들어, 상부 전극, 등이 알루미늄 나이트라이드 층을 가질 필요가 없다.
실시예에서, IMC가 기술된다. 임피던스 매칭 회로는 제 1 복수의 튜닝 엘리먼트들을 포함한 제 1 회로를 포함한다. 제 1 회로는 ㎑ RF 생성기에 커플링된 입력부를 갖는다. IMC는 제 2 복수의 튜닝 엘리먼트들을 가진 제 2 회로를 포함한다. 제 2 회로는 저주파수 ㎒ RF 생성기에 커플링된 입력부를 갖는다. 제 2 회로의 제 2 복수의 튜닝 엘리먼트들은 제 1 회로의 제 1 복수의 튜닝 엘리먼트들에 인접한 배향으로 배치된다. IMC는 제 3 복수의 튜닝 엘리먼트들을 가진 제 3 회로를 포함한다. 제 3 회로는 고주파수 ㎒ RF 생성기에 커플링된 입력부를 갖는다. 제 3 회로의 제 3 복수의 튜닝 엘리먼트들은 제 2 회로의 제 2 복수의 튜닝 엘리먼트들에 인접한 배향으로 배치된다. IMC는 RF 전송 라인의 입력부에 커플링된 제 1 회로, 제 2 회로, 및 제 3 회로의 출력부를 포함한다. RF 전송 라인의 출력부는 플라즈마 챔버의 전극에 커플링된다. 제 1 회로 및 제 2 회로는 제 1 회로를 통해 전송된 ㎑ RF 신호와 제 2 회로를 통해 전송된 저주파수 ㎒ RF 신호 사이의 분리를 제공한다. ㎑ RF 신호와 저주파수 ㎒ RF 신호 사이의 분리는 제 3 회로를 통해 전송된 고주파수 ㎒ RF 신호와 저주파수 ㎒ RF 신호 사이의 인터페이스와 비교하여 ㎑ RF 신호와 ㎒ RF 신호들 사이의 인터페이스에서의 증가된 수의 튜닝 엘리먼트들에 의해 제공된다.
일 실시예에서, 제 1 회로를 포함한 IMC가 제공된다. 제 1 회로는 제 1 션트 회로, 제 1 션트 회로에 커플링된 제 1 직렬 회로, 제 1 직렬 회로에 커플링된 제 2 션트 회로, 제 1 직렬 회로 및 제 2 션트 회로에 커플링된 제 2 직렬 회로, 제 2 직렬 회로에 커플링된 제 3 션트 회로, 및 제 3 션트 회로 및 제 2 직렬 회로에 커플링된 제 3 직렬 회로를 포함한다. 제 1 회로는 ㎑ RF 생성기에 커플링된 입력부를 갖는다. IMC는 제 2 회로를 포함한다. 제 2 회로는 제 4 직렬 회로, 제 4 직렬 회로에 커플링된 제 4 션트 회로, 제 4 직렬 회로 및 제 4 션트 회로에 커플링된 제 5 직렬 회로, 제 5 직렬 회로에 커플링된 제 5 션트 회로, 제 5 직렬 회로 및 제 5 션트 회로에 커플링된 제 6 직렬 회로, 제 6 직렬 회로에 커플링된 제 6 션트 회로, 및 제 6 직렬 회로 및 제 6 션트 회로에 커플링된 제 7 직렬 회로를 포함한다. 제 2 회로는 저주파수 ㎒ RF 생성기에 커플링된 입력부를 갖는다. IMC는 제 3 회로를 포함한다. 제 3 회로는 제 7 션트 회로, 제 7 션트 회로에 커플링된 제 8 션트 회로, 및 제 7 션트 회로 및 제 8 션트 회로에 커플링된 제 8 직렬 회로를 포함한다. 제 3 회로는 고주파수 ㎒ RF 생성기에 커플링된 입력부를 갖는다. 또한, IMC는 RF 전송 라인의 입력부에 커플링된 제 1 회로, 제 2 회로, 및 제 3 회로의 출력부를 포함하고, RF 전송 라인의 출력부는 플라즈마 챔버의 전극에 커플링된다. 제 1 회로 및 제 2 회로는 제 1 회로를 통해 전송된 ㎑ RF 신호와 제 2 회로를 통해 전송된 저주파수 ㎒ RF 신호 사이의 분리를 제공한다. ㎑ RF 신호와 저주파수 ㎒ RF 신호 사이의 분리는 제 3 회로를 통해 전송된 고주파수 ㎒ RF 신호와 저주파수 ㎒ RF 신호 사이의 인터페이스와 비교하여 ㎑ RF 신호와 ㎒ RF 신호들 사이의 인터페이스에서의 증가된 수의 튜닝 엘리먼트들에 의해 제공된다.
실시예에서, 플라즈마 프로세싱 시스템이 기술된다. 플라즈마 프로세싱 시스템은 제 1 RF 신호를 생성하기 위한 ㎑ RF 신호 생성기를 포함한다. 플라즈마 프로세싱 시스템은 제 2 RF 신호를 생성하기 위한 저주파수 ㎒ 생성기 및 제 3 RF 신호를 생성하기 위한 고주파수 ㎒ 생성기를 더 포함한다. 플라즈마 프로세싱 시스템은 수정된 RF 신호를 생성하도록 제 1 RF 신호, 제 2 RF 신호, 및 제 3 RF 신호를 수신하기 위해 ㎑ RF 생성기, 저주파수 ㎒ RF 생성기, 및 고주파수 ㎒ RF 생성기에 커플링된 IMC를 포함한다. IMC는 제 1 RF 신호를 전달하기 위해 ㎑ RF 신호 생성기에 단부에서 커플링된 제 1 회로, 제 2 RF 신호를 전달하기 위해 저주파수 ㎒ RF 생성기에 단부에서 커플링된 제 2 회로, 및 제 3 RF 신호를 전달하기 위해 고주파수 ㎒ RF 생성기에 단부에서 커플링된 제 3 회로를 포함한다. 제 2 RF 신호와 제 3 RF 신호 사이의 분리량에 비교하여, 제 1 회로를 통해 전달된 제 1 RF 신호와 제 2 회로를 통해 전달된 제 2 RF 신호 사이의 분리량을 증가시키기 위해 제 1 회로는 제 3 회로의 제 2 수의 튜닝 엘리먼트들보다 보다 많은 제 1 수의 튜닝 엘리먼트들을 포함하고 그리고 제 2 회로는 제 3 회로의 제 2 수의 튜닝 엘리먼트들보다 보다 많은 제 3 수의 튜닝 엘리먼트들을 포함한다. 플라즈마 프로세싱 시스템은 플라즈마 챔버 내에서 플라즈마를 생성하도록 수정된 RF 신호를 수신하기 위한 전극을 가진 플라즈마 챔버를 포함한다.
본 명세서에 기술된 시스템들 및 방법들의 다양한 실시예들의 일부 이점들은 플라즈마 챔버의 전극에의 ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 및/또는 고주파수 ㎒ RF 생성기의 커플링을 용이하게 하는 IMC를 제공하는 것을 포함한다. IMC는 저주파수 ㎒ RF 생성기 또는 고주파수 ㎒ RF 생성기에 의해 생성되고 전송된 RF 신호에 대한 ㎑ RF 생성기에 의해 생성되고 전송된 RF 신호의 효과, 예를 들어, 크로스토크 (cross-talk), 전기적 효과, 전자기 효과, 등을 감소시킨다. 또한, IMC는 ㎑ RF 생성기에 의해 생성되고 전송된 RF 신호에 대한 저주파수 ㎒ RF 생성기 또는 고주파수 ㎒ RF 생성기에 의해 생성되고 전송된 RF 신호의 효과, 예를 들어, 크로스토크, 전기적 효과, 전자기 효과, 등을 감소시킨다.
본 명세서에 기술된 시스템들 및 방법들의 다른 이점들은 IMC를 통해 플라즈마 챔버에 ㎑ RF 생성기 및 저주파수 RF 생성기 및/또는 고주파수 RF 생성기를 연결함으로써, 측정 가능한 인자, 예를 들어 RF 로드 전위, 웨이퍼 DC (direct current) 바이어스 전압, 플라즈마 전위, 에칭 레이트, 스퍼터링 레이트, 이온 에너지, 등을 달성하는 것을 포함한다. 측정 가능한 인자는 ㎑ RF 생성기 대신에, 저주파수 ㎒ RF 생성기 및 고주파수 ㎒ RF 생성기 및 보다 고주파수 ㎒ RF 생성기가 IMC를 통해 플라즈마 챔버에 커플링될 때 달성 가능한 범위 외부에 있다. 저주파수 RF 생성기의 예들은 2 ㎒ RF 생성기를 포함하고, 고주파수 RF 생성기는 27 ㎒ RF 생성기를 포함하고, 그리고 보다 고주파수 RF 생성기는 60 ㎒ RF 생성기를 포함한다.
본 명세서에 기술된 시스템들 및 방법들의 또 다른 이점들은 ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 및/또는 고주파수 ㎒ RF 생성기의 전력 레벨들을 제어함으로써 측정 가능한 인자의 다양한 레벨들을 달성하는 것을 포함한다.
다른 양태들은 첨부된 도면들과 함께 취해진, 다음의 상세한 기술로부터 분명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 다음의 기술을 참조하여 가장 잘 이해될 수도 있다.
도 1a는 본 개시에 기술된 실시예에 따른, ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 및/또는 고주파수 ㎒ RF 생성기과 임피던스 매칭 회로 (IMC) 의 연결을 예시하기 위한 플라즈마 시스템의 도면이다.
도 1b는 본 개시에 기술된 실시예에 따른, 플라즈마 시스템의 상부 전극의 도면이다.
도 2a는 본 개시에 기술된 실시예에 따른, IMC의 도면이다.
도 2b는 본 개시에 기술된 실시예에 따른, 또 다른 IMC의 도면이다.
도 3a는 본 개시에 기술된 실시예에 따른, 기판의 반경에 대해 에칭 레이트를 플롯팅한 그래프의 도면이다.
도 3b는 본 개시에 기술된 실시예에 따른, 기판의 반경에 대해 기판 상에 증착된 옥사이드를 스퍼터링하는 스퍼터링 레이트를 플롯팅한 그래프이다.
도 3c는 본 개시에 기술된 실시예에 따른, 제거 레이트들을 제어하기 위한 플라즈마 시스템의 도면이다.
도 4a는 본 개시에 기술된 실시예에 따른, 단일 상태에서 동작되는 RF 생성기의 도면이다.
도 4b는 본 개시에 기술된 실시예에 따른, 복수의 상태들에서 동작되는 RF 생성기의 도면이다.
도 5a는 본 개시에 기술된 실시예에 따른, 전력 레벨들이 전력 레벨들의 범위의 일 단부에 또는 또 다른 단부에 있을 때 보통 달성되지 않는 RF 로드 전위를 달성하도록 ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기의 전력 레벨들이 가변되는 것을 예시하는 그래프들을 도시한다.
도 5b는 본 개시에 기술된 실시예에 따른, ㎑ RF 생성기, 저주파수 ㎒ RF 생성기, 및 고주파수 ㎒ RF 생성기 중 하나 이상의 전력 레벨들을 가변하도록 RF 로드 전위의 사용을 예시하는 플라즈마 시스템의 도면이다.
도 6a는 본 개시에 기술된 실시예에 따른, ㎑ RF 생성기 및 저주파수 RF 생성기에 의해 공급된 RF 신호들의 전력 레벨들에 대해 웨이퍼 DC 바이어스 전압의 플롯을 예시하는 그래프이다.
도 6b는 본 개시에 기술된 실시예에 따른, ㎑ RF 생성기, 저주파수 ㎒ RF 생성기, 및 고주파수 ㎒ RF 생성기 중 하나 이상의 전력 레벨들을 제어하도록 척의 상단 상에 배치된 기판에서의 DC 바이어스 전압을 측정하기 위해 DC 바이어스 전압 센서 및 전극의 사용을 예시하는 플라즈마 시스템의 블록도이다.
도 7a는 본 개시에 기술된 실시예에 따른, ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기에 의해 생성된 RF 신호들의 전력 레벨들에 대해 최대 이온 에너지 및 최대 RF 플라즈마 전위를 플롯팅하는 그래프이다.
도 7b는 본 개시에 기술된 실시예에 따른, RF 로드 전위로부터 이온 에너지의 양의 계산을 예시하는 블록도이다.
도 7c는 본 개시에 기술된 실시예에 따른, RF 로드 전위로부터 플라즈마 전위의 양의 계산을 예시하는 블록도이다.
도 8a는 본 개시에 기술된 실시예에 따른, ㎑ RF 생성기를 사용함으로써 플라즈마 챔버의 에지 구역 내의 이온 포화 전류 밀도의 제어 및 저주파수 ㎒ RF 생성기 또는 고주파수 ㎒ RF 생성기를 사용함으로써 플라즈마 챔버의 중심 구역 내의 이온 포화 전류 밀도의 제어를 예시하는 도면이다.
도 8b는 본 개시에 기술된 실시예에 따른, 이온 포화 전류 밀도의 측정을 위한 플라즈마 시스템의 블록도이다.
도 9a는 본 개시에 기술된 실시예에 따른, 플라즈마 챔버의 전극에 수정된 RF 신호를 제공하도록 임피던스 매칭 회로와 함께 ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 또는 고주파수 ㎒ RF 생성기의 사용이, ㎑ RF 생성기를 사용하지 않고 저주파수 ㎒ RF 생성기 및 고주파수 ㎒ RF 생성기를 사용함으로써 생성된 이온 에너지의 양보다 보다 낮은 이온 에너지의 양의 생성을 용이하게 한다는 것을 예시하는 그래프이다.
도 9b는 본 개시에 기술된 실시예에 따른, ㎑ RF 생성기를 사용하지 않고 저주파수 ㎒ RF 생성기 또는 고주파수 ㎒ RF 생성기를 사용하는 것과 비교하여 ㎑ RF 생성기 및 저주파수 ㎒ RF 생성기 또는 고주파수 ㎒ RF 생성기가 사용될 때의 에칭 프로파일의 변화를 예시하도록 사용된 그래프이다.
다음의 실시예들은 플라즈마 프로세스들을 제어하기 위한 ㎑ RF 생성기 및 ㎒ RF 생성기를 사용하는 동작을 위한 임피던스 매칭에 대한 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 특정한 상세사항들의 일부 또는 전부 없이 실시될 수도 있다는 것이 분명할 것이다. 다른 예들에서, 잘 알려진 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1a는 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기와 임피던스 매칭 회로 (IMC) (104) 의 연결을 예시하기 위한 플라즈마 시스템 (100) 의 실시예의 도면이다. x ㎒ RF 생성기는 저주파수의 RF 신호를 생성하는 저주파수 RF 생성기이다. 임피던스 매칭 회로 (104) 는 또한 고주파수의 신호를 생성하는 고주파수 RF 생성기인 y ㎒ RF 생성기에 연결된다. 예로서, x ㎑ RF 생성기는 20 ㎑ 내지 1 ㎒ 범위의 주파수들을 갖는다. 또한, 예로서, 저주파수 x ㎒ RF 생성기는 1 ㎒ 내지 4 ㎒ 범위의 주파수들을 갖고, 그리고 고주파수 y ㎒ RF 생성기는 13 ㎒ 내지 200 ㎒ 범위의 주파수들을 갖는다.
IMC (104) 의 입력부는 RF 케이블 (112A) 을 통해 x1 ㎑ RF 생성기의 출력부에 연결되고, IMC (104) 의 또 다른 입력부는 또 다른 RF 케이블 (112B) 을 통해 x ㎒ RF 생성기의 출력부에 연결되고, 그리고 IMC (104) 의 또 다른 입력부는 또 다른 RF 케이블 (112C) 을 통해 y ㎒ RF 생성기의 출력부에 연결된다. 또한, IMC (104) 의 출력부는 RF 전송 라인 (106) 에 커플링된다. 예를 들어, IMC (104) 의 출력부는 RF 스트랩을 통해 RF 전송 라인 (106) 에 연결된다. RF 전송 라인 (106) 은 절연체에 의해 둘러싸인 RF 로드를 포함한다.
RF 전송 라인 (106) 은 플라즈마 챔버 (110) 의 척 (108) 에 연결된다. 예로서, 척 (108) 은 하부 전극 및 하부 전극에 대해, 예를 들어, 하부 전극의 상단 상 등에 배치된 세라믹 층을 포함한다. 또 다른 예로서, 척 (108) 은 하부 전극, 세라믹 층, 하부 전극에 대해, 예를 들어, 하부 전극 아래, 등에 배치된 설비 플레이트를 포함한다. 척 (108) 의 하부 전극은 금속, 예를 들어, 양극 산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다.
플라즈마 챔버 (110) 는 상부 전극 (112) 을 더 포함한다. 상부 전극 (112) 은 전기 전도성 재료, 예를 들어, 알루미늄, 알루미늄의 합금, 저 저항성 실리콘, 등으로 이루어진다. 상부 전극 (112) 은 척 (108) 의 하부 전극에 대해 반대편에 그리고 하부 전극을 마주보게 위치된다. 상부 전극 (112) 은 접지되고, 예를 들어, 기준 전압에 커플링, 제로 전압에 커플링, 네거티브 전압에 커플링 등이 된다. 기판 (120) 은 프로세싱, 예를 들어, 기판 (120) 상의 재료들을 증착하거나, 기판 (120) 을 세정하거나, 기판 (120) 으로부터 증착 층들을 에칭하거나, 기판을 도핑하거나, 기판 (120) 을 스퍼터링하거나 이들의 조합을 위해 척 (108) 의 상단 표면 (122) 상에 배치된다.
호스트 시스템 (116), 예를 들어, 컴퓨터, 제어기, 프로세서, 등은, 레시피 제어 신호, 예를 들어, 전력 레벨을 포함한 신호, 주파수 레벨을 포함한 신호, 등을 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 각각에 제공하도록 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 입력부들에 연결된다. 호스트 시스템 (116) 의 다른 예들은 CPU (central processing unit), ASIC (application specific integrated circuit), PLD (programmable logic device), FPGA (field programmable gate array), 등을 포함한다.
레시피 제어 신호를 수신시, RF 생성기 각각은 주파수 및 전력 레벨들을 가진 RF 신호를 생성한다. 예를 들어, x1 ㎑ RF 생성기는 레시피 제어 신호를 수신시, x1 ㎑ RF 생성기의 출력부에서 RF 신호를 생성하도록, RF 전력 공급부, 예를 들어, RF 오실레이터, RF 전력 소스, 등을 구동하는 DSP (digital signal processor) 를 포함하고, 그리고 RF 신호는 전력 레벨 및 주파수 레벨을 갖고, 전력 레벨 및 주파수 레벨 양자는 레시피 제어 신호에 포함된다. x1 ㎑ RF 생성기는 x1 ㎑의 주파수를 갖는 RF 신호를 생성하고, x ㎑ RF 생성기는 x ㎒의 주파수를 갖는 RF 신호를 생성하고, 그리고 y ㎒ RF 생성기는 y ㎒의 주파수를 갖는 RF 신호를 생성한다.
IMC (104) 는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기로부터 RF 케이블들 (112A, 112B, 및 112C) 을 통해 RF 신호들을 수신하고, 수정된 RF 신호 (114) 를 생성하도록 IMC (104) 의 하나 이상의 입력부들에 연결된 소스의 임피던스와 IMC (104) 의 하나 이상의 출력부들에 연결된 부하의 임피던스를 매칭한다. 소스의 예들은 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기, 및 IMC (104) 에 RF 생성기들을 커플링하는 RF 케이블들 (112A, 112B, 및 112C) 을 포함한다. 부하의 예들은 RF 전송 라인 (106) 및 플라즈마 챔버 (110) 를 포함한다. 수정된 RF 신호 (114) 는 IMC (104) 에 의해 RF 전송 라인 (106) 을 통해 척 (108) 에 제공된다.
수정된 RF 신호 (114) 가 IMC (104) 로부터 척 (108) 으로 공급될 때, 프로세스 가스, 예를 들어, 산소-함유 가스, 불소-함유 가스, 탄소 및 불소를 함유한 가스, 등은 상부 전극 (112) 내의 가스 유입부들을 통해 상부 전극 (112) 과 척 (108) 사이에 공급된다. 산소-함유 가스의 예는 산소를 포함하고 그리고 불소-함유 가스의 예들은 테트라플루오로메탄 (CF4), 설퍼 헥사플루오라이드 (SF6), 헥사플루오로에탄 (C2F6), C4F6 가스, C4F3 가스, C3F8 가스, 등을 포함한다. 프로세스 가스 및 수정된 RF 신호 (114) 양자가 플라즈마 챔버 (110) 에 공급될 때, 플라즈마는 플라즈마 챔버 (110) 내에서 생성되거나 유지된다.
일 실시예에서, 파라미터, 예를 들어, 주파수, 전력, 등의 레벨은 하나 이상의 전력량들을 포함한다. 또한, 파라미터의 레벨은 파라미터의 또 다른 레벨에서의 파라미터의 양들을 배제한 레벨이다. 예를 들어, 2000 W의 전력 레벨은 1950 W 내지 2050 W 범위의 전력량들을 포함하고 1000 W의 전력 레벨을 배제한다. 1000 W의 전력 레벨은 950 W 내지 1050 W 범위의 전력량들을 포함한다. 또 다른 예로서, 0 W의 전력 레벨은 0 W 내지 20 W 범위의 전력량들을 포함하고 500 W의 전력 레벨을 배제한다. 500 W의 전력 레벨은 490 W 내지 510 W 전력량들을 포함한다.
일 실시예에서, 플라즈마 시스템 (100) 은 임의의 다른 수의 RF 생성기들을 포함한다. 예를 들어, 플라즈마 시스템 (100) 은 고주파수 y ㎒ RF 생성기를 포함하지 않고 x1 ㎑ RF 생성기 및 저주파수 x ㎒ RF 생성기를 포함한다. 또 다른 예로서, 플라즈마 시스템 (100) 은 저주파수 x ㎒ RF 생성기를 포함하지 않고 x1 ㎑ RF 생성기 및 고주파수 y ㎒ RF 생성기를 포함한다.
일 실시예에서, 척 (108) 에 RF 전송 라인 (106) 을 연결하는 것 대신에, RF 전송 라인 (106) 은 상부 전극 (112) 에 연결되고 척 (108) 의 하부 전극은 접지된다.
일 실시예에서, y ㎒ RF 생성기의 동작 주파수는 x ㎒ RF 생성기의 동작 주파수보다 보다 크다. 예를 들어, y ㎒ RF 생성기는 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 주파수보다 보다 고주파수를 갖는 RF 신호를 생성한다. 또 다른 예로서, y ㎒ RF 생성기의 RF 전력 공급부의 진동 주파수는 x ㎒ RF 생성기의 RF 전력 공급부의 진동 주파수보다 보다 크다.
일 실시예에서, 소스는 IMC (104) 에 연결된 복수의 생성기들의 변화와 함께 변화한다. 예를 들어, x1 ㎑ RF 생성기 및 x ㎒ RF 생성기가 RF 케이블들 (112A 및 112B) 을 통해 IMC (104) 에 연결될 때, 소스는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, IMC (104) 에 x1 ㎑ RF 생성기를 연결하는 RF 케이블 (112A), 및 IMC (104) 에 x ㎒ RF 생성기를 연결하는 RF 케이블 (112B) 이다. 또 다른 예로서, x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기가 RF 케이블들 (112A, 112B, 및 112C) 을 통해 IMC (104) 에 연결될 때, 소스는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, y ㎒ RF 생성기, IMC (104) 에 x1 ㎑ RF 생성기를 연결하는 RF 케이블 (112A), IMC (104) 에 x ㎒ RF 생성기를 연결하는 RF 케이블 (112B), IMC (104) 에 y ㎒ RF 생성기를 연결하는 RF 케이블 (112C) 이다.
도 1b는 플라즈마 시스템 (100) (도 1a) 의 상부 전극 (150) 의 실시예의 도면이다. 상부 전극 (150) 은 상부 전극 (112) (도 1a) 의 예이다. 상부 전극 (150) 은 반도체 층 (152), 예를 들어, 실리콘 층, 등을 포함한다. 반도체 층 (152) 은 RF 신호, 예를 들어, 수정된 신호 (114) (도 1a), 등을 전도하는데 (conducting) 사용된다. 가스 분배 플레이트 (GDP) (154) 는 반도체 층 (152) 의 상단 상에 씌워진다. 가스 분배 플레이트는 상부 전극 (150) 과 척 (108) (도 1a) 사이에 형성된 캐비티 내로의 프로세스 가스의 제공 및 분배를 용이하게 하는 복수의 유입부들 예를 들어, 홀들, 등을 포함한다.
또한, 히터 (155) 가 GDP (154) 의 상단 상에 씌워진다. 히터는 플라즈마 챔버 (110) 내의 프로세스 발생을 제어하기 위해 플라즈마 챔버 (110) 내의 온도를 증가시키도록 가열된다. 열 초크 (156) 는 히터 (155) 의 상단 상에 씌워진다. 열 초크 (156) 는 히터 (155) 및 플라즈마 챔버 (110) (도 1a) 의 다른 부품들, 예를 들어, 상단 플레이트 (158), 등에 의해 생성된 열의 제한 장치, 예를 들어, 절연체, 등의 역할을 한다. 상단 플레이트 (158) 는 열 초크 (156) 의 상단 상에 씌워진다. 열 초크 (156) 는 상단 플레이트 (158) 에 커플링되고 상단 플레이트 (158) 는 열 초크 (156), 히터 (155), GDP (154), 및 반도체 (152) 를 위한 지지체의 역할을 한다.
상부 전극 (150) 은 히터 (155) 와 GDP (154) 사이의 절연체 층, 예를 들어, 알루미늄 나이트라이드 (AlN) 층, 등을 포함하지 않는다는 것을 주의해야 한다. 절연체 층의 사용은 상부 전극 (미도시) 의 비용을 상당히 증가시킨다. 절연체 층을 가진 이러한 상부 전극 (미도시) 은 x1 ㎑ RF 생성기가 절연체 층을 가진 상부 전극에 연결될 때 사용된다. 또한, 부가적인 절연체는 상부 전극 (미도시) 의 상단 플레이트 (미도시) 와 상부 전극 (미도시) 에 연결된 RF 매칭 회로 사이에 제공된다. 부가적인 절연체는 상부 전극이 IMC (미도시) 및 RF 전송 라인 (미도시) 을 통해 x1 ㎑ RF 생성기에 연결되는 플라즈마 툴의 사용 비용들을 더 증가시킨다.
일 실시예에서, 반도체 층 (152), GDP (154), 열 초크 (156), 및 상단 플레이트 (158) 는 서로에 대해 동심원이 되도록 동축으로 위치된 디스크들이다.
도 2a는 IMC (104) (도 1a) 의 일 예인 IMC (200) 의 실시예의 도면이다. IMC (200) 는 회로 (202A), 회로 (202B), 및 회로 (202C) 를 포함한다. 회로 (202B) 는 회로 (202A) 에 인접하고 회로 (202C) 는 회로 (202B) 에 인접한다. 회로 (202A) 는 직렬 회로 (204A), 또 다른 직렬 회로 (204B), 및 또 다른 직렬 회로 (204C) 를 포함한다. 또한, 회로 (202A) 는 션트 회로 (206A), 또 다른 션트 회로 (206B), 및 또 다른 션트 회로 (206C) 를 포함한다. 직렬 회로의 예들은 하나 이상의 인덕터들, 하나 이상의 커패시터들, 또는 이들의 조합을 포함한다. 션트 회로의 예들은 하나 이상의 커패시터들을 포함한다. 션트 회로 각각은 일 단부에서 접지 연결부에 연결된다.
또한, 회로 (202B) 는 직렬 회로 (208A), 직렬 회로 (208B), 및 또 다른 직렬 회로 (208C) 를 포함한다. 또한, 회로 (202B) 는 션트 회로 (210A), 션트 회로 (210B), 및 션트 회로 (210C) 를 포함한다. 회로 (202C) 는 직렬 회로 (212), 션트 회로 (214A), 및 션트 회로 (214B) 를 포함한다. 직렬 회로 또는 션트 회로 각각은 때때로 튜닝 엘리먼트로서 본 명세서에 지칭된다.
회로 (202A) 는 RF 케이블 (212A) 을 통해 x1 ㎑ RF 생성기 (도 1a) 의 출력부에, 회로 (202A) 의 입력부, 예를 들어, 직렬 회로 (204A) 의 입력부, 단부 (E1), 등에서 연결된다. 또한, 회로 (202B) 는 RF 케이블 (212B) 을 통해 x ㎒ RF 생성기의 출력부에, 회로 (202B) 의 입력부, 예를 들어, 단부 (E2), 직렬 회로 (208A) 의 입력부, 등에서 연결된다. 또한, 회로 (202C) 는 RF 케이블 (212C) 을 통해 y ㎒ RF 생성기의 출력부에, 회로 (202C) 의 입력부, 예를 들어, 단부 (E3), 등, 직렬 회로 (212) 의 입력부, 등에서 연결된다.
회로, 예를 들어, 회로 (202A), 회로 (202B), 회로 (202C), 등의 튜닝 엘리먼트는 인접한 회로에서 RF 신호의 전달에 의해 생성된 효과, 예를 들어, 전기장, 자기장, 등을 차단하는 차단 컴포넌트 (component) 의 역할을 한다. 예를 들어, 직렬 회로들 (204A, 204B, 204C), 및 션트 회로들 (206A, 206B, 및 206C) 은 회로 (202B) 를 통해 전달된 RF 신호의 효과를 차단한다. 또 다른 예로서, 직렬 회로들 (208A, 208B, 208C, 및 208D), 및 션트 회로들 (210A, 210B, 및 210C) 은 회로 (202A) 를 통해 전달된 RF 신호 및 회로 (202C) 를 통해 전달된 또 다른 RF 신호의 효과를 차단한다. 또 다른 예로서, 직렬 회로 (212) 및 션트 회로들 (214A 및 214B) 은 회로 (202B) 를 통해 전달된 RF 신호의 효과를 차단한다.
회로, 예를 들어, 회로 (202A), 회로 (202B), 회로 (202C), 등을 통해 전달된 RF 신호의 예는 회로에 커플링된 RF 생성기에 의해 생성되고 RF 생성기로부터 수신된 공급된 신호를 포함한다. 회로, 예를 들어, 회로 (202A), 회로 (202B), 회로 (202C), 등을 통해 전달된 RF 신호의 또 다른 예는 플라즈마 챔버 (110) (도 1a) 로부터, RF 전송 라인 (106) (도 1a) 및 회로를 통해 회로에 커플링된 RF 생성기를 향해 반사된 반사된 신호를 포함한다.
일 실시예에서, 션트 회로는 전류의 통과를 허용하도록 저 저항 경로를 생성한다. 예를 들어, 션트 회로 (206A) 는 회로 (202A) 를 통과하는 RF 신호의 전류를 위한 접지로의 저 저항 경로를 생성한다. 회로들 (202A, 202B, 및 202C) 은 회로 각각의 단부 (220) 에서 RF 전송 라인 (106) 에 연결된다.
회로 (202A) 는 x1 ㎑ RF 생성기에 의해 생성되고 공급된 RF 신호를 수신하고, 회로 (202B) 는 x ㎒ RF 생성기에 의해 생성되고 공급된 RF 신호를 수신하고, 회로 (202C) 는 y ㎒ RF 생성기에 의해 생성되고 공급된 RF 신호를 수신한다. 회로 (202A) 는 회로 (202B) 를 통해 단부 (220) 로 전송된 RF 신호의 효과를 분리하고 회로 (202B) 는 회로 (202A) 를 통해 단부 (220) 로 전송된 RF 신호의 효과를 분리한다. 또한, 회로 (202B) 는 회로 (202C) 를 통해 단부 (220) 로 전송된 RF 신호의 효과를 분리하고 회로 (202C) 는 회로 (202B) 를 통해 단부 (220) 로 전송된 RF 신호의 효과를 분리한다. x1 ㎑ RF 생성기로부터 수신된 RF 신호는 직렬 회로들 (204A 내지 204C) 을 통해 단부 (220) 로 전송된다. 또한, x ㎒ RF 생성기로부터 수신된 RF 신호는 직렬 회로들 (208A 내지 208D) 을 통해 단부 (220) 로 전송되고 y ㎒ RF 생성기로부터 수신된 RF 신호는 직렬 회로 (212) 를 통해 단부 (220) 로 전송된다. 상기에 기술된 바와 같이 서로로부터 공급된 RF 신호들의 효과들을 분리한 후, 단부 (220) 에서 회로들 (202A 내지 202C) 을 통해 수신된 RF 신호들은 단부 (220) 에서 결합, 예를 들어, 합쳐지는 등이 되고 IMC (200) 는 수정된 RF 신호 (114) (도 1a) 를 생성하도록 소스와 부하의 임피던스를 매칭한다.
또한, 플라즈마가 플라즈마 챔버 (110) (도 1a) 내에서 생성 또는 유지되는 시간 동안, 플라즈마로부터의 전력은 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기를 향해 RF 전송 라인 (106) 을 통해 반사된 RF 신호의 형태로 다시 반사된다. 반사된 RF 신호는 회로들 (202A 내지 202C) 사이의 단부 (220) 에서 분할된다. 단부 (220) 로부터 수신되고 회로 (202B) 를 통해 x ㎒ RF 생성기를 향해 다시 반사된 반사된 RF 신호의 부분의 전력은, 단부 (220) 로부터 수신되고 회로 (202A) 를 통해 x1 ㎑ RF 생성기를 향해 다시 반사된 반사된 RF 신호의 부분의 전력으로부터 분리된다. 또한, 단부 (220) 로부터 수신되고 회로 (202A) 를 통해 x1 ㎑ RF 생성기를 향해 다시 반사된 반사된 RF 신호의 부분의 전력은, 단부 (220) 로부터 수신되고 회로 (202B) 를 통해 x ㎒ RF 생성기를 향해 다시 반사된 반사된 RF 신호의 부분의 전력으로부터 분리된다. 또한, 단부 (220) 로부터 수신되고 회로 (202C) 를 통해 y ㎒ RF 생성기를 향해 다시 반사된 반사된 RF 신호의 부분의 전력은, 단부 (220) 로부터 수신되고 회로 (202B) 를 통해 x ㎒ RF 생성기를 향해 반사된 반사된 RF 신호의 부분의 전력으로부터 분리된다. 단부 (220) 로부터 수신되고 회로 (202B) 를 통해 x ㎒ RF 생성기를 향해 다시 반사된 반사된 RF 신호의 부분의 전력은, 단부 (220) 로부터 수신되고 회로 (202C) 를 통해 y ㎑ RF 생성기를 향해 다시 반사된 반사된 RF 신호의 부분의 전력으로부터 분리된다. 동시에, 반사된 RF 신호의 부분들은 서로로부터 분리되고, IMC (200) 는 x1 ㎑ RF 생성기에 의해 회로 (202A) 를 통해 수신된 반사된 RF 신호를 생성하도록, x ㎒ RF 생성기에 의해 회로 (202B) 를 통해 수신된 반사된 RF 신호를 생성하도록, 그리고 y ㎒ RF 생성기에 의해 회로 (202C) 를 통해 수신된 반사된 RF 신호를 생성하도록, 소스의 임피던스와 부하의 임피던스를 매칭한다.
일 실시예에서, 회로 (202A) 는 회로 (202A) 가 x1 ㎑ RF 생성기 대신에 x ㎒ RF 생성기에 회로 (202A) 의 입력부, 예를 들어, 단부 (E1), 등에서 커플링될 때, 회로 (202A) 의 단부들 (E1 및 220) 에 그리고 단부들 사이에 커플링된 수보다 보다 많은 수의 차단 컴포넌트들, 예를 들어, 직렬 회로들 (204A, 204B, 및 204C) 및 션트 회로들 (206A, 206B, 및 206C), 등을 포함한다. 또한, 회로 (202B) 는 회로 (202B) 가 x ㎒ RF 생성기 대신에 y ㎒ RF 생성기에 회로 (202B) 의 입력부, 예를 들어, 단부 (E2), 등에 커플링될 때, 회로 (202B) 의 단부들 (E2 및 220) 에 그리고 단부들 사이에 커플링된 수보다 보다 많은 수의 차단 컴포넌트들, 예를 들어, 직렬 회로들 (208A, 208B, 208C, 및 208D) 및 션트 회로들 (210A, 210B, 및 210C), 등을 포함한다. 회로들 (202A 및 202B) 각각 내의 보다 많은 수의 차단 컴포넌트들은 x1 ㎑ RF 생성기에 연결된 회로 (202A) 를 통해 전달된 RF 신호의 효과를 감소, 예를 들어, 차단, 감소, 최소화, 등을 하는 것을 용이하게 하고, x ㎒ RF 생성기에 연결된 회로 (202B) 를 통해 전달된 RF 신호의 효과를 감소, 예를 들어, 차단, 등을 하는 것을 용이하게 한다. 예를 들어, 회로 (202A) 를 통해 전달된 RF 신호의 전력과 회로 (202B) 를 통해 전달된 RF 신호의 전력 사이의 분리 (253) (도 1a) 는 A 데시벨 초과, 예를 들어, 15 데시벨 초과, 20 데시벨 초과, 25 데시벨 초과, 등이다. 회로 (202A 및 202B) 각각 내의 보다 많은 수의 차단 컴포넌트들은 회로 (202A) 의 단부 (E1) 가 x ㎒ RF 생성기에 연결되고 회로 (202B) 의 단부 (E2) 가 y ㎒ RF 생성기에 연결될 때 전달된 RF 신호들 사이에 제공된 것보다 대응하는 회로들 (202A 및 202B) 을 통해 전달된 RF 신호들 사이에 보다 많은 양의 분리 (253) 를 제공한다.
일 실시예에서, 회로 (202A 및 202B) 각각 내의 복수의 차단 컴포넌트들은 회로 (202C) 내의 복수의 차단 컴포넌트들보다 많다. 예를 들어, 회로 (202B) 는 회로 (202A) 를 통해 전달된 RF 신호로부터의 분리를 제공하도록 회로 (202C) 의 회로들 (212, 214A, 및 214B) 의 수보다 보다 많은 수의 회로들 (208A, 208B, 208C, 208D, 210A, 210B, 210C) 을 포함한다. 또 다른 예로서, 회로 (202A) 는 회로 (202B) 를 통해 전달된 RF 신호로부터의 분리를 제공하도록 회로 (202C) 의 회로들 (212, 214A, 및 214B) 의 수보다 보다 많은 수의 회로들 (204A, 204B, 204C, 206A, 206B, 및 206C) 을 포함한다. 회로 (202A 및 202B) 각각 내의 보다 많은 수의 차단 컴포넌트들은 회로 (202B) 를 통해 전달된 RF 신호의 전력과 회로 (202C) 를 통해 전달된 RF 신호의 전력 사이의 분리량과 비교하여, 회로 (202A) 를 통해 전달된 RF 신호의 전력과 회로 (202B) 를 통해 전달된 RF 신호의 전력 사이의 분리량을 증가시킨다. 예를 들어, 분리량 A dB은 회로 (202B) 를 통해 전달된 RF 신호의 전력과 회로 (202C) 를 통해 전달된 RF 신호의 전력 사이의 분리량 B dB보다 보다 많다.
일 실시예에서, 본 명세서에서 사용된 바와 같이, RF 신호의 전달은 RF 생성기로부터 RF 전송 라인으로의 전송 방향 또는 플라즈마 챔버로부터 RF 생성기로의 반사 방향으로 행해진다. 실시예에서, 본 명세서에서 사용된 바와 같이, 전달은 RF 생성기로부터 RF 전송 라인으로의 전송 방향 또는 플라즈마 챔버 및 RF 전송 라인으로부터 RF 생성기로의 반사 방향으로 행해진다.
도 2b는 IMC (200) (도 2a) 의 예인 IMC (270) 의 실시예의 도면이다. IMC (270) 는 인덕터들 및 커패시터들을 포함한다. 예를 들어, x1 ㎑ RF 생성기에 연결된 IMC (270) 의 회로 (252A) 는 인덕터 (L1), 또 다른 인덕터 (L2), 커패시터 (C4), 인덕터 (L3), 커패시터 (C1), 커패시터 (C2), 및 커패시터 (C3) 를 포함한다. 인덕터 (L1) 는 직렬 회로 (204A) (도 2a) 의 예이고, 인덕터 (L2) 는 직렬 회로 (204B) (도 2a) 의 예이고, 그리고 인덕터 (L3) 및 커패시터 (C4)의 직렬 회로는 직렬 회로 (204C) (도 2a) 의 예이고, 커패시터 (C1) 는 션트 회로 (206A) (도 2a) 의 예이고, 커패시터 (C2) 는 션트 회로 (206B) (도 2a) 의 예이고, 그리고 커패시터 (C3) 는 션트 회로 (206C) (도 2a) 의 예이다. 또 다른 예로서, x ㎒ RF 생성기에 연결된 IMC (270) 의 회로 (252B) 는 커패시터 (C5), 커패시터 (C6), 인덕터 (L4), 커패시터 (C7), 인덕터 (L5), 커패시터 (C8), 및 인덕터 (L6) 를 포함한다. 커패시터 (C5) 는 직렬 회로 (208A) (도 2a) 의 예이고, 인덕터 (L4) 는 직렬 회로 (208B) (도 2a) 의 예이고, 인덕터 (L5) 는 직렬 회로 (208C) (도 2a) 의 예이고, 인덕터 (L6) 는 직렬 회로 (208D) (도 2a) 의 예이고, 커패시터 (C6) 는 션트 회로 (210A) (도 2a) 의 예이고, 커패시터 (C7) 는 션트 회로 (210B) (도 2a) 의 예이고, 그리고 커패시터 (C8) 는 션트 회로 (210C) (도 2a) 의 예이다. 또 다른 예로서, y ㎒ RF 생성기에 연결된 IMC (270) 의 회로 (252C) 는 인덕터 (L7), 커패시터 (C9), 및 커패시터 (C10) 를 포함한다. 커패시터 (C10) 는 직렬 회로 (212) (도 2a) 의 예이고, 인덕터 (L7) 는 션트 회로 (214A) (도 2a) 의 예이고, 그리고 커패시터 (C9) 는 션트 회로 (214B) (도 2a) 의 예이다.
인덕터 (L1) 는 커패시터 (C1) 및 단부 (E1) 에 연결된다. 커패시터 (C2) 는 인덕터 (L1) 에 연결된다. 인덕터 (L2) 는 커패시터 (C2) 및 인덕터 (L1) 에 연결된다. 커패시터 (C3) 는 인덕터 (L2) 에 연결된다. 커패시터 (C4) 는 인덕터 (L2) 및 커패시터 (C3) 에 연결된다. 인덕터 (L3) 는 커패시터 (C4) 와 직렬로 연결되고 단부 (220) 에 연결된다.
커패시터 (C5) 는 단부 (E2) 및 커패시터 (C6) 에 연결된다. 인덕터 (L4) 는 커패시터들 (C5 및 C6) 에 연결된다. 커패시터 (C7) 는 인덕터 (L4) 에 연결된다. 인덕터 (L5) 는 커패시터 (C7) 및 인덕터 (L4) 에 연결된다. 커패시터 (C8) 는 인덕터 (L5) 에 연결된다. 인덕터 (L6) 는 인덕터 (L5) 및 커패시터 (C8) 및 단부 (220) 에 연결된다.
인덕터 (L7) 는 단부 (E3) 및 커패시터 (C9) 에 연결된다. 커패시터 (C10) 는 커패시터 (C9), 인덕터 (L7), 및 단부 (220) 에 연결된다.
일 실시예에서, IMC (270) 의 컴포넌트, 예를 들어, 커패시터, 인덕터, 등을 사용하는 것 대신에, 복수의 컴포넌트들은 컴포넌트의 특성, 예를 들어, 커패시턴스, 인덕턴스, 등을 달성하도록 서로 연결된다. 예를 들어, 커패시터 (C4) 대신에, 복수의 커패시터들은 커패시터 (C4) 의 커패시턴스를 달성하도록 서로 병렬로 커플링된다. 또 다른 예로서, 커패시터 (C3) 대신에, 복수의 커패시터들은 커패시터 (C3) 의 커패시턴스를 달성하도록 병렬로 커플링된다.
일 실시예에서, x1 ㎑ RF 생성기에 연결된 회로 (252A) 의 차단 컴포넌트들의 총 인덕턴스, 예를 들어, 인덕터들 (L1 내지 L3) 의 총 인덕턴스, 등은, 단부 (E1) 가 x1 ㎑ RF 생성기가 아닌 x ㎒ RF 생성기에 연결될 때 단부 (E1) 와 단부 (220) 사이의 차단 컴포넌트들의 총 인덕턴스보다 보다 크다. 실시예에서, x1 ㎑ RF 생성기에 연결된 회로 (252A) 의 차단 컴포넌트들의 총 커패시턴스, 예를 들어, 커패시터들 (C1 내지 C4) 의 총 커패시턴스, 등은, 단부 (E1) 가 x1 ㎑ RF 생성기가 아닌 x ㎒ RF 생성기에 연결될 때 단부 (E1) 와 단부 (220) 사이의 차단 컴포넌트들의 총 커패시턴스보다 보다 크다.
일 실시예에서, x1 ㎑ RF 생성기에 연결된 회로 (252A) 의 차단 인덕터들, 예를 들어, 인덕터들 (L1 내지 L3), 등의 수는, 단부 (E1) 가 x1 ㎑ RF 생성기가 아닌 x ㎒ RF 생성기에 연결될 때 단부들 (E1 및 220) 사이에 그리고 단부들 (E1 및 220) 에 커플링된 차단 인덕터들의 수보다 보다 많다. 실시예에서, x1 ㎑ RF 생성기에 연결된 회로 (252A) 의 차단 커패시터들, 예를 들어, 커패시터들 (C1 내지 C4), 등의 총 수는, 단부 (E1) 가 x1 ㎑ RF 생성기가 아닌 x ㎒ RF 생성기에 연결될 때 단부들 (E1 및 220) 에 그리고 단부들 (E1 및 220) 사이에 커플링된 차단 커패시터들의 총 수보다 보다 많다.
일 실시예에서, x1 ㎑ RF 생성기에 연결된 회로 (252A) 의 차단 컴포넌트들의 총 인덕턴스, 예를 들어, 인덕터들 (L1 내지 L3) 의 총 인덕턴스, 등은, y ㎒ RF 생성기에 연결된 회로 (252C) 의 차단 컴포넌트들의 총 인덕턴스보다 보다 크다. 일 실시예에서, x1 ㎑ RF 생성기에 연결된 회로 (252A) 의 차단 컴포넌트들의 총 커패시턴스, 예를 들어, 커패시터들 (C1 내지 C4) 의 총 커패시턴스, 등은, y ㎒ RF 생성기에 연결된 회로 (252C) 의 차단 컴포넌트들의 총 커패시턴스, 예를 들어, 커패시터들 (C9 및 C10) 의 총 커패시턴스, 등보다 보다 크다.
일 실시예에서, x ㎒ RF 생성기에 연결된 회로 (252B) 의 차단 컴포넌트들의 총 인덕턴스, 예를 들어, 인덕터들 (L4 내지 L6) 의 총 인덕턴스, 등은, 단부 (E2) 가 x ㎒ RF 생성기가 아닌 y ㎒ RF 생성기에 연결될 때 단부들 (E2 및 220) 사이의 차단 컴포넌트들의 총 인덕턴스보다 보다 크다. 일 실시예에서, x ㎒ RF 생성기에 연결된 회로 (252B) 의 차단 컴포넌트들의 총 커패시턴스, 예를 들어, 커패시터들 (C5 내지 C8) 의 총 커패시턴스, 등은, 단부 (E2) 가 x ㎒ RF 생성기가 아닌 y ㎒ RF 생성기에 연결될 때 단부들 (E2 및 220) 사이의 차단 컴포넌트들의 총 커패시턴스보다 보다 크다.
일 실시예에서, x ㎒ RF 생성기에 연결된 회로 (252B) 의 차단 인덕터들, 예를 들어, 인덕터들 (L4 내지 L6), 등의 수는, 단부 (E2) 가 x ㎒ RF 생성기가 아닌 y ㎒ RF 생성기에 연결될 때 단부들 (E2 및 220) 사이에 그리고 단부들 (E2 및 220) 에 커플링된 차단 인덕터들의 수보다 보다 많다. 실시예에서, x ㎒ RF 생성기에 연결된 회로 (252B) 의 차단 커패시터들, 예를 들어, 커패시터들 (C5 내지 C8), 등의 총 수는, 단부 (E2) 가 x ㎒ RF 생성기가 아닌 y ㎒ RF 생성기에 연결될 때 단부들 (E2 및 220) 에 그리고 단부들 (E2 및 220) 사이에 커플링된 차단 커패시터들의 총 수보다 보다 많다.
일 실시예에서, x ㎒ RF 생성기에 연결된 회로 (252B) 의 차단 컴포넌트들의 총 인덕턴스, 예를 들어, 인덕터들 (L4 내지 L6) 의 총 인덕턴스, 등은, y ㎒ RF 생성기에 연결된 회로 (252C) 의 차단 컴포넌트들의 총 인덕턴스보다 보다 크다. 일 실시예에서, x ㎒ RF 생성기에 연결된 회로 (252B) 의 차단 컴포넌트들의 총 커패시턴스, 예를 들어, 커패시터들 (C5 내지 C8) 의 총 커패시턴스, 등은, y ㎒ RF 생성기에 연결된 회로 (252C) 의 차단 컴포넌트들의 총 커패시턴스, 예를 들어 커패시터들 (C9 및 C10) 의 총 커패시턴스, 등보다 보다 크다.
도 3a는 기판 (120) (도 1a) 의 반경에 대해 에칭 레이트를 플롯팅한 그래프 (302) 의 실시예의 도면이다. 그래프 (302) 는 x1 ㎑ RF 생성기의 전력 레벨과 x ㎒ RF 생성기의 전력 레벨의 상이한 조합들에 대한 플롯들 (304A, 304B, 304C, 304D, 304E, 304F, 304G, 304H, 및 304I) 을 포함한다. 예를 들어, 플롯 (304A) 은 400 ㎑ RF 생성기가 0 W, 예를 들어, 0 내지 10 W, 등의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고, 그리고 2 ㎒ RF 생성기가 5000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304B) 은 400 ㎑ RF 생성기가 200 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 4800 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304C) 은 400 ㎑ RF 생성기가 1000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 4000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304D) 은 400 ㎑ RF 생성기가 2000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 3000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304E) 은 400 ㎑ RF 생성기가 2500 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 2500 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304F) 은 400 ㎑ RF 생성기가 3000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 2000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304G) 은 400 ㎑ RF 생성기가 4000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 1000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304H) 은 400 ㎑ RF 생성기가 4800 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 200 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다. 또 다른 예로서, 플롯 (304I) 은 400 ㎑ RF 생성기가 5000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작하고 2 ㎒ RF 생성기가 0 W, 예를 들어, 0 내지 10 W, 등의 전력 레벨을 가진 RF 신호를 생성하도록 동작할 때 생성된다.
플라즈마 챔버 (110) (도 1a) 의 에지 구역에서의 제거 레이트, 예를 들어, 에칭 레이트, 스퍼터링 레이트, 등은 x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 및 주파수의 양을 제어함으로써 증가 또는 감소되고 그리고 플라즈마 챔버 (110) 의 중심 구역에서의 에칭 레이트는 x ㎒ RF 생성기에 의해 생성된 RF 신호의 전력 및 주파수의 양을 제어함으로써 증가 또는 감소된다는 것을 주의해야 한다. 예를 들어, x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 레벨이 증가할 때, 에지 구역에서의 에칭 레이트의 증가 레이트가 증가되고 그리고 전력 레벨이 감소할 때, 에지 구역에서의 에칭 레이트의 증가 레이트가 감소된다. 또 다른 예로서, x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 레벨이 증가할 때, 에지 구역에서의 에칭 레이트가 증가되고 그리고 전력 레벨이 감소할 때, 에지 구역에서의 에칭 레이트가 감소된다. 또 다른 예로서, x ㎒ RF 생성기에 의해 생성된 RF 신호의 전력 레벨이 증가할 때, 중심 구역에서의 에칭 레이트가 증가되고 그리고 전력 레벨이 감소할 때, 중심 구역에서의 에칭 레이트가 감소된다. 또 다른 예로서, x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 레벨 및 x ㎒ RF 생성기에 의해 생성된 RF 신호의 전력 레벨의 동일한 양의 변화에 대해, x ㎒ RF 생성기에 기인한 에지 구역에서의 에칭 레이트의 변화량보다 x1 ㎑ RF 생성기에 기인한 에지 구역에서의 에칭 레이트의 변화량이 보다 크다.
일 실시예에서, 중심 구역은 상부 전극 (112) (도 1a) 과 척 (108) (도 1a) 사이의 구역으로서 규정된다. 또한, 에지 구역은 상부 전극 (112) 아래에 없고 척 (108) 위에 없는 구역으로서 규정된다. 에지 구역은 중심 구역보다 플라즈마 챔버 (110) 의 C-슈라우드 (이하에 기술됨) 에 보다 가깝다.
일 실시예에서, 기판의 중심은 하부 전극의 중심과 일치한다. 예를 들어, 그래프 (302) 에서, 웨이퍼의 중심은 하부 전극의 중심과 동일하고 그리고 웨이퍼의 반경은 하부 전극의 반경을 따라 연장한다.
일 실시예에서, x ㎒ RF 생성기를 사용하는 것 대신에, y ㎒ RF 생성기 또는 x ㎒ RF 생성기와 y ㎒ RF 생성기의 조합이 플라즈마 챔버 (110) 의 중심 구역에서의 에칭 레이트를 제어하도록 사용된다.
도 3b는 기판 (120) (도 1a) 의 반경에 대해 기판 (120) 상에 증착된 옥사이드를 스퍼터링하는 스퍼터링 레이트를 플롯팅하는 그래프 (306) 의 실시예이다. 그래프 (306) 는 다양한 스퍼터링 레이트들이 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기로부터의 전력 레벨들의 조합을 사용하여 달성된다는 것을 예시하도록 플롯팅된다. 예를 들어, 플롯 (310A) 은 x1 ㎑ RF 생성기가 0 W, 예를 들어, 0 내지 10 W, 등의 전력 레벨을 가진 RF 신호를 생성하도록 동작되고 x ㎒ RF 생성기가 5000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작될 때 플롯팅된다. 또 다른 예로서, 플롯 (310B) 은 x ㎒ RF 생성기가 2500 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작되고 x1 ㎑ RF 생성기가 2500 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작될 때 플롯팅된다. 또 다른 예로서, 플롯 (310C) 은 x ㎒ RF 생성기가 0 W, 예를 들어, 0 내지 10 W, 등의 전력 레벨을 가진 RF 신호를 생성하도록 동작되고 x1 ㎑ RF 생성기가 5000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작될 때 플롯팅된다.
예로서, x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 레벨이 증가할 때, 에지 구역에서의 스퍼터링 레이트의 증가 레이트가 증가되고 그리고 전력 레벨이 감소할 때, 에지 구역에서의 스퍼터링 레이트의 증가 레이트가 감소된다는 것이 주의되어야 한다. 예로서, x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 레벨이 증가할 때, 에지 구역에서의 스퍼터링 레이트가 증가되고 그리고 전력 레벨이 감소할 때, 에지 구역에서의 스퍼터링 레이트가 감소된다는 것이 더 주의되어야 한다. 예로서, x ㎒ RF 생성기에 의해 생성된 RF 신호의 전력 레벨이 증가할 때, 중심 구역에서의 스퍼터링 레이트가 증가되고 그리고 전력 레벨이 감소할 때, 중심 구역에서의 스퍼터링 레이트가 감소된다는 것이 더 주의되어야 한다. 예로서, x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 레벨 및 x ㎒ RF 생성기에 의해 생성된 RF 신호의 전력 레벨의 변화의 동일한 양에 대해, x ㎒ RF 생성기에 기인한 에지 구역에서의 스퍼터링 레이트의 변화량보다 x1 ㎑ RF 생성기에 기인한 에지 구역에서의 스퍼터링 레이트의 변화량이 보다 크다는 것이 주의되어야 한다.
일 실시예에서, x ㎒ RF 생성기를 사용하는 것 대신에, y ㎒ RF 생성기 또는 x ㎒ RF 생성기와 y ㎒ RF 생성기의 조합이 플라즈마 챔버 (110) 의 중심 구역에서의 스퍼터링 레이트를 제어하도록 사용된다.
도 3c는 제거 레이트들을 제어하기 위한 플라즈마 시스템 (350) 의 실시예의 도면이다. 시스템 (350) 은 시스템 (350) 이 익스 시츄 (ex situ), 예를 들어, 기판 (120) 이 플라즈마 챔버 (110) 의 외부로 꺼내질 때 기판 (120) 의 두께를 측정하도록 사용되는 OTMD (optical thickness measurement device) (352), 예를 들어, 간섭계, 등을 포함한다는 것을 제외하고 플라즈마 시스템 (100) 과 유사하다. 기판 (120) 은 기판 (120) 의 두께를 측정하도록 플라즈마 챔버 (110) 의 캐비티로부터 제거된다. OTMD (352) 는 기판 (120) 의 두께를 측정하고 호스트 시스템 (116) 에 두께를 제공한다. 호스트 시스템 (116) 의 프로세서는 두께 및 기판 (120) 이 플라즈마 챔버 (110) 내의 제거 동작, 예를 들어, 에칭 동작, 스퍼터링 동작, 등을 겪은 후 지나간 시간량에 기초하여, 기판 (120) 상에 증착된 재료, 예를 들어, 옥사이드 층, 마스크 층, 등을 제거하는 제거 레이트를 계산한다. 프로세서의 예들은 마이크로프로세서, 마이크로제어기, CPU, ASIC, PLD, 등을 포함한다.
호스트 시스템 (116) 의 프로세서는 계산된 에칭 제거 레이트와 미리 결정된 제거 레이트를 비교한다. 계산된 제거 레이트가 미리 결정된 제거 레이트의 미리 결정된 문턱값 내에 없다고 결정할 시에, 호스트 시스템 (116) 의 프로세서는 호스트 시스템 (116) 의 메모리 디바이스로부터, 미리 결정된 제거 레이트를 달성하도록 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 각각에 대한 전력 레벨을 식별한다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 하드디스크, 휘발성 메모리, 비휘발성 메모리, 저장 디스크들 (storage disks) 의 리던던트 어레이 (redundant array), 플래시 메모리, 등을 포함한다. 전력 레벨들은 대응하는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 DSP들에 제공된다. x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 각각은 대응하는 전력 레벨을 가진 RF 신호를 생성하고 대응하는 RF 케이블을 통해 본 명세서에 기술된 동작들을 수행하는 IMC (104) 의 대응하는 입력부에 RF 신호를 공급하고, 그리고 척 (108) 에 수정된 RF 신호 (114) 를 제공한다.
기판 (120) 의 두께를 측정하는 동작, 측정된 두께로부터 제거 레이트를 계산하는 동작, 및 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 변화시키는 동작은 미리 결정된 제거 레이트로부터 미리 결정된 문턱값 내에 제거 레이트가 달성될 때까지 반복된다.
측정된 두께가 미리 결정된 두께의 미리 결정된 문턱값 내에 있는 경우에, 호스트 시스템 (116) 의 프로세서는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 하나 이상의 전력 레벨들을 제공하지 않거나, 측정된 두께가 미리 결정된 두께의 미리 결정된 문턱값 내에 있는 이전의 클록 사이클에 제공된 동일한 전력 레벨들을 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공하는 것을 계속한다.
도 4a는 단일 상태, 예를 들어, 상태 S, 등에서 동작되는 RF 생성기 (402) 의 실시예의 도면이다. RF 생성기 (402) 는 DSP (408), 전력 제어기 (PWRS), 및 AFT (auto-frequency tuner) (AFTS) 를 포함한다. RF 생성기 (402) 는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 중 임의의 예이다. 호스트 시스템 (116) 의 프로세서는 호스트 시스템 (116) 의 통신 디바이스 및 RF 생성기 (402) 의 통신 디바이스를 통해 호스트 시스템 (116) 의 DSP (408) 에 전력 레벨을 제공한다. 통신 디바이스는 데이터의 병렬 통신, 또는 데이터의 직렬 통신, 또는 이들의 조합의 통신을 용이하게 한다. DSP (408) 는 상태 S에 대한 전력 레벨을 수신하고, 전력 레벨이 상태 S와 연관되는지를 식별한다.
DSP (408) 는 RF 생성기 (402) 의 전력 제어기 (PWRS) 로 전력 레벨을 전송한다. 전력 제어기 (PWRS) 는 예를 들어, 트랜지스터, 하나 이상의 트랜지스터들, 등을 통해 RF 생성기 (402) 의 RF 전력 공급부 (406) 를 구동한다. RF 전력 공급부 (406) 는 RF 연속파 신호를 생성하도록 상태 S 동안 구동되고, RF 연속파 신호는 RF 생성기 (402) 의 출력부에 연결된 RF 케이블을 통해 IMC (104) (도 1a) 로 전송된다. 연속파 신호는 하나의 전력 레벨을 갖고 복수의 전력 레벨들을 갖지 않는다.
도 4b는 복수의 상태들, 예를 들어, 상태 S1, 상태 S0, 등에서 동작되는 RF 생성기 (410) 의 실시예의 도면이다. RF 생성기 (410) 는 DSP (412), 상태 S1에 대한 전력 제어기 (PWRS1), 상태 S0에 대한 전력 제어기 (PWRS0), 상태 S1에 대한 자동-주파수 튜너 (AFTS1), 및 상태 S0에 대한 자동-주파수 튜너 (AFTS0) 를 포함한다. RF 생성기 (410) 는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 중 임의의 예이다. 호스트 시스템 (116) 의 프로세서는 상태들 (S1 및 S0) 에 대한 전력 레벨들 및 타이밍들을 호스트 시스템 (116) 의 통신 디바이스 및 RF 생성기 (410) 의 통신 디바이스를 통해 DSP (412) 에 제공한다.
DSP (412) 는 RF 생성기 (410) 의 통신 디바이스로부터 상태들 (S1 및 S0) 에 대한 전력 레벨들 및 타이밍들을 수신하고, 상태 S0에 대한 전력 레벨 및 타이밍들과 상태 S1에 대한 전력 레벨 및 타이밍들을 식별한다. 예를 들어, DSP (412) 는 상태 S1에 대한 전력 레벨 및 타이밍들로부터 상태 S0에 대한 전력 레벨 및 타이밍들을 구별한다.
DSP (412) 는 상태 S1에 대한 전력 레벨 및 타이밍들을 RF 생성기 (410) 의 전력 제어기 (PWRS1) 로 전송하고 상태 S0에 대한 전력 레벨 및 타이밍들을 RF 생성기 (410) 의 전력 제어기 (PWRS0) 로 전송한다. 전력 제어기 (PWRS1 및 PWRS0) 각각은 예를 들어, 트랜지스터, 하나 이상의 트랜지스터들, 등을 통해 RF 생성기 (410) 의 RF 전력 공급부 (414) 를 구동한다. 예를 들어, 전력 제어기 (PWRS1) 는 상태 S1에 대한 전력 레벨 및 타이밍들을 RF 전력 공급부 (414) 에 제공함으로써 상태 S1 동안 RF 전력 공급부 (414) 를 구동하고, 전력 제어기 (PWRS0) 는 상태 S0에 대한 전력 레벨 및 타이밍들을 RF 전력 공급부 (414) 에 제공함으로써 상태 S0 동안 RF 전력 공급부 (414) 를 구동한다. RF 전력 공급부 (414) 는 상태들 (S1 및 S0) 사이, 예를 들어, 2개의 전력 레벨들 사이, 등에서 스위칭하는 RF 펄스 신호를 생성하도록 상태들 (S1 및 S0) 동안 구동된다. RF 펄스 신호는 RF 생성기 (410) 의 출력부에 연결된 RF 케이블을 통해 IMC (104) (도 1a) 로 전송된다.
일 실시예에서, 상태 S0에 대한 전력 레벨에 포함된 모든 전력량들은 상태 S1의 전력 레벨에 포함된 전력량들보다 보다 적다. 예를 들어, 상태 S1은 하이 (high) 상태이고 상태 S0은 로우 (low) 상태이다. 또 다른 예로서, 상태 S1은 상태 1이고 상태 S0은 상태 0이다.
일 실시예에서, RF 생성기 (410) 는 2개 초과의 상태들, 예를 들어, 3개의 상태들, 4개의 상태들, 등에서 동작하도록 수정된다.
도 5a는 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기의 전력 레벨들이, 전력 레벨들이 전력 레벨들의 범위의 상한 또는 하한에 있을 때 보통 달성되지 않는 RF 로드 전위를 달성하도록 가변되는 것을 예시하는 그래프들 (502, 504, 및 506) 의 실시예이다. RF 로드 전위는 RF 전송 라인 (106) (도 1a) 의 RF 로드를 따라 일 지점에서 측정된 전압이다.
그래프 (502, 504, 및 506) 각각은 시간에 대해 RF 로드 전위를 플롯팅한다. x1 ㎑ RF 생성기가 회로 (202A) (도 2a) 를 통해 전송된 5000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작되고 x ㎒ RF 생성기가 회로 (202B) (도 2a) 를 통해 전송된 0 W, 예를 들어, 0 내지 10 W, 등의 전력 레벨을 가진 RF 신호를 생성하도록 동작될 때, RF 로드 전위의 최대 레벨 (514) 이 달성되고 RF 로드 전위의 최소 레벨 (512) 이 달성된다. 또한, x1 ㎑ RF 생성기가 회로 (202A) 를 통해 전송된 0 W, 예를 들어, 0 내지 10 W, 등의 전력 레벨을 가진 RF 신호를 생성하도록 동작되고 x ㎒ RF 생성기가 회로 (202B) 를 통해 전송된 5000 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작될 때, RF 로드 전위의 최대 레벨 (516) 이 달성되고 RF 로드 전위의 최소 레벨 (518) 이 달성된다. 또한, x1 ㎑ RF 생성기가 회로 (202A) 를 통해 전송된 2500 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작되고 x ㎒ RF 생성기가 회로 (202B) 를 통해 전송된 2500 W의 전력 레벨을 가진 RF 신호를 생성하도록 동작될 때, RF 로드 전위의 최소 레벨 (508) 이 달성되고 RF 로드 전위의 최대 레벨 (510) 이 달성된다.
최대 레벨 (510) 은 최대 레벨 (514) 및 최대 레벨 (516) 보다 보다 높다. 또한, 최소 레벨 (508) 은 최소 레벨 (512) 및 최소 레벨 (518) 보다 보다 낮다. x1 ㎑ RF 생성기의 전력 레벨 및 x ㎒ RF 생성기의 전력 레벨을 2500 W, 예를 들어, 2490 내지 2510 W, 등이 되게 제어함으로써, 또 다른 RF 로드 전위 레벨보다 보다 낮은 RF 로드 전위 레벨이 달성된다. 다른 RF 로드 전위 레벨은 0 W 또는 5000 W의 전력 레벨을 갖도록 x1 ㎑ RF 생성기를 제어함으로써 그리고 0 W 또는 5000 W의 전력 레벨을 갖도록 x ㎒ RF 생성기를 제어함으로써 달성된다.
IMC (104) 를 통해 수정된 RF 신호 (114) 가 척 (108) 에 제공될 때 최소 RF 로드 전위 레벨 및 최대 RF 로드 전위 레벨 사이의 변동이 달성된다는 것이 주의되어야 한다. 수정된 RF 신호 (114) 는 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기로부터 수신된 RF 신호들로부터 본 명세서에 기술된 방식으로 IMC (104) 에 의해 생성된다.
일 실시예에서, x ㎒ RF 생성기를 사용하는 것 대신에, y ㎒ RF 생성기 또는 x ㎒ RF 생성기와 y ㎒ RF 생성기의 조합이 RF 로드의 RF 로드 전위를 제어하도록 사용된다.
도 5b는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 중 하나 이상의 전력 레벨들을 가변하도록 RF 로드 전위의 사용을 예시하는 플라즈마 시스템 (550) 의 실시예의 도면이다. 플라즈마 시스템 (550) 은 플라즈마 시스템 (550) 이 RF 로드 전위를 측정하는 전압 센서 (552) 를 포함한다는 것을 제외하고 플라즈마 시스템 (100) (도 1a) 과 유사하다. 전압 센서 (552) 는 RF 전송 라인 (106) 의 RF 로드에 연결된다.
기판 (120) 이 플라즈마 챔버 (110) 내에서 프로세싱, 예를 들어, 에칭, 스퍼터링, 모노머들 또는 폴리머들과 함께 증착, 등이 될 때, 전압 센서 (552) 는 RF 로드 상의 일 지점에서 RF 로드 전위를 측정한다. 측정된 RF 로드 전위는 전압 센서 (552) 에 의해 호스트 시스템 (116) 의 프로세서에 제공된다. 호스트 시스템 (116) 의 프로세서는 측정된 RF 로드 전위가 미리 결정된 로드 전위의 미리 결정된 문턱값 내에 있는지를 결정하도록 측정된 RF 로드 전위와 미리 결정된 로드 전위를 비교한다.
측정된 RF 로드 전위가 미리 결정된 로드 전위의 미리 결정된 문턱값 내에 없다고 결정할 시에, 호스트 시스템 (116) 의 프로세서는 호스트 시스템 (116) 의 메모리 디바이스로부터, 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별한다. 하나 이상의 전력 레벨들은 메모리 디바이스 내에서 미리 결정된 로드 전위에 대응, 예를 들어, 맵핑, 링킹 (link), 등이 된다. 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들은 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공된다. 대응하는 하나 이상의 전력 레벨들을 수신할 시에, 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기는 대응하는 하나 이상의 RF 케이블들 (112A, 112B, 및 112C) 을 통해 IMC (104) 에 제공하도록 대응하는 하나 이상의 RF 신호들을 생성한다. IMC (104) 는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기로부터 수신된 하나 이상의 RF 신호들로부터 본 명세서에 기술된 방식으로 수정된 RF 신호 (114) 를 생성하고 플라즈마 챔버 (110) 내에서 플라즈마를 생성 또는 유지하도록 척 (108) 에 수정된 RF 신호 (114) 를 제공한다.
RF 로드 전위를 측정하는 동작, 측정된 RF 로드 전위가 미리 결정된 RF 로드 전위의 미리 결정된 문턱값 내에 있는지를 결정하는 동작, 및 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별하는 동작은, 측정된 RF 로드 전위가 미리 결정된 RF 로드 전위의 미리 결정된 문턱값 내에 있을 때까지 반복적으로 수행된다.
측정된 RF 로드 전위가 미리 결정된 RF 로드 전위의 미리 결정된 문턱값 내에 있는 경우에, 호스트 시스템 (116) 의 프로세서는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 하나 이상의 전력 레벨들을 제공하지 않거나 측정된 RF 로드 전위가 미리 결정된 RF 로드 전위의 미리 결정된 문턱값 내에 있는 이전의 클록 사이클에 제공된 동일한 전력 레벨들을 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 계속 제공한다.
도 6a는 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기에 의해 공급된 RF 신호들의 전력 레벨들에 대한 웨이퍼 DC 바이어스 전압의 플롯을 예시하는 그래프 (602) 의 실시예이다. 최소 전력 레벨 범위, 예를 들어, 0 내지 300 W, 0 내지 400 W, 등 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 생성되고 회로 (202A) (도 1a) 를 통해 전송되고 그리고 최대 전력 레벨 범위, 예를 들어, 4700 내지 5000 W, 4600 내지 5000 W, 등 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 생성되고 회로 (202B) 를 통해 전송될 때, 웨이퍼 DC 바이어스 전압의 증가 레이트는 증가한다. 웨이퍼 DC 바이어스 전압의 증가 레이트는 나머지 전력 레벨 범위, 예를 들어, 300 내지 5000 W, 400 내지 5000 W, 등 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 생성되고 회로 (202A) (도 1a) 를 통해 전송되고 그리고 나머지 전력 레벨 범위, 예를 들어, 0 내지 4600 W, 0 내지 4700 W, 등 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 생성될 때 웨이퍼 DC 바이어스 전압의 증가 레이트와 비교하면 증가한다. 도 6a에 도시된 바와 같이, 그래프 (602) 의 구역 (604) 내의 웨이퍼 DC 바이어스 전압의 증가 레이트는 그래프 (602) 의 구역 (606) 내의 웨이퍼 DC 바이어스 전압의 증가 레이트보다 보다 크다.
x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 전력 레벨들의 총 범위는 RF 신호의 최소 전력 레벨 범위와 나머지 전력 레벨 범위의 합임을 주의해야 한다. 유사하게, x ㎒ RF 생성기에 의해 생성된 RF 신호의 전력 레벨들의 총 범위는 RF 신호의 최대 전력 레벨 범위와 나머지 전력 레벨 범위의 합이다.
일 실시예에서, x ㎒ RF 생성기를 사용하는 것 대신에, y ㎒ RF 생성기 또는 x ㎒ RF 생성기와 y ㎒ RF 생성기의 조합이 플라즈마 챔버 (110) 내의 웨이퍼 DC 바이어스 전압을 제어하도록 사용된다.
도 6b는 척 (108) 에서 기판 (120) 의 DC 바이어스 전압을 측정하도록 DC 바이어스 전압 센서 (652) 및 전극 (654), 예를 들어, DC 전압 픽업 핀, 등의 사용을 예시하는 플라즈마 시스템 (650) 의 실시예의 블록도이다. 전극 (654) 은 척 (108) 의 측면 표면에 부착, 예를 들어, 납땜, 등이 된다. 전극 (654) 은 DC 바이어스 전압 센서 (652) 에 연결된다. 상단 표면 (122) 은 플라즈마가 플라즈마 챔버 (110) 내에서 생성되고 그리고/또는 유지될 때 DC 바이어싱되는, 기판 (120) 에 의해 대전된다.
전극 (654) 은 기판 (120) 이 DC 바이어싱될 때 척 (108) 으로부터 전기 신호를 캡처한다 (capture). 전기 신호는 웨이퍼 DC 바이어스 전압의 양을 나타낸다. DC 바이어스 전압 센서 (652) 는 전기 신호를 수신하고 웨이퍼 DC 바이어스 전압의 측정된 값을 생성하고, 웨이퍼 DC 바이어스 전압은 DC 바이어스 전압 센서 (652) 에 의해 호스트 시스템 (116) 의 프로세서에 제공된다.
호스트 시스템 (116) 의 프로세서는 측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값 내에 있는지를 결정한다. 측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값 내에 없다고 결정할 시에, 호스트 시스템 (116) 의 프로세서는 호스트 시스템 (116) 의 메모리 디바이스로부터 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별한다. 하나 이상의 전력 레벨들은 메모리 디바이스 내에서 미리 결정된 DC 바이어스 전압에 대응, 예를 들어, 맵핑, 링킹, 등이 된다. 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들은 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공된다. 대응하는 하나 이상의 전력 레벨들을 수신할 시에, 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기는 대응하는 하나 이상의 RF 케이블들 (112A, 112B, 및 112C) 을 통해 IMC (104) 에 제공하도록 대응하는 하나 이상의 RF 신호들을 생성한다. IMC (104) 는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기로부터 수신된 하나 이상의 RF 신호들로부터 본 명세서에 기술된 방식으로 수정된 RF 신호 (114) 를 생성하고 플라즈마 챔버 (110) 내에서 플라즈마를 생성 또는 유지하도록 척 (108) 에 수정된 RF 신호 (114) 를 제공한다.
웨이퍼 DC 바이어스 전압을 측정하는 동작, 측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값 내에 있는지를 결정하는 동작, 및 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별하는 동작은, 측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값 내에 있을 때까지 반복적으로 수행된다.
측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값 내에 있는 경우에, 호스트 시스템 (116) 의 프로세서는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 하나 이상의 전력 레벨들을 제공하지 않거나 측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값 내에 있는 이전의 클록 사이클에 제공된 동일한 전력 레벨들을 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 계속 제공한다.
일 실시예에서, 전극 (654) 은 척 (108) 의 상단 표면 (122) 또는 하단 표면에 부착된다.
도 7a는 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기에 의해 생성된 RF 신호들의 전력 레벨들에 대해 최대 이온 에너지를 플롯팅하는 그래프 (702) 의 실시예이다. 그래프 (702) 는 또한 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기에 의해 생성된 RF 신호들의 전력 레벨들에 대해 최대 RF 플라즈마 전위를 플롯팅한다.
구역 (704) 내에 있는 최대 전력 레벨 범위, 예를 들어, 4800 내지 5000 W, 등 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 공급되고 회로 (202A) (도 2a) 를 통해 전송되고 그리고 구역 (704) 내에 있는 최소 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 공급되고 회로 (202B) (도 2a) 를 통해 전송될 때, eV (electron volts) 로 측정된, 최대 달성 가능한 이온 에너지의 구역 (706) 내의 제 1 최소 레벨이 플라즈마 챔버 (110) (도 1a) 내에서 생성된다. 유사하게, 구역 (708) 내에 있는 최소 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 공급되고 회로 (202A) (도 2a) 를 통해 전송되고 그리고 구역 (708) 내에 있는 최대 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 공급되고 회로 (202B) (도 2a) 를 통해 전송될 때, 최대 달성 가능한 이온 에너지의 구역 (710) 내의 제 2 최소 레벨이 플라즈마 챔버 (110) 내에서 생성된다.
또한, 구역 (712) 내에 있는 중간 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 공급되고 회로 (202A) (도 2a) 를 통해 전송되고 그리고 구역 (712) 내에 있는 중간 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 공급되고 회로 (202B) (도 2a) 를 통해 전송될 때, 최대 달성 가능한 이온 에너지의 구역 (714) 내의 최대 레벨이 플라즈마 챔버 (110) 내에서 생성된다. 구역 (714) 내의 최대 달성 가능한 이온 에너지의 최대 레벨은 구역 (706) 내의 최대 달성 가능한 이온 에너지의 제 1 최소 레벨과 구역 (708) 내의 최대 달성 가능한 이온 에너지의 제 2 최소 레벨보다 높다.
구역 (720) 내에 있는 최대 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 공급되고 회로 (202A) (도 2a) 를 통해 전송되고 그리고 구역 (720) 내에 있는 최소 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 공급되고 회로 (202B) (도 2a) 를 통해 전송될 때, 최대 달성 가능한 RF 플라즈마 전위의 구역 (722) 내의 제 1 최소 레벨이 플라즈마 챔버 (110) (도 1a) 내에서 생성된다. 유사하게, 구역 (724) 내에 있는 최소 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 생성되고 회로 (202A) (도 2a) 를 통해 전송되고 그리고 구역 (724) 내에 있는 최대 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 공급되고 회로 (202B) (도 2a) 를 통해 전송될 때, 최대 달성 가능한 RF 플라즈마 전위의 구역 (726) 내의 제 2 최소 레벨이 플라즈마 챔버 (110) 내에서 생성된다.
또한, 구역 (726) 내에 있는 중간 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x1 ㎑ RF 생성기에 의해 공급되고 회로 (202A) (도 2a) 를 통해 전송되고 그리고 구역 (726) 내에 있는 중간 전력 레벨 범위 내의 전력 레벨을 가진 RF 신호가 x ㎒ RF 생성기에 의해 공급되고 회로 (202B) (도 2a) 를 통해 전송될 때, 최대 달성 가능한 RF 플라즈마 전위의 구역 (728) 내의 최대 레벨이 플라즈마 챔버 (110) 내에서 생성된다. 최대 달성 가능한 RF 플라즈마 전위의 최대 레벨은 최대 달성 가능한 RF 플라즈마 전위의 제 1 최소 레벨과 최대 달성 가능한 RF 플라즈마 전위의 제 2 최소 레벨보다 보다 높다.
일 실시예에서, x ㎒ RF 생성기를 사용하는 것 대신에, y ㎒ RF 생성기 또는 x ㎒ RF 생성기와 y ㎒ RF 생성기의 조합은 플라즈마 챔버 (110) 내에서 최대 달성 가능한 이온 에너지 또는 최대 달성 가능한 RF 플라즈마 전위를 제어하도록 사용된다.
도 7b는 RF 로드 전위로부터 이온 에너지의 양의 계산을 예시하는 블록도이다. 이온 에너지의 양은 이온 에너지 계산기에 의해 계산되고, 이온 에너지 계산기는 호스트 시스템 (116) (도 1a) 의 프로세서에 의해 실행된다. 예를 들어, 이온 에너지 계산기는 다음의 방정식을 적용함으로써 RF 로드 전위 및 웨이퍼 DC 바이어스 전압 (Vdc) 로부터 f(E) 로 표기된 이온 에너지 분포 함수 (IEDF) 를 계산한다.
Figure 112016034940573-pat00001
, ..................(1)
여기서
Figure 112016034940573-pat00002
..................................(2)
방정식 (2) 에서, V LFRF(PEAK) 는 플라즈마 챔버 (110) 에 공급되고 RF 로드 전위로부터 호스트 시스템 (116) 의 프로세서에 의해 계산된 저주파수 RF 전압 (V LF ) 의 피크 값이고, Vdc는 본 명세서에 기술된 방식으로 측정된 웨이퍼 DC 바이어스 전압이고, t는 시간이고, 그리고 ω는 호스트 시스템 (116) 의 프로세서에 의해 계산된 저주파수이다. 예시를 위해, 주파수 ω는 RF 로드 전위를 측정하도록 사용된 전압 센서 (552) (도 5b) 에 의해 생성된 전압 신호로부터 고주파수들을 필터링함으로써 계산된다. 고주파수들의 필터링은 호스트 시스템 (116) 의 프로세서에 의해 또는 필터에 의해 수행된다. 일 실시예에서, 피크 값 V LFRF(PEAK) 는 척 (108) 에 연결된 전압 센서를 사용하여 측정된 전압들로부터 호스트 시스템 (116) 의 프로세서에 의해 계산된다.
호스트 시스템 (116) 의 프로세서는 계산된 이온 에너지가 미리 결정된 이온 에너지의 미리 결정된 문턱값 내에 있는지를 결정한다. 계산된 이온 에너지가 미리 결정된 이온 에너지의 미리 결정된 문턱값 내에 없다고 결정할 시에, 호스트 시스템 (116) 의 프로세서는 호스트 시스템 (116) 의 메모리 디바이스로부터 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별한다. 하나 이상의 전력 레벨들은 메모리 디바이스 내에서 미리 결정된 이온 에너지에 대응, 예를 들어, 맵핑, 링킹, 등이 된다. 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들은 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공된다. 대응하는 하나 이상의 전력 레벨들을 수신할 시에, 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기는 대응하는 하나 이상의 RF 케이블들 (112A, 112B, 및 112C) 을 통해 IMC (104) 에 제공하도록 대응하는 하나 이상의 RF 신호들을 생성한다. IMC (104) 는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기로부터 수신된 하나 이상의 RF 신호들로부터 본 명세서에 기술된 방식으로 수정된 RF 신호 (114) 를 생성하고 플라즈마 챔버 (110) 내에서 플라즈마를 생성 또는 유지하도록 척 (108) 에 수정된 RF 신호 (114) 를 제공한다.
이온 에너지를 계산하는 동작, 계산된 이온 에너지가 미리 결정된 이온 에너지의 미리 결정된 문턱값 내에 있는지를 결정하는 동작, 및 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별하는 동작은, 계산된 이온 에너지가 미리 결정된 이온 에너지의 미리 결정된 문턱값 내에 있을 때까지 반복적으로 수행된다.
계산된 이온 에너지가 미리 결정된 이온 에너지의 미리 결정된 문턱값 내에 있는 경우에, 호스트 시스템 (116) 의 프로세서는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 하나 이상의 전력 레벨들을 제공하지 않거나, 계산된 이온 에너지가 미리 결정된 이온 에너지의 미리 결정된 문턱값 내에 있는 이전의 클록 사이클에 제공된 동일한 전력 레벨들을 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공하는 것을 계속한다.
도 7c는 RF 로드 전위로부터 플라즈마 전위의 양의 계산을 예시하는 블록도이다. 플라즈마 전위의 양은 플라즈마 전위 추정기에 의해 계산되고, 플라즈마 전위 추정기는 호스트 시스템 (116) (도 1a) 의 프로세서에 의해 실행된다. 플라즈마 챔버 내의 플라즈마의 전압인 플라즈마 전위와 본 명세서에 기술된 방식으로 측정된 RF 로드 전위 사이의 관계가 호스트 시스템 (116) 의 메모리 디바이스에 저장된다. 예를 들어, 플라즈마 전위와 RF 로드 전위 사이의 차가 호스트 시스템 (116) 의 메모리 디바이스에 저장된다. 호스트 시스템 (116) 의 프로세서는 측정된 RF 로드 전위에 관계를 적용함으로써 플라즈마 전위를 계산한다.
호스트 시스템 (116) 의 프로세서는 계산된 플라즈마 전위가 미리 결정된 플라즈마 전위의 미리 결정된 문턱값 내에 있는지를 결정한다. 계산된 플라즈마 전위가 미리 결정된 플라즈마 전위의 미리 결정된 문턱값 내에 없다고 결정할 시에, 호스트 시스템 (116) 의 프로세서는 호스트 시스템 (116) 의 메모리 디바이스로부터 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별한다. 하나 이상의 전력 레벨들은 메모리 디바이스 내에서 미리 결정된 플라즈마 전위에 대응, 예를 들어, 맵핑, 링킹, 등이 된다. 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들은 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공된다. 대응하는 하나 이상의 전력 레벨들을 수신할 시에, 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기는 대응하는 하나 이상의 RF 케이블들 (112A, 112B, 및 112C) 을 통해 IMC (104) 에 제공하도록 대응하는 하나 이상의 RF 신호들을 생성한다. IMC (104) 는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기로부터 수신된 하나 이상의 RF 신호들로부터 본 명세서에 기술된 방식으로 수정된 RF 신호 (114) 를 생성하고 플라즈마 챔버 (110) 내에서 플라즈마를 생성 또는 유지하도록 척 (108) 에 수정된 RF 신호 (114) 를 제공한다.
플라즈마 전위를 계산하는 동작, 계산된 플라즈마 전위가 미리 결정된 플라즈마 전위의 미리 결정된 문턱값 내에 있는지를 결정하는 동작, 및 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 식별하는 동작은, 계산된 플라즈마 전위가 미리 결정된 플라즈마 전위의 미리 결정된 문턱값 내에 있을 때까지 반복적으로 수행된다.
계산된 플라즈마 전위가 미리 결정된 플라즈마 전위의 미리 결정된 문턱값 내에 있는 경우에, 호스트 시스템 (116) 의 프로세서는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 하나 이상의 전력 레벨들을 제공하지 않거나, 계산된 플라즈마 전위가 미리 결정된 플라즈마 전위의 미리 결정된 문턱값 내에 있는 이전의 클록 사이클에 제공된 동일한 전력 레벨들을 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공하는 것을 계속한다.
도 8a는 x1 ㎑ RF 생성기를 사용함으로써 플라즈마 챔버 (812) 의 에지 구역 (810) 내의 이온 포화 전류 밀도의 제어 및 x ㎒ RF 생성기 또는 y ㎒ RF 생성기를 사용함으로써 플라즈마 챔버 (812) 의 중심 구역 (814) 내의 이온 포화 전류 밀도의 제어를 예시하는 도면이다. 플라즈마 챔버 (812) 는 플라즈마 챔버 (110) (도 1a) 의 예이다.
x1 ㎑ RF 생성기는 에지 구역 (810) 에서의 이온 포화 전류 밀도를 제어하도록 임피던스 매칭 회로 (104) (도 1a) 의 회로 (202A) (도 2a) 를 통해 전송된 RF 신호를 공급한다. 예를 들어, x1 ㎑ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 x ㎒ RF 생성기에 의해 공급된 RF 신호의 전력 레벨보다 보다 낮을 때와 비교하여 x1 ㎑ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 x ㎒ RF 생성기에 의해 공급된 RF 신호의 전력 레벨보다 보다 높을 때 에지 구역 (810) 에서의 이온 포화 전류 밀도의 증가가 있다. 또 다른 예로서, x1 ㎑ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 증가할 때, 에지 구역 (810) 에서의 이온 포화 전류 밀도의 증가가 있고 그리고 x1 ㎑ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 감소할 때, 에지 구역 (810) 에서의 이온 포화 전류 밀도의 감소가 있다. x1 ㎑ RF 생성기에 의해 공급된 RF 신호는 중심 구역 (814) 으로부터 에지 구역 (810) 으로 플라즈마를 푸시하고 (push), 푸시는 에지 구역 (810) 에서의 이온 에너지 밀도를 증가시키도록 하부 전극과 상부 전극 (112) (도 1a) 사이의 면적 비를 증가시킨다. 또 다른 예로서, 회로 (202A) 는 ㎃/㎠로 측정된 이온 포화 전류 밀도의 제 1 초기 레벨 (804) 을 에지 구역 (810) 에서의 증가된 레벨 (806) 로 증가시키도록 x1 ㎑ RF 생성기에 의해 생성된 RF 신호를 전달한다. 레벨들 (804 및 806) 은 그래프 (802) 에 도시되고, 그래프 (802) 는 기판 (120) (도 1a) 의 반경에 대해 이온 포화 전류 밀도를 플롯팅한다. 이온 포화 전류 밀도의 제 1 초기 레벨 (804) 은 회로 (202B) 를 통해 전달되고 x ㎒ RF 생성기에 의해 생성된 RF 신호를 사용하여 달성된다.
유사하게, x ㎒ RF 생성기는 중심 구역 (814) 에서의 이온 포화 전류 밀도를 제어하도록 임피던스 매칭 회로 (104) 의 회로 (202B) (도 2a) 를 통해 전송된 RF 신호를 공급한다. 예를 들어, x ㎒ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 x1 ㎑ RF 생성기에 의해 공급된 RF 신호의 전력 레벨보다 보다 낮을 때와 비교하여 x ㎒ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 x1 ㎑ RF 생성기에 의해 공급된 RF 신호의 전력 레벨보다 보다 높을 때 중심 구역 (814) 에서의 이온 포화 전류 밀도의 증가가 있다. 또 다른 예로서, x ㎒ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 증가할 때, 중심 구역 (814) 에서의 이온 포화 전류 밀도의 증가가 있고 x ㎒ RF 생성기에 의해 공급된 RF 신호의 전력 레벨이 감소할 때, 중심 구역 (814) 에서의 이온 포화 전류 밀도의 감소가 있다. 또 다른 예로서, 회로 (202B) 는 제 2 초기 레벨 (808) 을 중심 구역 (814) 에서의 이온 포화 전류 밀도의 증가된 레벨 (809) 로 증가시키도록 x ㎒ RF 생성기에 의해 생성된 RF 신호를 전달한다. 중심 구역 (814) 에서의 이온 포화 전류 밀도의 제 2 초기 레벨 (808) 은 회로 (202A) 를 통해 전달되고 x1 ㎑ RF 생성기에 의해 생성된 RF 신호를 사용하여 달성된다.
일 실시예에서, 중심 구역 (814) 은 플라즈마 챔버 (812) 의 상부 전극 (816) 아래에 그리고 플라즈마 챔버 (812) 의 하부 전극 (818) 위에 위치된다. 예를 들어, 중심 구역 (814) 은 상부 전극 (816) 과 하부 전극 (818) 사이에 위치된다.
일 실시예에서, 중심 구역 (814) 은 에지 구역 (810) 과 비교하여 C-슈라우드 (822) 에 더 떨어져 있다. 예를 들어, 중심 구역 (814) 은 상부 전극 (816) 을 둘러싸는 상부 에지 전극 (820) 아래에 있지 않다. 일 실시예에서, 상부 에지 전극 (820) 과 상부 전극 (816) 은 유전체 링 (미도시) 에 의해 분리된다는 것이 주의되어야 한다.
일 실시예에서, 에지 구역 (810) 은 플라즈마 챔버 (812) 의 상부 전극 (816) 아래에 위치되지 않고 플라즈마 챔버 (812) 의 하부 전극 (818) 위에 위치되지 않는다. 예를 들어, 에지 구역 (810) 은 상부 전극 (816) 과 하부 전극 (818) 사이에 위치되지 않는다.
일 실시예에서, 에지 구역 (810) 은 중심 구역 (814) 과 비교하여 C-슈라우드 (822) 에 보다 가깝다. 예를 들어, 에지 구역 (810) 은 상부 에지 전극 (820) 아래에 있다.
일 실시예에서, x ㎒ RF 생성기를 사용하는 것 대신에, y ㎒ RF 생성기 또는 x ㎒ RF 생성기와 y ㎒ RF 생성기의 조합이 플라즈마 챔버 (812) 내에서 이온들의 이온 포화 전류 밀도를 제어하도록 사용된다.
플라즈마가 에지 구역 (810) 으로 푸시될 때, 에지 구역 (810) 에서의 RF 커플링의 증가가 있고, 그리고 RF 커플링의 증가가 플라즈마 챔버 (812) 내의 네거티브 웨이퍼 DC 바이어스 전압의 양을 증가시킨다는 것이 더 주의되어야 한다.
일 실시예에서, 하부 전극 (818) 은 하부 에지 전극 (824) 에 의해 둘러싸인다. 일 실시예에서, 하부 전극 (818) 과 하부 에지 전극 (824) 은 유전체 링 (미도시) 에 의해 분리된다는 것이 주의되어야 한다.
도 8b는 이온 포화 전류 밀도의 측정을 위한 플라즈마 시스템 (850) 의 실시예의 블록도이다. 플라즈마 시스템 (850) 은 플라즈마 시스템 (100) (도 1a) 의 예이다. 플라즈마 시스템 (850) 은 플라즈마 시스템 (850) 이 프로브 (852), 예를 들어, 평면의 이온 플럭스 프로브, Langmuir 프로브, 등, 및 프로브 (852) 에 연결된 전류 센서 (854) 를 포함한다는 것을 제외하고 플라즈마 시스템 (100) 과 유사하다. 전류 센서 (854) 는 또한 호스트 시스템 (116) 에 커플링된다.
프로브 (852) 는 전극들 (112 및 122) 사이의 플라즈마에 침지된다. 프로브 (852) 는 예를 들어, ㎠으로 측정 등이 된 표면적을 갖고, 플라즈마 챔버 (110) 내에서 회전된다. 회전할 때 프로브 (852) 는 전기 신호들을 생성하도록 프로브 (852) 의 표면적 이상의 플라즈마 챔버 (110) 내의 이온 전류를 수집하고 전류 센서 (854) 에 전기 신호들을 제공한다.
전류 센서 (854) 는 전기 신호들로부터 전류량을 측정하고 호스트 시스템 (116) 의 프로세서에 전류량을 제공한다. 호스트 시스템 (116) 의 프로세서는 이온 포화 전류 밀도를 계산하도록 프로브 (852) 의 단위 표면적 당 전류량을 계산한다.
호스트 시스템 (116) 의 프로세서는 계산된 이온 포화 전류 밀도를 미리 결정된 이온 포화 전류 밀도와 비교한다. 계산된 이온 포화 전류 밀도가 미리 결정된 이온 포화 전류 밀도의 미리 결정된 문턱값 내에 없다고 결정할 시에, 호스트 시스템 (116) 의 프로세서는 미리 결정된 이온 포화 전류 밀도를 달성하도록 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 각각에 대한 전력 레벨을 호스트 시스템 (116) 의 메모리 디바이스로부터 식별한다. 전력 레벨들은 대응하는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 DSP들에 제공된다. x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 각각은 대응하는 전력 레벨을 가진 RF 신호를 생성하고 RF 신호를 대응하는 RF 케이블을 통해 본 명세서에 기술된 동작들을 수행하는 IMC (104) 의 대응하는 입력부에 공급하고, 그리고 척 (108) 에 수정된 RF 신호 (114) 를 제공한다.
이온 포화 전류 밀도를 계산하는 동작, 계산된 이온 포화 전류 밀도와 미리 결정된 이온 포화 전류 밀도를 비교하는 동작, 및 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 하나 이상의 전력 레벨들을 변화시키는 동작은, 계산된 이온 포화 전류 밀도가 미리 결정된 이온 포화 전류 밀도로부터의 미리 결정된 문턱값 내에 있을 때까지 반복된다.
계산된 이온 포화 전류 밀도기 미리 결정된 이온 포화 전류 밀도의 미리 결정된 문턱값 내에 있는 경우에, 호스트 시스템 (116) 의 프로세서는 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 하나 이상의 전력 레벨들을 제공하지 않거나, 계산된 이온 포화 전류 밀도가 미리 결정된 이온 포화 전류 밀도의 미리 결정된 문턱값 내에 있는 이전의 클록 사이클에 제공된 동일한 전력 레벨들을 대응하는 하나 이상의 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기에 제공하는 것을 계속한다.
도 9a는 플라즈마 챔버 (110) (도 1a) 의 전극에 수정된 RF 신호 (114) (도 1a) 를 제공하도록 임피던스 매칭 회로 (104) (도 1a) 와 함께 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기 또는 y ㎒ RF 생성기의 사용이, x1 ㎑ RF 생성기를 사용하지 않고 x ㎒ RF 생성기와 y ㎒ RF 생성기를 사용함으로써 생성된 이온 에너지의 양보다 보다 적은 양의 이온 에너지의 생성을 용이하게 한다는 것을 예시하는 그래프 (910) 의 실시예이다. 그래프 (910) 는 이온들의 이온 에너지에 대한 플라즈마 챔버 (110) 내에 형성된 이온들의 이온 수의 함수인, 이온 에너지 분포 함수를 플롯팅한다.
그래프 (910) 에서 알 수 있는 바와 같이, 플롯 (912) 은 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기 또는 y ㎒ RF 생성기가 플라즈마 챔버 (110) 내에서 플라즈마 이온들을 생성하도록 플라즈마 챔버 (110) 로 전송된 수정된 RF 신호 (114) 를 생성하기 위해 RF 신호들을 전달하는 임피던스 매칭 회로 (104) 에 RF 신호들을 제공하도록 사용될 때 생성된다. 예를 들어, 플롯 (912) 은 회로 (202A) (도 2a) 가 x1 ㎑ RF 생성기로부터 수신된 RF 신호를 전달하고 회로 (202B) (도 2a) 가 x ㎒ RF 생성기로부터 수신된 RF 신호를 전달할 때 생성된다. 또한, 또 다른 플롯 (914) 은 x ㎒ RF 생성기 및 y ㎒ RF 생성기가 수정된 RF 신호를 생성하기 위해 RF 신호를 전달하는 임피던스 매칭 회로에 RF 신호들을 제공하도록 사용되고 수정된 RF 신호가 플라즈마 챔버 (110) 내에 플라즈마 이온들을 생성하도록 플라즈마 챔버 (110) 에 제공될 때 생성된다. x1 ㎑ RF 생성기는 플롯 (914) 를 생성하는데 사용되지 않는다. 예를 들어, 플롯 (914) 은 임피던스 매칭 회로 (미도시) 의 회로, 예를 들어, 회로 (202A, 202B, 202C), 등이 x ㎒ RF 생성기로부터 수신된 RF 신호를 전달하고 임피던스 매칭 회로의 또 다른 회로가 y ㎒ RF 생성기로부터 수신된 RF 신호를 전달할 때 생성된다. 또 다른 예로서, 플롯 (914) 은 회로 (202A) (도 2a) 의 단부 (E1) 가 x ㎒ RF 생성기에 연결되고 회로 (202B) (도 2a) 의 단부 (E2) 가 y ㎒ RF 생성기에 연결될 때, 회로 (202A) 에서 사용된 수보다 보다 적은 수의 차단 컴포넌트들이 단부들 (E1 및 220) (도 2b) 사이에서 사용될 때, 그리고 (202B) 에서 사용된 수보다 보다 적은 수의 차단 컴포넌트들이 단부들 사이에서 사용될 때 생성된다. 도시된 바와 같이, 플롯 (912) 은 플롯 (914) 에 걸친 영역 (918) 에 대응하는 이온 에너지의 양보다 보다 적은 이온 에너지에 대응하는 영역 (916) 에 부분적으로 걸친다.
구역 (916) 과 연관된 보다 적은 양의 이온 에너지는 기판 (120) (도 1a) 의 상단 상에 씌워진 재료, 예를 들어, 옥사이드, 모노머, 폴리머, 등을 제거, 예를 들어, 에칭, 스퍼터링, 등을 하는 동안 보다 고 선택도를 가능하게 한다.
일 실시예에서, 선택도는 에칭 층의 상단 상에 씌워진 마스크 층을 에칭하는 레이트에 대한, 에칭 층, 예를 들어, 기판의 상단 상에 놓인 옥사이드 층, 기판 (120) 의 상단 상에 씌워진 모노머, 기판 (120) 의 상단 상에 씌워진 폴리머, 등을 에칭하는 레이트의 비로서 규정된다.
도 9b는 x1 ㎑ RF 생성기 및 x ㎒ RF 생성기 또는 y ㎒ RF 생성기가 ㎑ RF 생성기를 사용하지 않고 x ㎒ RF 생성기 및 y ㎒ RF 생성기를 사용하는 것과 비교하여 사용될 때 에칭 프로파일의 변화를 예시하도록 사용된 그래프 (920) 의 실시예이다. 그래프 (920) 는 기판 (120) (도 1a) 의 반경에 대해 에칭 레이트를 플롯팅한다. 그래프 (920) 는 회로 (202A) (도 2a) 가 x1 ㎑ RF 생성기에 연결되는 것 대신에 x ㎒ RF 생성기 또는 y ㎒ RF 생성기에 연결되고 그리고 회로 (202B) (도 2a) 가 x ㎒ RF 생성기에 연결되는 것 대신에 y ㎒ RF 생성기에 연결될 때 에칭 레이트들의 에칭 프로파일 (922) 을 포함한다. 또한, 그래프 (920) 는 회로 (202A) 의 단부 (E1) (도 2a) 가 x ㎒ RF 생성기에 연결되는 것 대신에 x1 ㎑ RF 생성기에 연결되고 그리고 회로 (202B) 의 단부 (E2) (도 2a) 가 y ㎒ RF 생성기에 연결되는 것 대신에 x ㎒ RF 생성기에 연결될 때 에칭 레이트들의 에칭 프로파일 (924) 을 포함한다.
에칭 프로파일들 (922 및 924) 로부터, 회로 (202A) 가 x1 ㎑ RF 생성기로부터 수신된 RF 신호를 전달하도록 x1 ㎑ RF 생성기에 연결되고 회로 (202B) 가 x ㎒ RF 생성기 또는 y ㎒ RF 생성기에 의해 생성된 RF 신호를 수신하도록 x ㎒ RF 생성기 또는 y ㎒ RF 생성기에 연결될 때, 플라즈마 챔버 (110) 의 중심 구역에서 달성된 에칭 레이트 범위 (948) 는 에칭 레이트 범위 (954) 보다 보다 좁다. 에칭 레이트 범위 (954) 는 플롯 (922) 의 범위이고 에칭 레이트 범위 (948) 는 플롯 (924) 의 범위이다. 에칭 레이트 범위 (954) 는 회로 (202A) 의 단부 (E1) 가 x1 ㎑ RF 생성기가 아닌 x ㎒ RF 생성기에 연결되고 그리고 보다 적은 수의 차단 컴포넌트들이 본 명세서에 기술된 바와 같이 회로 (202A) 의 단부들 (E1 및 220) (도 2a) 사이에 포함될 때 중심 구역에서 달성된다. 또한, 에칭 레이트 범위 (954) 는 회로 (202B) 의 단부 (E2) 가 x ㎒ RF 생성기가 아닌 y ㎒ RF 생성기에 연결되고 그리고 보다 적은 수의 차단 컴포넌트들이 본 명세서에 기술된 바와 같이 회로 (202B) 의 단부들 (E2 및 220) 사이에 포함될 때 중심 구역에서 달성된다.
또한, 에칭 프로파일들 (922 및 924) 로 도시된 바와 같이, 회로 (202A) 가 x1 ㎑ RF 생성기로부터 수신된 RF 신호를 전달하도록 x1 ㎑ RF 생성기에 연결되고 회로 (202B) 가 x ㎒ RF 생성기 또는 y ㎒ RF 생성기에 의해 생성된 RF 신호를 수신하도록 x ㎒ RF 생성기 또는 y ㎒ RF 생성기에 연결될 때, 플라즈마 챔버 (110) 의 에지 구역에서 달성된 에칭 레이트 범위 (960) 는 에칭 레이트 범위 (962) 보다 보다 넓다. 에칭 레이트 범위 (962) 는 회로 (202A) 의 단부 (E1) 가 x1 ㎑ RF 생성기가 아닌 x ㎒ RF 생성기에 연결되고 보다 적은 수의 차단 컴포넌트들이 본 명세서에 기술된 바와 같이 회로 (202A) 의 단부들 (E1 및 220) (도 2a) 사이에 포함될 때 에지 구역에서 달성된다. 또한, 에칭 레이트 범위 (962) 는 회로 (202B) 의 단부 (E2) 가 x ㎒ RF 생성기가 아닌 y ㎒ RF 생성기에 연결되고 보다 적은 수의 차단 컴포넌트들이 본 명세서에 기술된 바와 같이 회로 (202B) 의 단부들 (E2 및 220) 사이에 포함될 때 에지 구역에서 달성된다.
일 양태에서, 본 명세서에 기술된 실시예들의 일부는 휴대용 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램 가능한 가전제품, 미니 컴퓨터들, 메인프레임 컴퓨터들, 등을 포함한 다양한 컴퓨터 시스템 구성들로 실시된다. 일 양태에서, 본 명세서에 기술된 실시예들의 일부는 태스크들이 컴퓨터 네트워크를 통해 링킹되는 리모트 프로세싱 하드웨어 유닛들에 의해 수행되는 분포된 컴퓨팅 환경들에서 실시된다.
다양한 실시예들에서, 제어기는 시스템의 일부이다. 시스템은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함한다. 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그 동작을 제어하기 위한 전자장치에 통합된다. 전자장치는 시스템의 다양한 컴포넌트들 또는 하위부품들을 제어하는 "제어기"로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세스 가스들, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 전달 툴들 및/또는 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 레시피를 사용하여 본 명세서에 개시된 임의의 프로세스를 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정된다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, DSP들, ASIC들로서 규정되는 칩들, PLD들, 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 전달되는 인스트럭션들이다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부이다.
제어기는, 일부 실시예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱에 대한 리모트 액세스를 가능하게 하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있다. 제어기는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 리모트 액세스를 인에이블한다.
일부 실시예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 컴퓨터 네트워크를 통해서 프로세스 레시피들을 시스템에 제공한다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함한다. 다양한 실시예들에서, 제어기는 웨이퍼를 프로세싱하기 위한 설정사항들의 형태의 인스트럭션들을 수신한다. 이 설정사항들은 제어기가 제어하거나 인터페이싱하는 툴의 타입 및 웨이퍼 상에서 수행될 프로세스의 타입에 특정적임이 이해되어야 한다. 따라서, 상기에 기술된 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들을 실행하기 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 내의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 시스템은 플라즈마 에칭 챔버, 증착 챔버, 스핀-린스 챔버, 금속 도금 챔버, 세정 챔버, 베벨 에지 에칭 챔버, PVD (physical vapor deposition) 챔버, CVD (chemical vapor deposition) 챔버, ALD (atomic layer deposition) 챔버, ALE (atomic layer etch) 챔버, 이온 주입 챔버, 트랙 (track) 챔버, 및/또는 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관된 임의의 다른 반도체 프로세싱 챔버를 포함한다.
상기 기술된 동작들이 평행한 플레이트 플라즈마 챔버, 예를 들어, 일부 실시예들에서 용량 결합된 플라즈마 챔버, 등에 관하여 기술되지만, 상기에 기술된 동작들은 다른 타입들의 플라즈마 챔버들, 예를 들어, 유도 결합된 플라즈마 (ICP) 반응기, TCP (transformer coupled plasma) 반응기, 컨덕터 툴들, 유전체 툴들을 포함한 플라즈마 챔버, ECR (electron cyclotron resonance) 반응기를 포함한 플라즈마 챔버, 등에 적용된다는 것이 더 주의된다. 예를 들어, x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기는 ICP 플라즈마 챔버 내에서 ESC에 커플링된다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 동작에 따라서, 제어기는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하고, 실시예들의 일부가 컴퓨터 시스템들에 저장된 데이터를 수반한 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이러한 컴퓨터-구현된 동작들은 물리량들을 조작하는 동작들이다.
실시예들의 일부는 또한 이들 동작들을 수행하기 위한 장치 또는 하드웨어 유닛에 관한 것이다. 장치는 특히 특정한 목적의 컴퓨터를 위해 구성된다. 특정한 목적의 컴퓨터로서 규정될 때, 컴퓨터는 특정한 목적의 부분이 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특정한 목적을 위해 동작할 수 있다.
일부 실시예들에서, 본 명세서에 기술된 동작들은 선택적으로 활성화된 컴퓨터에 의해 수행되거나, 컴퓨터 메모리에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 구성되거나, 컴퓨터 네트워크를 통해 획득된다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크, 예를 들어, 컴퓨팅 리소스의 클라우드 상에서 다른 컴퓨터들에 의해 프로세싱될 수도 있다.
본 명세서에 기술된 하나 이상의 실시예들은 또한 비일시적인 컴퓨터-판독 가능 매체 상의 컴퓨터-판독 가능 코드로서 제조될 수 있다. 비일시적인 컴퓨터-판독 가능 매체는 이후에 컴퓨터 시스템에 의해서 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적인 컴퓨터-판독 가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROM들 (compact disc-ROMs), CD-R들 (CD-recordables), CD-RW들 (CD-rewritables), 자기 테이프 및 다른 광학적 데이터 저장 하드웨어 유닛 및 비광학적 데이터 저장 하드웨어 유닛을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독 가능 매체는 컴퓨터-판독 가능 코드가 분산 방식으로 저장 및 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해서 분산된 컴퓨터-판독 가능 유형 매체를 포함한다.
상기에 기술된 일부 방법 동작들이 특정한 순서로 설명되었지만, 다양한 실시예들에서, 다른 하우스키핑 (housekeeping) 동작들이 방법 동작들 사이에서 수행되거나, 방법 동작들이 약간 상이한 시간들에 발생하도록 방법 동작들이 조정되거나, 다양한 간격들에서 방법 동작들의 발생을 허용하는 시스템에서 분포되거나, 상기에 기술된 순서와 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기에 기술된 임의의 실시예로부터의 하나 이상의 특징들은 본개시에 기술된 다양한 실시예들에서 기술된 범위를 벗어나지 않고 임의의 다른 실시예의 하나 이상의 특징들과 결합된다는 것이 더 주의되어야 한다.
전술한 실시예들이 명확한 이해를 목적으로 일부 상세히 설명되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 명백할 것이다. 따라서, 본 실시예들은 예시적이며 비제한적인 것으로 간주되고, 상기 실시예들은 본 명세서에 주어진 상세 사항들로 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물 내에서 수정될 수도 있다.

Claims (30)

  1. 제 1 복수의 튜닝 엘리먼트들 (elements) 을 포함하고, ㎑ (kilohertz) RF (radio frequency) 생성기에 커플링된 입력부를 가진, 제 1 회로;
    제 2 복수의 튜닝 엘리먼트들을 갖고, 저주파수 ㎒ (megahertz) RF 생성기에 커플링된 입력부를 가진, 제 2 회로로서, 상기 제 2 회로의 상기 제 2 복수의 튜닝 엘리먼트들은 상기 제 1 회로의 상기 제 1 복수의 튜닝 엘리먼트들에 인접한 배향으로 배치되는, 상기 제 2 회로;
    제 3 복수의 튜닝 엘리먼트들을 갖고, 고주파수 ㎒ RF 생성기에 커플링된 입력부를 가진, 제 3 회로로서, 상기 제 3 회로의 상기 제 3 복수의 튜닝 엘리먼트들은 상기 제 2 회로의 상기 제 2 복수의 튜닝 엘리먼트들에 인접한 배향으로 배치되는, 상기 제 3 회로; 및
    RF 전송 라인의 입력부에 커플링된 상기 제 1 회로, 상기 제 2 회로, 및 상기 제 3 회로의 출력부를 포함하고, 그리고 상기 RF 전송 라인의 출력부는 플라즈마 챔버의 전극에 커플링되고,
    상기 제 1 회로 및 상기 제 2 회로는 상기 제 1 회로를 통해 반사된 ㎑ RF 신호와 상기 제 2 회로를 통해 반사된 저주파수 ㎒ RF 신호 사이의 분리를 제공하고, 상기 제 1 회로를 통해 반사된 상기 ㎑ RF 신호와 상기 제 2 회로를 통해 반사된 상기 저주파수 ㎒ RF 신호 사이의 상기 분리는 상기 제 3 회로를 통해 반사된 고주파수 ㎒ RF 신호와 상기 저주파수 ㎒ RF 신호 사이의 인터페이스와 비교하여 상기 ㎑ RF 신호와 상기 저주파수 ㎒ RF 신호 사이의 인터페이스에서의 증가된 수의 튜닝 엘리먼트들에 의해 제공되고,
    상기 제 1 회로를 통해 반사된 상기 ㎑ RF 신호는 상기 플라즈마 챔버로부터 상기 RF 전송 라인을 통해 상기 ㎑ RF 생성기를 향해 반사된 RF 신호의 일부이고, 상기 제 2 회로를 통해 반사된 상기 저주파수 ㎒ RF 신호는 상기 플라즈마 챔버로부터 상기 RF 전송 라인을 통해 상기 저주파수 ㎒ RF 생성기를 향해 반사된 RF 신호의 또 다른 부분인, 임피던스 매칭 회로.
  2. 제 1 항에 있어서,
    상기 제 1 회로는 제 1 커패시터에 커플링된 제 1 인덕터, 상기 제 1 인덕터에 커플링된 제 2 커패시터, 상기 제 2 커패시터에 그리고 상기 제 1 인덕터에 커플링된 제 2 인덕터, 상기 제 2 인덕터에 커플링된 제 3 커패시터, 상기 제 3 커패시터에 그리고 상기 제 2 인덕터에 커플링된 제 4 커패시터, 및 상기 제 4 커패시터에 직렬로 커플링된 제 3 인덕터를 포함하고,
    상기 제 2 회로는 제 6 커패시터에 커플링된 제 5 커패시터, 상기 제 5 커패시터에 그리고 상기 제 6 커패시터에 커플링된 제 4 인덕터, 상기 제 4 인덕터에 커플링된 제 7 커패시터, 상기 제 7 커패시터에 그리고 상기 제 4 인덕터에 커플링된 제 5 인덕터, 상기 제 5 인덕터에 커플링된 제 8 커패시터, 및 상기 제 8 커패시터에 그리고 상기 제 5 인덕터에 커플링된 제 6 인덕터를 포함하고,
    상기 제 3 회로는 제 7 인덕터에 커플링된 제 9 커패시터, 및 상기 제 7 인덕터에 그리고 상기 제 9 커패시터에 커플링된 제 10 커패시터를 포함하는, 임피던스 매칭 회로.
  3. 제 1 항에 있어서,
    상기 튜닝 엘리먼트 각각은 인덕터 또는 커패시터를 포함하는, 임피던스 매칭 회로.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 제 1 회로는 상기 ㎑ RF 생성기로부터 ㎑ RF 신호를 수신하도록 구성되고, 상기 제 2 회로는 상기 저주파수 ㎒ RF 생성기로부터 저주파수 ㎒ RF 신호를 수신하도록 구성되고, 그리고 상기 제 3 회로는 상기 고주파수 ㎒ RF 생성기로부터 고주파수 ㎒ RF 신호를 수신하도록 구성되는, 임피던스 매칭 회로.
  6. 제 1 항에 있어서,
    상기 ㎑ RF 신호와 상기 저주파수 ㎒ RF 신호 사이의 상기 인터페이스는 상기 제 1 회로의 상기 튜닝 엘리먼트들 또는 상기 제 2 회로의 상기 튜닝 엘리먼트들을 포함하는, 임피던스 매칭 회로.
  7. 제 1 항에 있어서,
    상기 저주파수 ㎒ RF 신호와 상기 고주파수 ㎒ RF 신호 사이의 상기 인터페이스는 상기 제 3 회로의 상기 튜닝 엘리먼트들을 포함하는, 임피던스 매칭 회로.
  8. 제 1 항에 있어서,
    상기 ㎑ RF 생성기는 20 ㎑ 내지 1 ㎒ 범위의 주파수들을 갖고, 상기 저주파수 ㎒ RF 생성기는 1 ㎒ 내지 4 ㎒ 범위의 주파수들을 갖고, 그리고 상기 고주파수 ㎒ RF 생성기는 13 ㎒ 내지 200 ㎒ 범위의 주파수들을 갖는, 임피던스 매칭 회로.
  9. 제 1 항에 있어서,
    상기 플라즈마 챔버는 상부 전극을 포함하고, 상기 상부 전극은 반도체 층, 상기 반도체 층의 상단 상에 놓인 가스 분배 플레이트, 상기 가스 분배 플레이트의 상단 상에 놓인 히터, 상기 히터의 상단 상에 놓인 열 초크, 및 상기 열 초크의 상단 상에 놓인 상단 플레이트를 포함하고, 상기 상부 전극은 상기 가스 분배 플레이트와 상기 열 초크 사이의 절연체를 배제하는, 임피던스 매칭 회로.
  10. 제 1 항에 있어서,
    상기 전극은 상부 전극 또는 하부 전극인, 임피던스 매칭 회로.
  11. 제 1 항에 있어서,
    상기 플라즈마 챔버 내의 중심 구역에서 제 1 에칭 레이트를 달성하기 위해, 상기 제 1 회로는 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 저주파수 ㎒ RF 신호를 전달하도록 구성되고, 제 2 에칭 레이트보다 보다 낮은 상기 제 1 에칭 레이트는 상기 중심 구역에서 달성되고, 상기 제 2 에칭 레이트는 상기 ㎑ RF 생성기를 사용하지 않고 상기 저주파수 ㎒ RF 생성기 및 상기 고주파수 ㎒ RF 생성기를 사용하여 상기 중심 구역에서 달성되는, 임피던스 매칭 회로.
  12. 제 1 항에 있어서,
    상기 RF 전송 라인의 RF 로드 (rod) 에서 제 1 포지티브 전위 레벨을 달성하고 그리고 상기 RF 로드에서 제 1 네거티브 전위 레벨을 달성하기 위해, 상기 제 1 회로는 최대 전력 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최소 전력 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 RF 로드에서 제 2 포지티브 전위 레벨을 달성하고 그리고 상기 RF 로드에서 제 2 네거티브 전위 레벨을 달성하기 위해, 상기 제 1 회로는 최소 전력 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최대 전력 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 RF 로드에서 제 3 포지티브 전위를 달성하고 그리고 상기 RF 로드에서 제 3 네거티브 전위 레벨을 달성하기 위해, 상기 제 1 회로는 최소 전력 레벨과 최대 전력 레벨 사이의 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최소 전력 레벨과 최대 전력 레벨 사이의 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고, 상기 제 3 포지티브 전위 레벨은 상기 제 1 포지티브 전위 레벨 및 상기 제 2 포지티브 전위 레벨 각각보다 보다 높고, 상기 제 3 네거티브 전위 레벨은 상기 제 1 네거티브 전위 레벨 및 상기 제 2 네거티브 전위 레벨 각각보다 보다 낮은, 임피던스 매칭 회로.
  13. 제 1 항에 있어서,
    상기 플라즈마 챔버 내의 웨이퍼 DC (direct current) 바이어스 전압의 증가를 달성하기 위해, 상기 제 1 회로는 최소 전력 레벨 범위를 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최대 전력 레벨 범위를 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 웨이퍼 DC 바이어스 전압의 상기 증가는, 상기 제 1 회로가 제 1 나머지 전력 레벨 범위를 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로가 제 2 나머지 전력 레벨 범위를 가진 저주파수 ㎒ RF 신호를 전달하도록 구성될 때 상기 웨이퍼 DC 바이어스 전압의 증가보다 보다 큰 양이고,
    상기 최소 전력 레벨 범위 및 상기 제 1 나머지 전력 레벨 범위의 조합은 상기 제 1 회로를 통해 전달된 상기 ㎑ RF 신호의 총 전력 레벨 범위를 포함하고,
    상기 최대 전력 레벨 범위 및 상기 제 2 나머지 전력 레벨 범위의 조합은 상기 제 2 회로를 통해 전달된 상기 저주파수 ㎒ RF 신호의 총 전력 레벨 범위를 포함하는, 임피던스 매칭 회로.
  14. 제 1 항에 있어서,
    상기 플라즈마 챔버 내에서 최대 달성 가능한 이온 에너지의 제 1 최소량을 달성하기 위해, 상기 제 1 회로는 최대 전력 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최소 전력 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 플라즈마 챔버 내에서 최대 달성 가능한 이온 에너지의 제 2 최소량을 달성하기 위해, 상기 제 1 회로는 최소 전력 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최대 전력 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 플라즈마 챔버 내에서 최대 달성 가능한 이온 에너지의 최대량을 달성하기 위해, 상기 제 1 회로는 상기 최소 전력 레벨과 상기 최대 전력 레벨 사이의 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 상기 제 2 회로는 상기 최소 전력 레벨과 상기 최대 전력 레벨 사이의 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고, 상기 최대 달성 가능한 이온 에너지의 최대량은 상기 최대 달성 가능한 이온 에너지의 제 1 최소량 및 상기 최대 달성 가능한 이온 에너지의 제 2 최소량 각각보다 보다 큰, 임피던스 매칭 회로.
  15. 제 1 항에 있어서,
    상기 플라즈마 챔버 내에서 최대 달성 가능한 플라즈마 전위의 제 1 최소량을 달성하기 위해, 상기 제 1 회로는 최대 전력 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최소 전력 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 플라즈마 챔버 내에서 최대 달성 가능한 플라즈마 전위의 제 2 최소량을 달성하기 위해, 상기 제 1 회로는 최소 전력 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 최대 전력 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 플라즈마 챔버 내에서 최대 달성 가능한 플라즈마 전위의 최대량을 달성하기 위해, 상기 제 1 회로는 상기 최소 전력 레벨과 상기 최대 전력 레벨 사이의 레벨을 가진 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 상기 최소 전력 레벨과 상기 최대 전력 레벨 사이의 레벨을 가진 저주파수 ㎒ RF 신호를 전달하도록 구성되고, 상기 최대 달성 가능한 플라즈마 전위의 최대량은 상기 최대 달성 가능한 플라즈마 전위의 제 1 최소량 및 상기 최대 달성 가능한 플라즈마 전위의 제 2 최소량 각각보다 보다 큰, 임피던스 매칭 회로.
  16. 제 1 항에 있어서,
    상기 제 1 회로는 상기 플라즈마 챔버의 웨이퍼 에지 구역 내의 이온 포화 전류 밀도의 제 1 레벨을 증가시키기 위해 ㎑ RF 신호를 전달하도록 구성되고, 상기 이온 포화 전류 밀도의 제 1 레벨은 상기 제 2 회로를 통해 전송된 저주파수 ㎒ RF 신호를 사용하여 달성되고,
    상기 제 2 회로는 상기 플라즈마 챔버의 중심 구역 내의 이온 포화 전류 밀도의 제 2 레벨을 증가시키기 위해 저주파수 ㎒ RF 신호를 전달하도록 구성되고, 상기 이온 포화 전류 밀도의 제 2 레벨은 상기 제 1 회로를 통해 전송된 ㎑ RF 신호를 사용하여 달성되는, 임피던스 매칭 회로.
  17. 제 1 항에 있어서,
    상기 제 1 회로의 입력이 상기 ㎑ RF 생성기에 커플링되는 것 대신에 상기 저주파수 ㎒ RF 생성기에 커플링되고 그리고 상기 제 2 회로의 입력이 상기 저주파수 ㎒ RF 생성기에 커플링되는 것 대신에 상기 고주파수 ㎒ RF 생성기에 커플링될 때 달성된 이온 에너지의 양과 비교하여 상기 플라즈마 챔버 내에서 보다 낮은 양의 이온 에너지를 달성하기 위해 상기 제 1 회로는 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 저주파수 ㎒ RF 신호를 전달하도록 구성되는, 임피던스 매칭 회로.
  18. 제 1 항에 있어서,
    상기 제 1 회로의 입력이 상기 ㎑ RF 생성기에 커플링되는 것 대신에 상기 저주파수 ㎒ RF 생성기에 커플링되고 그리고 상기 제 2 회로의 입력이 상기 저주파수 ㎒ RF 생성기에 커플링되는 것 대신에 상기 고주파수 ㎒ RF 생성기에 커플링될 때 중심 구역에서 달성된 에칭 레이트 범위보다 보다 작은 상기 플라즈마 챔버의 상기 중심 구역에서의 에칭 레이트 범위를 달성하기 위해 상기 제 1 회로는 ㎑ RF신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 저주파수 ㎒ RF 신호를 전달하도록 구성되고,
    상기 제 1 회로의 상기 입력이 상기 ㎑ RF 생성기에 커플링되는 것 대신에 상기 저주파수 ㎒ RF 생성기에 커플링되고 그리고 상기 제 2 회로의 상기 입력이 상기 저주파수 ㎒ RF 생성기에 커플링되는 것 대신에 상기 고주파수 ㎒ RF 생성기에 커플링될 때 에지 구역에서 달성된 에칭 레이트 범위보다 보다 넓은 상기 플라즈마 챔버의 상기 에지 구역에서의 에칭 레이트 범위를 달성하기 위해 상기 제 1 회로는 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 저주파수 ㎒ RF 신호를 전달하도록 구성되는, 임피던스 매칭 회로.
  19. 제 1 회로, 제 2 회로, 제 3 회로를 포함하고,
    상기 제 1 회로는,
    제 1 션트 회로;
    상기 제 1 션트 회로에 커플링된 제 1 직렬 회로;
    상기 제 1 직렬 회로에 커플링된 제 2 션트 회로;
    상기 제 1 직렬 회로 및 상기 제 2 션트 회로에 커플링된 제 2 직렬 회로;
    상기 제 2 직렬 회로에 커플링된 제 3 션트 회로; 및
    상기 제 3 션트 회로 및 상기 제 2 직렬 회로에 커플링된 제 3 직렬 회로를 포함하고,
    상기 제 1 회로는 ㎑ RF 생성기에 커플링된 입력부를 갖고,
    상기 제 2 회로는,
    제 4 직렬 회로;
    상기 제 4 직렬 회로에 커플링된 제 4 션트 회로;
    상기 제 4 직렬 회로 및 상기 제 4 션트 회로에 커플링된 제 5 직렬 회로;
    상기 제 5 직렬 회로에 커플링된 제 5 션트 회로;
    상기 제 5 직렬 회로 및 상기 제 5 션트 회로에 커플링된 제 6 직렬 회로;
    상기 제 6 직렬 회로에 커플링된 제 6 션트 회로; 및
    상기 제 6 직렬 회로 및 상기 제 6 션트 회로에 커플링된 제 7 직렬 회로를 포함하고,
    상기 제 2 회로는 저주파수 ㎒ RF 생성기에 커플링된 입력부를 갖고,
    상기 제 3 회로는,
    제 7 션트 회로;
    상기 제 7 션트 회로에 커플링된 제 8 션트 회로; 및
    상기 제 7 션트 회로 및 상기 제 8 션트 회로에 커플링된 제 8 직렬 회로를 포함하고,
    상기 제 3 회로는 고주파수 ㎒ RF 생성기에 커플링된 입력부를 갖고,
    상기 제 1 회로, 상기 제 2 회로, 및 상기 제 3 회로의 출력부는 RF 전송 라인의 입력부에 커플링되고, 상기 RF 전송 라인의 출력부는 플라즈마 챔버의 전극에 커플링되고,
    상기 제 1 회로 및 상기 제 2 회로는 상기 제 1 회로를 통해 전송된 ㎑ RF 신호와 상기 제 2 회로를 통해 전송된 저주파수 ㎒ RF 신호 사이의 분리를 제공하고, 상기 ㎑ RF 신호와 상기 저주파수 ㎒ RF 신호 사이의 상기 분리는 상기 제 3 회로를 통해 전송된 상기 저주파수 ㎒ RF 신호와 고주파수 ㎒ RF 신호 사이의 인터페이스와 비교하여 상기 ㎑ RF 신호와 상기 저주파수 ㎒ RF 신호 사이의 인터페이스에서의 증가된 수의 튜닝 엘리먼트들에 의해 제공되는, 임피던스 매칭 회로.
  20. 제 19 항에 있어서,
    상기 플라즈마 챔버 내의 중심 구역에서 제 1 에칭 레이트를 달성하기 위해 상기 제 1 회로는 상기 ㎑ RF 신호를 전달하도록 구성되고 그리고 상기 제 2 회로는 상기 저 주파수 ㎒ RF 신호를 전달하도록 구성되고, 제 2 에칭 레이트보다 보다 낮은 상기 제 1 에칭 레이트는 상기 중심 구역에서 달성되고, 상기 제 2 에칭 레이트는 상기 ㎑ RF 생성기를 사용하지 않고 상기 저주파수 ㎒ RF 생성기 및 상기 고주파수 ㎒ RF 생성기를 사용하여 상기 중심 구역에서 달성되는, 임피던스 매칭 회로.
  21. 제 1 RF 신호를 생성하기 위한 ㎑ RF 신호 생성기;
    제 2 RF 신호를 생성하기 위한 저주파수 ㎒ 생성기;
    제 3 RF 신호를 생성하기 위한 고주파수 ㎒ 생성기; 및
    수정된 RF 신호를 생성하도록 상기 제 1 RF 신호, 상기 제 2 RF 신호, 및 상기 제 3 RF 신호를 수신하기 위해 상기 ㎑ RF 생성기, 상기 저주파수 ㎒ RF 생성기, 및 상기 고주파수 ㎒ RF 생성기에 커플링된 임피던스 매칭 회로를 포함하고,
    상기 임피던스 매칭 회로는:
    상기 제 1 RF 신호를 전달하기 위해 상기 ㎑ RF 신호 생성기에 단부에서 커플링된 제 1 회로;
    상기 제 2 RF 신호를 전달하기 위해 상기 저주파수 ㎒ RF 생성기에 단부에서 커플링된 제 2 회로;
    상기 제 3 RF 신호를 전달하기 위해 상기 고주파수 ㎒ RF 생성기에 단부에서 커플링된 제 3 회로; 및
    플라즈마 챔버 내에서 플라즈마를 생성하도록 상기 수정된 RF 신호를 수신하기 위한 전극을 가진 상기 플라즈마 챔버를 포함하고,
    상기 제 2 RF 신호와 상기 제 3 RF 신호 사이의 분리량에 비교하여, 상기 제 1 회로를 통해 전달된 상기 제 1 RF 신호와 상기 제 2 회로를 통해 전달된 상기 제 2 RF 신호 사이의 분리량을 증가시키기 위해 상기 제 1 회로는 상기 제 3 회로의 제 2 수의 튜닝 엘리먼트들보다 보다 많은 제 1 수의 튜닝 엘리먼트들을 포함하고 그리고 상기 제 2 회로는 상기 제 3 회로의 상기 제 2 수의 상기 튜닝 엘리먼트들보다 보다 많은 제 3 수의 튜닝 엘리먼트들을 포함하는, 플라즈마 프로세싱 시스템.
  22. 제 21 항에 있어서,
    상기 제 1 수는 상기 제 2 수와 동일하거나 상이한, 플라즈마 프로세싱 시스템.
  23. 제 1 복수의 직렬 회로들 및 제 1 복수의 션트 회로들을 포함하는 제 1 회로로서, 상기 제 1 회로는 ㎑ RF 생성기에 커플링된 입력부를 갖는, 상기 제 1 회로;
    제 2 복수의 직렬 회로들 및 제 2 복수의 션트 회로들을 갖는 제 2 회로로서, 상기 제 2 회로는 저주파수 ㎒ RF 생성기에 커플링된 입력부를 갖고, 상기 제 2 회로는 상기 제 1 회로에 인접한, 상기 제 2 회로;
    하나 이상의 직렬 회로들 및 하나 이상의 션트 회로들을 갖는 제 3 회로로서, 상기 제 3 회로는 고주파수 ㎒ RF 생성기에 커플링된 입력부를 갖고, 상기 제 3 회로는 상기 제 2 회로에 인접한, 상기 제 3 회로를 포함하고,
    상기 제 1 회로, 상기 제 2 회로 및 상기 제 3 회로의 출력부는 플라즈마 챔버에 커플링되는 RF 전송 라인의 입력부에 커플링되고,
    상기 제 1 회로 및 상기 제 2 회로는 ㎑ RF 신호와 저주파수 ㎒ RF 신호 사이의 분리를 제공하고, 상기 ㎑ RF 신호와 상기 저주파수 ㎒ RF 신호 사이의 상기 분리는 상기 제 3 회로의 튜닝 엘리먼트들의 수와 비교하여 증가된 수의 상기 제 1 회로의 튜닝 엘리먼트들에 의해 제공되고,
    상기 ㎑ RF 신호는 상기 플라즈마 챔버로부터 상기 RF 전송 라인 및 상기 제 1 회로를 통해 상기 ㎑ RF 생성기를 향하여 반사되고, 상기 저주파수 ㎒ RF 신호는 상기 플라즈마 챔버로부터 상기 RF 전송 라인 및 상기 제 2 회로를 통해 상기 저주파수 ㎒ 생성기를 향해 반사되는, 임피던스 매칭 회로.
  24. 제 23 항에 있어서,
    상기 ㎑ RF 신호와 상기 저주파수 ㎒ RF 신호 사이의 상기 분리는 상기 제 3 회로의 상기 튜닝 엘리먼트들의 수와 비교하여 증가된 수의 상기 제 2 회로의 튜닝 엘리먼트들에 의해 제공되는, 임피던스 매칭 회로.
  25. 제 23 항에 있어서,
    튜닝 엘리먼트 각각은 인덕터 또는 커패시터를 포함하는, 임피던스 매칭 회로.
  26. 제 23 항에 있어서,
    상기 ㎑ RF 생성기는 20 ㎑ 내지 1 ㎒ 범위의 주파수를 갖고, 상기 저주파수 ㎒ RF 생성기는 1 ㎒ 내지 4 ㎒ 범위의 주파수를 갖고, 상기 고주파수 ㎒ RF 생성기는 13 ㎒ 내지 200 ㎒ 범위의 주파수를 갖는, 임피던스 매칭 회로.
  27. 제 23 항에 있어서,
    상기 플라즈마 챔버는 상부 전극을 포함하고, 상기 상부 전극은 반도체 층, 상기 반도체 층의 상단 상에 놓인 가스 분배 플레이트, 상기 가스 분배 플레이트의 상단 상에 놓인 히터, 상기 히터의 상단 상에 놓인 열 초크, 및 상기 열 초크의 상단 상에 놓인 상단 플레이트를 포함하고, 상기 상부 전극은 절연체 층을 배제하는, 임피던스 매칭 회로.
  28. 제 23 항에 있어서,
    상기 RF 전송 라인에서 제 1 포지티브 전위 레벨을 달성하기 위해 그리고 상기 RF 전송 라인에서 제 1 네거티브 전위 레벨을 달성하기 위해, 상기 제 1 회로는 최대 전력 레벨을 갖는 제 1 RF 신호를 전송하도록 구성되고 그리고 상기 제 2 회로는 최소 전력 레벨을 갖는 제 2 RF 신호를 전송하도록 구성되고, 상기 제 1 RF 신호는 ㎑ 신호이고 상기 제 2 RF 신호는 저주파수 ㎒ 신호이고,
    상기 RF 전송 라인에서 제 2 포지티브 전위 레벨을 달성하기 위해 그리고 상기 RF 전송 라인에서 제 2 네거티브 전위 레벨을 달성하기 위해, 상기 제 1 회로는 최소 전력 레벨을 갖는 상기 제 1 RF 신호를 전송하도록 구성되고, 상기 제 2 회로는 최대 전력 레벨을 갖는 상기 제 2 RF 신호를 전송하도록 구성되고,
    상기 RF 전송 라인에서 제 3 포지티브 전위를 달성하기 위해 그리고 상기 RF 전송 라인에서 제 3 네거티브 전위 레벨을 달성하기 위해, 상기 제 1 회로는 상기 최소 전력 레벨과 상기 최대 전력 레벨 사이의 레벨을 갖는 상기 제 1 RF 신호를 전송하도록 구성되고, 상기 제 2 회로는 상기 최소 전력 레벨과 상기 최대 전력 레벨 사이의 레벨을 갖는 상기 제 2 RF 신호를 전송하도록 구성되고, 상기 제 3 포지티브 전위 레벨은 상기 제 1 포지티브 전위 레벨 및 상기 제 2 포지티브 전위 레벨 각각보다 크고, 상기 제 3 네거티브 전위 레벨은 상기 제 1 네거티브 레벨 및 상기 제 2 네거티브 전위 레벨 각각보다 작은, 임피던스 매칭 회로.
  29. 제 23 항에 있어서,
    상기 제 1 회로의 상기 튜닝 엘리먼트들의 수는 상기 저주파수 ㎒ RF 생성기에 커플링되고 상기 ㎑ RF 생성기에는 커플링되지 않는 임피던스 매칭 회로의 튜닝 엘리먼트들의 수보다 많은, 임피던스 매칭 회로.
  30. 제 23 항에 있어서,
    상기 제 2 회로의 튜닝 엘리먼트들의 수는 상기 고주파수 ㎒ RF 생성기에 커플링되고 상기 저주파수 ㎒ RF 생성기에는 커플링되지 않는 임피던스 매칭 회로의 회로의 튜닝 엘리먼트들의 수보다 많은, 임피던스 매칭 회로.
KR1020160022427A 2015-03-02 2016-02-25 플라즈마 프로세스들을 제어하기 위한 킬로헤르츠 rf 생성기 및 메가헤르츠 rf 생성기를 사용하는 동작을 위한 임피던스 매칭 회로 KR102548632B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/636,007 US9595424B2 (en) 2015-03-02 2015-03-02 Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US14/636,007 2015-03-02

Publications (2)

Publication Number Publication Date
KR20160106499A KR20160106499A (ko) 2016-09-12
KR102548632B1 true KR102548632B1 (ko) 2023-06-27

Family

ID=56850943

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160022427A KR102548632B1 (ko) 2015-03-02 2016-02-25 플라즈마 프로세스들을 제어하기 위한 킬로헤르츠 rf 생성기 및 메가헤르츠 rf 생성기를 사용하는 동작을 위한 임피던스 매칭 회로

Country Status (4)

Country Link
US (2) US9595424B2 (ko)
KR (1) KR102548632B1 (ko)
CN (2) CN108682610B (ko)
TW (1) TW201638989A (ko)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10312048B2 (en) * 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US20180175819A1 (en) * 2016-12-16 2018-06-21 Lam Research Corporation Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
TWI639178B (zh) 2017-02-03 2018-10-21 真環科技有限公司 電漿輔助原子層鍍膜裝置
CN110291408B (zh) * 2017-02-16 2022-12-13 应用材料公司 用于测量高温环境中的射频电功率的电压-电流探针及其校准方法
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US11651939B2 (en) * 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
EP3616235A4 (en) * 2017-07-07 2021-02-24 Advanced Energy Industries, Inc. INTER-PERIODIC CONTROL SYSTEM FOR PLASMA POWER SUPPLY SYSTEM AND ITS OPERATING PROCESS
US11615943B2 (en) * 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10264663B1 (en) 2017-10-18 2019-04-16 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
US10608335B2 (en) * 2017-11-22 2020-03-31 International Business Machines Corporation RF signal switching, phase shifting and polarization control
US10680581B2 (en) 2017-11-22 2020-06-09 International Business Machines Corporation RF signal switching, phase shifting and polarization control
CN108682611B (zh) * 2018-05-17 2020-03-13 大连理工大学 一种提高工艺等离子体均匀性的电极
US10916409B2 (en) * 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US10304663B1 (en) * 2018-07-19 2019-05-28 Lam Research Corporation RF generator for generating a modulated frequency or an inter-modulated frequency
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US10720305B2 (en) * 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
KR20230034970A (ko) * 2020-07-08 2023-03-10 램 리써치 코포레이션 플라즈마 프로세싱 시스템의 무선 주파수 (radiofrequency) 공급 시스템으로부터 프로세스 제어 정보를 추출하기 위한 시스템들 및 방법들
WO2023018587A1 (en) * 2021-08-13 2023-02-16 Lam Research Corporation Substrate processing system including rf matching circuit for multi-frequency, multi-level, multi-state pulsing
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
CN115884493A (zh) * 2021-09-28 2023-03-31 康普技术有限责任公司 阻抗匹配设备以及通信设备
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
WO2023225033A1 (en) * 2022-05-17 2023-11-23 Lam Research Corporation Ion energy distribution control over substrate edge with non-sinusoidal voltage source
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
WO2009115135A1 (en) 2008-03-20 2009-09-24 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US20130260567A1 (en) 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880723A (en) * 1973-08-28 1975-04-29 Us Air Force Method of making substrates for microwave microstrip circuits
JPS5647569A (en) * 1979-09-28 1981-04-30 Toshiba Corp Plasma etching method
US5339039A (en) * 1992-09-29 1994-08-16 Arizona Board Of Regents On Behalf Of The University Of Arizona Langmuir probe system for radio frequency excited plasma processing system
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6356097B1 (en) 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US7215697B2 (en) 1999-08-27 2007-05-08 Hill Alan E Matched impedance controlled avalanche driver
TW511158B (en) * 2000-08-11 2002-11-21 Alps Electric Co Ltd Plasma processing apparatus and system, performance validation system thereof
KR100444189B1 (ko) * 2001-03-19 2004-08-18 주성엔지니어링(주) 유도결합 플라즈마 소스의 임피던스 정합 회로
TW200300650A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus
TW200300649A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
US7015703B2 (en) * 2003-08-12 2006-03-21 Scientific Systems Research Limited Radio frequency Langmuir probe
US7241361B2 (en) * 2004-02-20 2007-07-10 Fei Company Magnetically enhanced, inductively coupled plasma source for a focused ion beam system
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
JP4564430B2 (ja) 2005-09-05 2010-10-20 シャープ株式会社 通信装置、通信方法、無線通信システムおよび無線通信方法
US7413672B1 (en) 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
WO2009040406A2 (de) * 2007-09-25 2009-04-02 Von Ardenne Anlagentechnik Gmbh Verfahren und anordnung zum redundanten anoden-sputtern mit einer dual-anoden-anordnung
WO2012135351A2 (en) 2011-03-28 2012-10-04 Tokyo Electron Limited Ion energy analyzer, methods of electrical signaling therein, and methods of manufacturing and operating the same
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9320126B2 (en) * 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
GB201210607D0 (en) * 2012-06-14 2012-08-01 Welding Inst Plasma source apparatus and method for generating charged particle beams
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9502221B2 (en) * 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
WO2009115135A1 (en) 2008-03-20 2009-09-24 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US20130260567A1 (en) 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning

Also Published As

Publication number Publication date
CN105938785B (zh) 2018-05-08
CN108682610A (zh) 2018-10-19
TW201638989A (zh) 2016-11-01
CN105938785A (zh) 2016-09-14
KR20160106499A (ko) 2016-09-12
US9595424B2 (en) 2017-03-14
US9984859B2 (en) 2018-05-29
US20160260584A1 (en) 2016-09-08
CN108682610B (zh) 2021-07-09
US20170162368A1 (en) 2017-06-08

Similar Documents

Publication Publication Date Title
KR102548632B1 (ko) 플라즈마 프로세스들을 제어하기 위한 킬로헤르츠 rf 생성기 및 메가헤르츠 rf 생성기를 사용하는 동작을 위한 임피던스 매칭 회로
US10916409B2 (en) Active control of radial etch uniformity
US10115564B2 (en) Uniformity control circuit for use within an impedance matching circuit
US10755895B2 (en) Ion energy control by RF pulse shape
JP7441819B2 (ja) 制御されたエッチングのための単一エネルギイオン生成
US9711332B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US10340915B2 (en) Frequency and match tuning in one state and frequency tuning in the other state
US10621265B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
US10276350B2 (en) Systems and methods for using computer-generated models to reduce reflected power towards an RF generator during state transitions of the RF generator by controlling RF values of the RF generator
US20160259872A1 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
TWI750154B (zh) 以步進方式調節阻抗匹配網路之系統及方法
US10020168B1 (en) Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US20230005717A1 (en) Multi-state pulsing for achieving a balance between bow control and mask selectivity
CN112585715A (zh) 在衬底处理系统中用于无匹配式等离子体源的直接频率调谐
KR20240090369A (ko) 전극들에 제공된 rf 신호들 사이의 위상차를 결정하기 위한 시스템들 및 방법들
KR20230164552A (ko) 플라즈마 시스 (sheath) 특성을 제어하기 위한 시스템들 및 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant