KR102438831B1 - 포토레지스트 프로파일 모델링 방법 - Google Patents

포토레지스트 프로파일 모델링 방법 Download PDF

Info

Publication number
KR102438831B1
KR102438831B1 KR1020150098418A KR20150098418A KR102438831B1 KR 102438831 B1 KR102438831 B1 KR 102438831B1 KR 1020150098418 A KR1020150098418 A KR 1020150098418A KR 20150098418 A KR20150098418 A KR 20150098418A KR 102438831 B1 KR102438831 B1 KR 102438831B1
Authority
KR
South Korea
Prior art keywords
photoresist
computer
inhibitor concentration
term
inhibitor
Prior art date
Application number
KR1020150098418A
Other languages
English (en)
Other versions
KR20160007434A (ko
Inventor
쳉 엔 우
하이킹 웨이
퀴아올린 짱
후아 송
Original Assignee
시놉시스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시놉시스, 인크. filed Critical 시놉시스, 인크.
Publication of KR20160007434A publication Critical patent/KR20160007434A/ko
Application granted granted Critical
Publication of KR102438831B1 publication Critical patent/KR102438831B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/10Numerical modelling

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

컴퓨터 구현 발명이 포토레지스트에 배치되는 억제제의 농도 구배의 크기에 따라 포토레지스트 프로파일을 컴퓨터를 이용하여 특성화시키는 단계를 포함한다.

Description

포토레지스트 프로파일 모델링 방법{METHOD FOR MODELING A PHOTORESIST PROFILE}
본 발명은 집적 회로(integrated circuit)의 컴퓨터 지원 디자인(computer aided design; CAD)을 위한 방법 및 시스템에 일반적으로 연관되고, 특히 포토 레지스트 프로파일(photoresist profile)을 특성화하는 단계에 연관된다.
많은 현대의 하이테크 산업, 특히 전자 산업은, 반도체 장치 제조, 특히 마이크로 리소그래피 기술에 기반을 두고 있다. 현대적인 반도체 칩 디자인 및 리소그래피 기능은 계산적 리소그래피(computational lithography)로 총칭되는 컴퓨터 시뮬레이션 기반의 기술에 점점 의존하고, 이는 프로세스의 개발(development), 분석(analysis), 특성화(characterization), 개선(refinement) 및 구현(implementation)을 위해 "수학적 모델(mathematical model)"을 이용한다.
대부분의 계산적 리소그래피 어플리케이션에서 동시에 요구되지만 종종 모순적인 두 개의 필요한 것들이 있다. 한 가지 필요한 것은 물리적 결과와 정확한 수치가 대개 일치되도록, 적절한 물리적 및/또는 화학적 프로세스를 알맞게 캡쳐하는 신뢰할 수 있고 정확한 수학적 모델이다. 다른 필요한 것은 상기와 동일한 수학적 모델이 짧은 턴어라운드 타임(turnaround time)에서 제한적인 계산적 리소스를 이용하여 많은 양의 디자인 및/또는 프로세스를 다루기 위해 효율적인 수치 알고리즘과 일치해야 한다는 점이다.
본 발명의 일실시예에 따라, 컴퓨터 구현 방법에 있어서, 포토레지스트에 배치되는 억제제 농도 구배(gradient of an inhibitor concentration)의 크기(magnitude)에 따라, 상기 포토레지스트 프로파일을 상기 컴퓨터를 이용하여 특성화하는 단계를 포함하는 방법이 포함된다. 일실시예에 따라, 상기 컴퓨터 구현 방법은 상기 억제제 농도에 따라, 상기 포토레지스트 프로파일을 상기 컴퓨터를 이용하여 특성화하는 단계를 더 포함한다.
일실시예에 따라, 상기 억제제 농도는 상기 포토레지스트에 배치되는 광산(photo-acid) 농도의 지수 함수를 포함한다. 일실시예에 따라, 상기 컴퓨터 구현 방법은 n 제곱된 상기 억제제 농도(inhibitor concentration raised to a power of n)에 따라, 상기 포토레지스트 프로파일을 상기 컴퓨터를 이용하여 특성화하는 단계 - 단, n은 5보다 크거나 같은 실수(real number)임 - 를 더 포함한다. 일실시예에 따라, 상기 컴퓨터 구현 방법은 전자기파(electromagnetic wave) 및/또는 입자빔(particle beam)에 대한 상기 포토레지스트의 반응에 의해 유발되는 프린트되는 포토레지스트 패턴(printed photoresist pattern)의 왜곡(distortion)을 줄이기 위해 상기 특성화되는 포토레지스트 프로파일을 적용하는 단계를 더 포함한다.
일실시예에 따라, 상기 컴퓨터 구현 방법은 상기 컴퓨터를 이용하여 상기 포토레지스트를 특성화하는 단계를 더 포함한다. 상기 특성화는 제1 텀(first term)과 제2 텀(second term)의 합(sum)과는 적어도 동일한 상기 포토레지스트에 배치되는 억제제의 임계치에 의해 정의된다. 상기 제1 텀은 억제제 농도를 포함하고 상기 제2 텀은 상기 억제제 농도(inhibitor concentration)의 상기 구배(gradient)의 크기(magnitude)를 포함한다.
일실시예에 따라, 상기 제2 텀은 n 제곱된 상기 억제제 농도와 곱해진 상기 억제제 농도의 상기 구배의 상기 크기의 상기 곱 -단, 상기 n은 5보다 크거나 같은 실수임 - 을 포함한다. 일실시예에 따라, 상기 제1 텀은 상기 포토레지스트에 배치되는 광산 농도(photo-acid concentration)의 지수 함수(exponential function)를 포함한다. 일실시예에 따라, 상기 제2 텀은 제3 텀(third term)과 제4 텀(fourth term)의 합을 포함한다. 일실시예에 따라, 상기 제3 텀은 n 제곱된 상기 억제제 농도와 곱해진 상기 억제제 농도의 상기 구배의 상기 크기의 상기 곱 -단, 상기 n은 5보다 크거나 같은 실수임 - 을 포함한다.
본 발명의 일실시예에 따라, 비일시적인 컴퓨터 판독가능 저장 매체가 명령어를 포함하고, 이는 컴퓨터에 의해 수행되는 경우, 상기 컴퓨터가 포토레지스트에 배치되는 억제제 농도 구배의 크기에 따라 상기 포토레지스트 프로파일을 특성화하도록 하게 한다. 일실시예에 따라, 상기 명령어는 상기 컴퓨터가 상기 억제제 농도에 따라 상기 포토 레지스트 프로파일을 특성화하도록 하게 하는 단계를 더 포함한다.
일실시예에 따라, 상기 명령어는 n 제곱된 상기 억제제 농도에 따라, 상기 컴퓨터가 상기 포토레지스트 프로파일을 특성화하도록 하게 하는 단계 - 단, n은 5보다 크거나 같은 실수임 - 를 더 포함한다. 일실시예에 따라, 상기 명령어는 상기 컴퓨터가 전자기파 및/또는 입자빔에 대한 상기 포토레지스트의 반응에 의해 유발되는 프린트되는 포토레지스트 패턴의 왜곡을 줄이기 위해 상기 특성화되는 포토레지스트 프로파일을 더 적용하도록 하게 한다.
일실시예에 따라, 상기 명령어는 상기 컴퓨터가 상기 포토레지스트를 특성화하도록 하게 하는 단계를 더 포함한다. 상기 특성화는 제1 항과 제2 항의 합과 적어도 동일한 상기 포토레지스트에 배치되는 억제제의 임계치에 의해 정의된다. 상기 제1 항은 억제제 농도를 포함하고 상기 제2 항은 상기 억제제 농도의 상기 구배의 크기를 포함한다.
본 발명의 일실시예에 따라, 시스템이 포토레지스트에 배치되는 억제제 농도 구배의 크기에 따라 상기 포토레지스트 프로파일을 특성화하도록 구성된다. 일실시예에 따라, 상기 시스템은 상기 억제제 농도에 따라, 상기 포토레지스트 프로파일을 특성화하도록 더 구성된다.
일실시예에 따라, 상기 시스템은 n 제곱된 상기 억제제 농도에 따라, 상기 포토레지스트 프로파일을 특성화 - 단, n은 5보다 크거나 같은 실수임 - 하도록 더 구성된다. 일실시예에 따라, 상기 시스템은 전자기파 및/또는 입자빔에 대한 상기 포토레지스트의 반응에 의해 유발되는 프린트되는 포토레지스트 패턴의 왜곡을 줄이기 위해 상기 특성화되는 포토레지스트 프로파일을 적용하도록 더 구성된다.
일실시예에 따라, 상기 시스템은 상기 포토레지스트를 특성화하도록 더 구성된다. 상기 특성화는 제1 항과 제2 항의 합과 적어도 동일한 상기 포토레지스트에 배치되는 억제제의 기준점에 의해 정의된다. 상기 제1 항은 억제제 농도를 포함하고 상기 제2 항은 상기 억제제 농도의 상기 구배의 크기를 포함한다.
본 발명의 실시예들의 본질 및 장점의 더 나은 이해는 뒤따르는 도면 및 상세한 설명을 참조하여 얻어질 수 있다.
도 1은 본 발명의 실시예들에서 이용될 수 있는 집적 회로의 디자인 및 제작에서의 단순화된 명시적 단계를 도시한다.
도 2는 본 발명의 일실시예에 따라, 물리적으로 합리적인 모델링 텀(term)을 포함하는 일반적인 모델 형식을 유도하기 위해 단순화된 흐름도를 도시한다.
도 3은 본 발명의 일실시예에 따라 디벨럽먼트(development) 바이어스 모델링 텀을 가진 전체신호가 통합된 모델 신호 필드로써 억제제 농도
Figure 112021085823957-pat00001
, 및 디벨럽먼트 CD 바이어스와 인버트되는 모델 신호 바이어스의 관계를 도시한다.
도 4는 본 발명의 실시예를 이용할 수 있는 발전된 레지스트 프로파일의 단면도를 도시한다.
도 5는 본 발명의 실시예에 따라 포토레지스트에 배치되는 억제제 농도 구배의 크기에 따라 포토레지스트 프로파일을 특성화하는 흐름도를 도시한다.
도 6은 본 발명의 실시예를 통합할 수 있는 컴퓨터 시스템의 블록도이다.
본 발명의 실시예는 광-노출(photo-exposure), 레지스트 베이킹 및 그 후의 디벨럽먼트의 프로세스 단계를 포함하는 리소그래픽 포토레지스트 화학, 컴퓨터를 이용하는 시뮬레이션을 위한 계산적인 필요성 및 매우 정확한 모델링을 다룬다. 간결한 수학적 모델은 본서에서 이하 "레지스트"로 참조되는 복잡한 비선형 포토레지스트를 정확하고 효율적으로 나타내도록 요구된다. 모델링 텀의 수는 우수한 수치상의 효율성을 달성하기 위해 최소화되어야 하지만, 이는 곧 모델의 완전성에 난제를 부과하며, 간결한 모델은 복잡한 프로세스에 포함되는 메커니즘 및 다수의 물리적 또는 화학적 파라미터를 완전히 캡쳐하기 위해서 충분한 텀 및 다양한 텀을 가져야만 한다. 포토레지스트의 간결한 모델을 위한 물리적으로 모델링을 합리화시키는 실시예 및 방법이 후술되고, 합리화되는 모델링 텀의 수학적 형식이 물리적 고찰 및 수학적 분석으로부터 적절하게 유도되고, 이는 또한 측정된 데이터를 이용하여 조정되며, 조절가능하고 물리적으로 의미 있는 파라미터와 통합된다. 물리적 합리화의 방법은 모델링 텀의 수를 최소화하는데 있어서 모델의 정확성 및 수치상 효율성을 증가시키는 이점이 있다. "물리적으로 합리화하는 단계" 및 "물리적 합리화"는 물리적 고찰 및 수학적 분석에 기반하여 조절 가능하고 물리적으로 의미 있는 파라미터를 가지는 간결하고 간명한 수학적 형식에서 모델링 텀을 유도하는 방법 및 단계를 나타낸다.
계산적 리소그래피의 필드에서, 포토레지스트 및 프로세스의 행동 및 반응을 모델링 또는 시뮬레이션하는 작업은 중요하지만 어려울 수 있고, 이는 물리적으로 잘 이해되어 있고 잘 구축되어 효율적인 솔루션 및 근사치를 주는 선형 변환 방정식 세트에 의해 정확하게 기술되는 포토레지스트 필름의 광학 이미지 구성의 단계와 대조될 수 있다. 포토레지스트 모델링의 어려움은 매우 비선형적이고 국소적이지 않은(non-local) 포토레지스트 디벨럽먼트(photoresist development)와 결합되어 마찬가지로 매우 비선형적이고 분산적인 화학적 반응 및 분산의 동역학으로부터 기인한다.
도 1은 본 발명의 실시예에서 이용될 수 있는 집적 회로의 제작 및 디자인에서 간소화된 명시적 단계(101)를 도시한다. 프로세스는 제품 아이디어(100)로 시작하고, 이는 EDA(Electronic Design Automation) 소프트웨어(110)를 이용하여 구현된다. 칩(170)은 제작(150) 및 포장 및 조립(160) 단계를 수행함으로써 최종 디자인으로부터 생산된다. EDA 소프트웨어를 이용하는 명시적인 디자인 흐름도(110)가 오직 예시적 목적으로써 후술된다. 예를 들어 실제의 집적 회로 디자인은 디자이너에게 후술되는 시퀀스와 다른 시퀀스의 디자인 흐름 단계를 수행하도록 요구할 수 있다.
시스템 디자인(112)에서, 디자이너는 구현되는 기능을 기술한다. 디자이너는 또한 기능을 세련되게 하고 비용을 체크하기 위해 사고예상질문분석(what-if)을 수행할 수 있다. 추가로, 이 단계에서 하드웨어-소프트웨어 아키텍쳐 분할이 일어날 수 있다. 디자인 및 기능 확인(114)에서, HDL(Hardware Description Language) 디자인이 생성될 수 있고, 기능적 정확도를 위해 체크될 수 있다.
합성 및 디자인(116)에서, HDL 코드는 네트리스트로 해석될 수 있고, 이는 타겟 기술을 위해 최적화될 수 있다. 추가로 완성되는 칩을 체크하기 위해 테스트가 디자인되어 구현될 수 있다. 네트리스트 확인(118)에서, 네트리스트는 시간 제약성(timing constraint) 준수 및 HDL 코드와의 부합이 체크될 수 있다. 디자인 계획(120)에서, 칩의 전체 평면도(floor plan)가 구성될 수 있고 시간 및 탑-레벨 라우팅을 위해 분석될 수 있다. 그 후, 물리적 구현(122)에서, 배치 및 라우팅이 수행될 수 있다.
분석 및 추출(124)에서, 회로 기능이 트랜지스터 레벨에서 확인될 수 있다. 물리적 확인(126)에서 디자인은 임의의 기능, 제조, 전기, 또는 리소그래픽 이유를 수정하기 위해 체크될 수 있다. 해상도 강화(128)에서, 기하학적인 취급은 디자인 제작성을 개선하기 위해 레이아웃에서 수행될 수 있다. 최종적으로, 마스크 데이터 준비(130)에서 디자인은 완결되는 칩을 제작하기 위한 마스크 제작을 위해 테이프 아웃 될 수 있다(140). 예를 들어, 물리적 확인(126) 및/또는 마스크 데이터 준비(130) 단계에서 다른 EDA 도구(tool)와 결합하여 본 발명의 실시예들이 이용될 수 있다. 예를 들어, 본서에 제시되는 포토레지스트 프로세싱 모델의 실시예는 컴퓨터가 반도체 프로세스 흐름 동안 노출, 노출 후 베이크 및 디벨럽먼트 후에 포토레지스트에서 결과 패턴을 시뮬레이션하도록 호출(invoke)되면 마스크 레이아웃과 함께 이용될 수 있다. 일실시예에서, 특성화되는 포토레지스트 프로파일을 포함할 수 있는 이런 포토레지스트 컴퓨터 모델링의 결과는 전자기파 및/또는 입자빔에 대한 포토레지스트의 반응에 의해 유발되는 프린트되는 포토레지스트 패턴에서 왜곡을 줄이기 위해 적용될 수 있다. 일실시예에서, 왜곡은 레이아웃 패턴을 조정함으로써 줄어들 수 있다. 다른 실시예에서, 왜곡은 포토레지스트 프로세스의 특성을 변화시킴으로써 줄어들 수 있다.
본 발명의 실시예들은 물리적으로 합리화된 모델링 텀을 포함하는 간결한 모델에 의한 포토레지스트 반응 및 행동을 나타내는 방법을 제시하고, 이는 측정되는 데이터를 가진 빠르게 수렴하는 파라미터 캘리브레이션(calibration)에 부합하고 거대 반도체 칩의 전체 표면 영역에 걸친 빠른 시뮬레이션에 잘 맞는다. 일실시예에서, 방법은 토포그래피 프로파일 및 디벨럽되는 포토레지스트 CDs(critical dimensions)의 최종 결과의 다양성에 영향을 주고 원인이 되는 에센셜 메커니즘 및 파라미터를 확인하는 제1 단계로부터 시작한다. 제2 단계에서 각각의 에센셜 메커니즘의 기반이 되는 물리적 및 화학적 성질이 분석되고, 신호 자극-반응 관계 및 수식의 운영을 확인한다. 그 후, 제3 단계는 치수적이고, 축척적이고 점근적인 분석을 포함하고, 디벨럽되는 포토레지스트의 최종 결과에 영향을 주는 파라미터 및 에센셜 메커니즘 사이의 관계를 캡쳐하는 간결하고, 기술적이고, 파라미터화되는 모델링 텀을 추출하는 수학적 분석의 기술을 이용한다. 최종적으로, 방법은 일반적인 모델 형식으로 모델링 텀을 병합하는 제4 단계로 끝난다.
전술한 네 단계의 결과로서, 획득된 일반적 모델 형식은 물리적으로 합리화된 모델링 텀을 구성하는 간결한 모델을 조성한다. 자연스럽게, 간결한 모델 그 자체는 가장 실험적인 모델 형식과 비교하여 매우 물리적이다. 전술된 네 단계에 따라 유도된 물리 기반의 모델 형식의 하나의 즉각적인 장점은 모델화 프로세스의 파라미터 및 컨디션의 불안정성 또는 불확신성 하에서 더 안정적인 것이고, 이와 관련하여 가장 실험적인 모델 형식으로 알려진 것과 비교하였을 때 캘리브레이트되는 영역 외에서 모델이 프로세스 컨디션 및 파라미터로 추정될 때 더 강력하게 예상하는 것이다. 물리적으로 합리화되는 모델링 텀을 이용할 때의 또 다른 큰 장점은 "오캠의 레이저(Occam's razor)" 표시로서 가장 간결하고 절약적인 모델 형식에 대한 여유가 있다는 것이고, 이는 모델링 텀의 수를 최소화하는 데 도움을 주고 가장 실험적으로 알려진 모델 형식보다 더 효율적인 계산 솔루션을 모델 캘리브레이션 및 모델 시뮬레이션으로 촉진한다.
전통적으로, 반도체 칩의 거대 면적 상의 계산적 리소그래피 시뮬레이션은 간결한 모델 형식으로 불리는 것을 도입하고, 이는 P에 의해 통합적으로 표시되는 다양한 다른 프로세스 파라미터 및 광학 객체
Figure 112022012145180-pat00002
, 광 마스크 레이아웃 패턴의 기능으로서 모델링된 2 또는 3 차원의 신호 필드
Figure 112022012145180-pat00003
를 구하고, 이는 다중 프로세스 스텝의 효과를 병합하고 일괄적으로 처리한다. 일정한 임계점
Figure 112022012145180-pat00004
는 디벨럽되는 레지스트 패턴 또는 토포그래피의 CDs 또는 예측 (또는 시뮬레이션된) 윤곽을 추출하기 위해 관습적으로 적용된다. 효과적으로, 간결한 모델 형식
Figure 112022012145180-pat00005
에 기반하는 계산적 리소그래피의 간결한 모델은 요구되는 윤곽 또는 CD 예측을 만들기 위해 다음의 방정식을 해결한다.
Figure 112022012145180-pat00006
(1)
간결한 모델 형식에서 통합되고 일괄적으로 처리되는 다중의 프로세스는 광학 이미지 및 포토레지스트 화학을 대개 포함한다. 가장 이른 간결한 모델에서 임계 작동(thresholding operation)은 전체적으로 포토레지스트 화학의 영향을 나타내는 것으로 의미되고, 따라서 신호 필드는 광학 객체의 2차 형식(quadratic form)으로서 단순히 선명도의 모델링이 된다:
Figure 112022012145180-pat00007
(2)
여기서, 잠재적 P 의존 TCC는 트랜스미션 교차 계수로 불리는 것에 부합되는 선형 오퍼레이터이다. 때때로,
Figure 112022012145180-pat00008
의 선형 기능, 즉 커널(kernel) 함수
Figure 112022012145180-pat00009
를 가지는
Figure 112022012145180-pat00010
의 회선(convolution)이 광학 플레어(optical flare) 같은 특정한 밀도 의존 효과를 캡쳐하기 위해 신호 필드에 추가된다. 광학 플레어는 광학 렌즈의 글래스 물질의 고유의 미시적 밀도 불안정성, 박막의 먼지, 광마스크의 불규칙성에 의한 빛의 랜덤 산란에 의해 유발될 수 있다. 추가로, 선명도는 프로세스되는 포토레지스트, 자외선(UV) 소스 레이저 대역폭, 및/또는 스테이지(stage)의 진동에서 유도된 블러링(blurring)의 광산(photo-acid) 확산을 질적으로 나타내기 위해 적합한 확산 커널
Figure 112022012145180-pat00011
에 의해 종종 블러링될 수 있다. 따라서 모델 방정식은 아래와 같고,
Figure 112022012145180-pat00012
는 식(2)에서 정의되었다.
Figure 112022012145180-pat00013
(3)
리소그래피 기술이 발전하면서, 포토레지스트 CDs와 시뮬레이션 에러의 내성 모두 급격하게 줄어들고 이는 포토레지스트 반응을 더 정확하고 상세하게 모델링할 수 있게 한다. 특히, 식(3)의 예시와 같이 포토레지스트 윤곽 및 CDs의 예측을 위한 선명도의 임계치를 단순화하는데 더 이상 적합하지 않다. 따라서, 예측되는 포토레지스트 프로파일/윤곽/CDs에 따르거나 신호 필드에 반대하는 보정이 웨이퍼에서 시뮬레이션과 물리적 포토레지스트 프로파일 결과를 더 좋게 일치시키기 위해, 선명도의 임계치 정렬선(baseline) 결과를 보완할 필요가 있다. 선명도를 임계화하는 것은 비록 디벨럽먼트 반응이 정확한 임계화와 같은 이상적인 S자형은 아니지만, 그 유용성이 매우 비선형적이고 날카롭게 전이되는 포토레지스트 디벨럽먼트 반응에서 기인한다. 따라서, 최근에 아래와 같은 일반적 형식의 많은 "가변적 임계점(variable threshold)"의 간결한 모델이 있고, 여기서
Figure 112022012145180-pat00014
는 선명도
Figure 112022012145180-pat00015
의 함수이고, 각각이 기울기의 절대값, (예를 들어 라플라시안과 같은) 2계 도함수, 및 신호 필드
Figure 112022012145180-pat00016
의 다른 유도되는 수치와 연관되는 모델링 텀 집합의 전형적인 약기(shorthand)이다.
Figure 112022012145180-pat00017
(4)
이런
Figure 112022012145180-pat00018
는 "가변적인 임계점"으로 참조되고 식(4)는 공간적 이웃의 신호 분포에 따라 각각의 특정 위치
Figure 112022012145180-pat00019
에서 임계점 레벨을 조정하는 수치인 식(4)의 좌항으로 효과적으로 이동될 때, "가변적인 임계점"으로 불린다.
최근에 알려진 기술에서 "가변적인 임계점" 같은 간결한 모델이 점점 발전하고 있고, 실질적으로 미리 결정되는
Figure 112022012145180-pat00020
를 위한 애드혹(ad-hoc)의 실험적인 수식, 즉 미리 결정되는 형식이 도입되고, 여기서 전형적으로 알려진 레시피(recipe)가 포함되고, 오쏘고날(orthogonal) 기본 함수의 세트로부터 많은 수의 미리 정해진 수학적 커널이 이용되고, 미리 정해진 수학적 커널과 함께 신호
Figure 112022012145180-pat00021
가 컨볼브(convolve)되고, 마지막으로 "가변적인 임계점"
Figure 112022012145180-pat00022
을 나타내기 위해 조정될 수 있는 계수에 의해 가중되는 컨볼루션(convolution) 결과의 선형 조합이 형성된다. 어떤 점에서는, "미리 정해지는 수학적 커널을 포함하는 컨볼루션" 접근은 도함수가 적합한 커널과 함께 컨볼루션에 의해 근사화될 수 있기 때문에 신호 필드의 명백한 도함수를 포함하는 이전의 방법을 일반화한다.
전술한 알려진 간결한 모델의 "가변적인 임계점"은 사실상 실험적이며, 여기서 미리 정해지는 수학적 커널의 도입 및 선택은 특정 물리/화학적 단계, 메커니즘, 도는 파라미터에 기반하지 않고, 오히려 매우 임의적이고 특히 수학적 또는 수치적 편의에서 벗어나, 단지 디벨럽되는 레지스트 윤곽 또는 CDs가 현장의 선명도에 의해 완전하게 정의되지 않고, 공간적인 이웃에서의 신호 분포의 의존성을 보여주는 실험적 관찰을 광범위하게 반영할 뿐이다. 실험상의 "가변적인 임계점"으로 알려진 이런 모델은 반도체 제조 기술의 과거 여러 세대 또는 기술의 노드에서 동작해왔다. 그러나 이렇게 알려진 모델들의 실험상의 본질은 모델링되는 프로세스의 불확실성 또는 불안정성 하에서 극단적으로 모델의 안정성을 제한하고, 모델이 캘리브레이트되는 지역 외측의 파라미터 및 조건을 진행하기 위해 확장 시 모델 예측력이 줄어든다. 실험상의 모델들은 종종 캘리브레이트하기 위해 더 많은 측정 데이터를 요구하고, "로컬 옵티멈 트랩(local optimum traps)" 또는 요구되지 않는 솔루션으로 채워져 있는 아주 높은 차원의 파라미터 공간 상에서 모델 옵티마이저(optimizer)가 최적의 솔루션을 검색해야 하기 때문에 캘리브레이션 절차는 수렴되기에는 너무 오래 걸리거나, 완전하게 수렴되지 않는다.
기본적으로, 실험적 모델에서 수학적 완전성은 중요한 이슈이다. 선형 시스템에서는 모델의 완전성의 이론적 보증이 있는데, 다시 말하면 임의의 선형 (및 시프트 불변) 시스템이 컨볼루션 커널이 오쏘고날 기본 함수의 완전한 세트로부터 오고 충분한 수의 컨볼루션 커널이 모델 형식으로서 선형 조합에 포함되는 경우에, 신호 컨볼루션의 선형 조합에 의해 미리 정해진 정확도로 근사화될 수 있다. 유감스럽게도, 포토레지스트 반응이 분산적이고 아주 비선형적인 점이 널리 공지되어 있으며, 이는 임계화 이전의 선형 변환에 의해 근사화될 수 없다. 컨볼루션 결과의 보정으로서 낮은 차수의 크로스 프로덕트 뿐만 아니라 낮은 차수의 파워가 실험상의 "가변적 임계점" 모델에 추가되었다. 반면에 컨볼루션 결과의 승인된 파워 및 크로스 프로덕트가 증가하는 경우, 실험적 모델은 모델 완전성이 지수적으로 증가하고, 단독의 모델링 텀의 개수의 텀에서, 이는 이런 실험상의 간결한 모델의 컴퓨터 시뮬레이션 동안 빠르게 컴퓨테이션이 손상된다.
실험상의 간결한 모델의 단점 및 한정을 극복하기 위해서, 본 발명의 일실시예는 물리적으로 합리화되는 모델링 텀을 포함하는 물리 기반의 모델 형식을 이용하고 구성하는 절차 및 일반적인 방법을 제공한다. 요구되는 모델 형식은 물리적으로 합리화되는 모델 텀의 조합의 일반적인 수학적 기능일 수 있지만, 이런 모델링 텀 각각은 물리적 포토레지스트와 연관되는 적어도 하나의 임의의 파라미터, 메커니즘(들), 물리/화학적 단계의 분석 및 고찰로부터 밝혀질 수 있다. 도 2는 본 발명의 일실시예에 따라, 물리적으로 합리적인 모델링 텀(term)을 포함하는 일반적인 모델 형식을 유도하기 위해 단순화된 흐름도를 도시한다. 흐름도는 네 개의 일반화되는 단계를 포함할 수 있다. 제1 단계에서 디벨럽되는 포토레지스트의 CDs 및 토포그래피 프로파일의 최종 결과의 다양성에 영향을 미치고 원인이 되는 에센셜 메커니즘 및 파라미터가 확인(202)될 수 있다.
제2 단계에서, 대부분의 에센셜 메커니즘의 기반이 되는 물리적 및 화학적 성질이 분석(204)되고, 신호 자극-반응 관계 및 수식의 운영이 확인된다. 제3 단계에서, 치수, 축척 및 점근적인 분석을 포함하는 수학적 분석 기술이 디벨럽되는 포토레지스트의 최종 결과에 영향을 주는 파라미터와 에센셜 메커니즘 사이의 관계를 캡쳐하는 간결하고, 서술적이고, 파라미터화되는 모델링 텀을 추출하기 위해 이용된다. 제4 단계에서, 모델링 텀은 일반적인 모델 형식으로 통합된다. 일실시예에서, 일반적인 형식은 모델링 텀의 선형 조합이다. 다른 실시예에서, 일반적인 모델 폼은 모델링 텀의 프로덕트(product)를 구성한다.
*전술한 네 단계의 절차로부터 획득한 것은 물리적으로 합리화되는 모델링 텀을 포함하는 일반적인 물리 기반의 간결한 모델이다. 종래의 실험적 모델과 대조적으로, 생성된 물리 기반의 모델은 모델링되는 프로세스의 파라미터 및 컨디션의 불확실성 또는 불안전성 하에서 매우 개선된 모델 안정성을 달성하고, 물리 기반의 모델이 캘리브레이트되는 영역 외에서 프로세스 컨디션 및 파라미터로 추정되는 경우 더 강력한 예측력을 가진다. 추가로, 포토레지스트의 물리적 및/또는 화학적 행동을 적절하기 특성화시키는 간결한 모델이 적합성 및 예측력을 유지하는 동안, 모델링 텀의 수가 최소화되는 경향이 있는, 물리적으로 합리화되는 모델링 텀을 이용하는 두드러진 장점이 있고, 각각의 모델링 텀이 실제로 기반이 되는 물리적 및/또는 화학적 단계 또는 메커니즘과 일치하고, 모든 기반이 되는 물리적 및/또는 화학적 단계 또는 메커니즘이 모델 형식에서 일치하고 대표적인 모델링 텀을 가진다. 간결성 및 단순성은 물리적으로 합리화되는 모델링 텀을 가지는 물리 기반의 간결한 모델의 중요한 장점이고, 이는 공지된 실험상의 간결한 모델과 비교하여 모델 캘리브레이션 및 모델 시뮬레이션의 계산적 문제를 해결하는 더 높은 수치적 효율을 해석한다.
전술한 네 단계의 절차에서 디벨럽되는 포토레지스트의 결과에 영향을 주는 에센셜 메커니즘 및 파라미터를 정확하게 확인하고, 간결하고, 기술적이고 파라미터화된 모델링 텀을 추출하기 위해 적절한 기술의 수학적 분석을 적용하는 것은 중요하다. 많은 기반이 되는 물리 및/또는 화학적 단계 및 메커니즘에서, 신호의 자극-반응 관계는 수학적으로 단순하고 친화적인 파워 스케일링(power scalings), 지수 법칙, 가우시안 컨볼루션, 기본적인 덧셈 및 곱셈을 따른다. 치수, 축척, 및 점근적인 분석 같은 수학적 분석에 적합한 기술의 어플리케이션에서, 파워, 지수, 가우시안 컨볼루션, 기본적인 덧셈 및 곱셈의 수학적으로 단순한 형식에서 추출되는 모델링 텀이 종종 존재하고, 이는 직관적이고 매력적인 해석의 영감을 주고 효율적인 계산을 촉진한다.
일실시예에서, 본서에서 "억제제"로 나타내는 분해 억제제의 농도 또는 밀도
Figure 112022012145180-pat00023
, 또는 디벨럽 프로세스 동안 광노출 및 노출 후 베이크(post-exposure bake; PEB) 물리 프로세스 단계에 배치되는 다른 물리적 또는 화학적 첨가물의 농도 또는 밀도가 모델 신호 필드로서 선택되고 다른 모델 형식이 판독된다.
Figure 112022012145180-pat00024
(5)
여기서,
Figure 112022012145180-pat00025
는 디벨럽되는 레지스트 패턴 또는 토포그래피의 CDs 또는 예측되거나 시뮬레이션되는 윤곽을 추출하기 위해 모델 신호 필드에 적용되는 일정한 임계값, 즉 임계화 동작이고
Figure 112022012145180-pat00026
는 "가변 바이어스"라 불리는 모델링 텀의 집합을 나타낸다. 식(5)는 광 노출 및 PEB 단계가 전체 필드 신호 프로세싱 알고리즘을 경유하여 엄격하게 다뤄지기 때문에, 모델 신호 필드로서 억제제 밀도
Figure 112022012145180-pat00027
를 선택한다. 따라서, 일실시예에서 컴퓨터 구현 발명은 포토레지스트에 배치되는 억제제 농도에 따라 포토레지스트 프로파일을 컴퓨터를 이용하여 특성화하는 단계를 포함한다. 포토레지스트는 자외선 또는 전자빔 같은 전자 방사선에 반응하는 생성기 및 퀀처(quenchers)를 추가로 포함할 수 있다. 광노출 단계는 광산(photo-acid) 생성기의 지수적 소모 및 광산의 생성으로서 취급될 수 있고, 추가로 후술된다. 광산 및 퀀처의 기본 반응-확산 프로세스는 "스플리트 단계" 알고리즘에 의해 잘 근사될 수 있고, 각각의 "스프리트 단계"는 "비선형적이지 않으며 확산 독단" 또는 "확산되지 않으며 비선형적 독단"이고, 이는 둘다 컴퓨터 계산으로 다룰 수 있다.
식(5)에 남은
Figure 112022012145180-pat00028
외의 것은 포토레지스트 디벨럽먼트의 단계이고, 이는 확실한 수치 알고리즘이 부재되어 있고, "가변적 바이어스"로서 물리적 합리화된 모델링 텀에 의해 표현되어야만 하고, 자연스럽게 디벨럽먼트 바이어스로 이름 붙었다. 포토레지스트 디벨럽먼트의 비이상적 임계화 반응으로 인해, 실제의 디벨럽되는 포토레지스트 CD 또는 윤곽은
Figure 112022012145180-pat00029
의 공간적으로 이웃한 곳에서 억제제 분포에 의존하여 디벨럽먼트 CD 바이어스로 불리는 차이
Figure 112022012145180-pat00030
와 함께 억제제 농도
Figure 112022012145180-pat00031
를 직접으로 임계화하는 것의 예측으로부터 차이가 있을 수 있다. 모델 형식은 억제제 농도
Figure 112022012145180-pat00032
의 식에 기반하기 때문에 디벨럽먼트 CD 바이어스
Figure 112022012145180-pat00033
를 가변 신호 바이어스
Figure 112022012145180-pat00034
의 모델링 텀으로 전환하는 것이 필요하다. 모델링 텀의 특정 수학적 형식이 이어서 물리적으로 합리화된다. 도 3은 본 발명의 일실시예에 따라 디벨럽먼트(development) 바이어스 모델링 텀을 가진 전체신호가 통합된 모델 신호 필드로써 억제제 농도
Figure 112022012145180-pat00035
, 및 디벨럽먼트 CD 바이어스
Figure 112022012145180-pat00036
와 인버트되는 모델 신호 바이어스의 관계를 일정한 임계점
Figure 112022012145180-pat00037
의 레벨에서 도시한다. 먼저 도 3에 도시된 바와 같이, 억제제 농도 등의 연관된 양의 작은 변화가 도함수에 의해 연관된다.
Figure 112022012145180-pat00038
(6)
다르게 표현하면, 레지스트 프로세스 모델 신호를 얻기 위해 억제제 모델에 필요한 변화이다. 도 3은 전체 신호
Figure 112022012145180-pat00039
를 추가로 도시한다.
그 다음, 억제제 농도
Figure 112022012145180-pat00040
의 함수, 및 디벨럽먼트 속도의 최대값
Figure 112022012145180-pat00041
와 최소값
Figure 112022012145180-pat00042
사이의 상대적으로 높은 대조, 심지어 NTD(negative tone development) 때문에 빠르게 디벨럽 가능한 영역의 포토레지스트가 수초 내에 빠르게 디벨롭퍼(developer) 내로 용해되는 것이 관찰되고, 그 동안 디벨롭퍼는 수십 초 남은 대다수의 디벨럽먼트 시간을 소비하고 포토레지스트의 "디벨럽먼트 레지스던트" 영역의 오직 일부만 진척되고, 상대적으로 작은 디벨럽먼트 CD 바이어스
Figure 112022012145180-pat00043
가 생성되고, 이는
Figure 112022012145180-pat00044
(7) 로 근사되고,
Figure 112022012145180-pat00045
는 대부분의 디벨럽먼트 시간이고, 이에 따라 실질적으로 독립적인 리소그래피 패턴과 같다. 도 4는 본 발명의 실시예를 이용할 수 있는 발전된 레지스트 프로파일의 단면도를 도시하고, 여기서 어두운 회색은 포토레지스트(410)의 "디벨럽먼트 레지스턴트"를 나타낸다. 흰 공백 구간(420)은 포토레지스트의 빠르게 디벨럽 가능한 부분을 나타내고, 다르게 표현하면 순수한 억제제의 임계점 모델 또는 순수한 광학 모델에 의해 CD가 주어진다. 상대적으로 좁은 밝은 회색 층(430)은 느린 디벨럽먼트를 경험하고, 대부분의 디벨럽먼트 시간을 소요하고, 화살표는 층(430) 내에 있는 디벨렙먼트 경로(440)의 부분을 나타낸다.
마지막으로 맥 디벨럽먼트 모델에 따라 디벨렙먼트 속도가 다음과 같이 모델화된다.
Figure 112022012145180-pat00046
(8)
PTD(positive tone development) 레지스트에서는 다음과 같이 주어진다:
Figure 112022012145180-pat00047
(9)
NTD 포토레지스트의 경우,
Figure 112022012145180-pat00048
는 임계값
Figure 112022012145180-pat00049
와 연관되는 상수이고,
Figure 112022012145180-pat00050
은 디벨럽먼트 반응 차수 파라미터이다. 특히
Figure 112022012145180-pat00051
인 NTD 포토레지스트의 경우 디벨럽먼트 속도는 점근적으로 다음과 같이 주어진다.
Figure 112022012145180-pat00052

이는
Figure 112022012145180-pat00053
번 제곱된
Figure 112022012145180-pat00054
의 스케일이다. 가변 바이어스의 점근적이고, 치수적이고, 스케일 분석, 물리적으로 합리적인 모델링 텀은 디벨럽먼트 바이어스의 효과를 나타내기 위해 획득된다.
Figure 112022012145180-pat00055

Figure 112022012145180-pat00056
Figure 112022012145180-pat00057
은 캘리브레이션 파라미터이다. 물리적 합리화는 또한
Figure 112022012145180-pat00058
가 곱
Figure 112022012145180-pat00059
와 연관되고
Figure 112022012145180-pat00060
은 디벨럽먼트 반응 차수와 연관되어 있음을 알려준다. 따라서 일실시예에서, 컴퓨터 구현 방법은 n 제곱되는 억제제 농도에 따라 포토레지스트 프로파일을 컴퓨터를 이용하여 특성화하는 단계를 포함하고, 여기서 n은 10보다 크거나 같은 실수이다.
실험적 측정 및 모델링 실험은 디벨럽먼트 반응 차수
Figure 112022012145180-pat00061
이 일반적으로 큰 수임을 보여주고, 이는 5에서 30까지 클 수 있다. 본서에서 물리적으로 합리화되는 모델링 텀의 파워는 잘 구해질 수 있고, 아주 간결하게 매우 비선형적이고(
Figure 112022012145180-pat00062
) 분산적인 반응(
Figure 112022012145180-pat00063
은 광산 및 퀀처 확산을 통합함)을 나타낸다. 실험적 모델을 이용하여 비선형적이고 분산적인 높은 차수까지 모델링 텀을 통합하는 것은 매우 어렵다. 도 5는 본 발명의 실시예에 따라, 식 (5), (6), (11)에서 전술한 바와 같이 포토레지스트에 배치되는 억제제 농도 구배의 크기에 따라 포토레지스트 프로파일을 컴퓨터를 이용하여 특성화하는 흐름도를 도시한다.
다른 실시예에서, 식 (5)의 억제제 밀도
Figure 112022012145180-pat00064
그 자체는 광 노출 및 PEB 단계를 물리적 및 수학적으로 분석함으로써 간결한 모델링 텀으로 물리적 합리화된다. 하나의 분석에서, 광 노출 단계는 선명도
Figure 112022012145180-pat00065
로부터 광산 농도
Figure 112022012145180-pat00066
까지 선형 변환으로서 근사되고, 여기서
Figure 112022012145180-pat00067
는 "Dill C" 파라미터이다. 그 후 PEB 단계는 일정한 퀀처 기본 농도
Figure 112022012145180-pat00068
를 가진 순간 중화에 의해 근사화되고, 이어 각각 확산의 효과, 또 다른 확산되는 광산 및 퀀처의 순간 중화인 가우시안 커널
Figure 112022012145180-pat00069
Figure 112022012145180-pat00070
를 가지는 "클리프된" 광산 및 퀀처 농도의 컨볼루션이 따른다. 따라서, 최종 광산 농도는 다음과 같다.
Figure 112022012145180-pat00071

그 후, 화학적으로 증폭된 억제제 디블록킹(de-blocking)의 연속적 진행 프로세스는 단일 지수 의존적으로 합리화된다.
Figure 112022012145180-pat00072

여기서
Figure 112022012145180-pat00073
는 화학적으로 증폭된 디블록킹 프로세스의 반응 속도에 비례하는 파라미터이다. 대안적으로, "Dill C" 광 노출 단계는 초기 광산 농도를 얻기 위해 엄격하게 다뤄진다.
Figure 112022012145180-pat00074

여기서
Figure 112022012145180-pat00075
는 광산 생성기의 농도이다. 확산, 산-기반의 중성화, 및 화학적 증폭 프로세스는 전술된 물리적 합리화와 동일하게 취급되어, 다음과 같이 얻어진다.
Figure 112022012145180-pat00076


Figure 112022012145180-pat00077

식 (13) 및 (16)에서
Figure 112022012145180-pat00078
의 물리적으로 합리화되는 모델링 텀은 매우 비선형적이고 분산적이어서, 즉 무거운 확산을 경험하고 물리적 합리화 없이 미리 정해진 모델 형식을 가지는 종래의 실험적 모델에서 나타나지 않을 수 있다는 점을 유념해야 한다. 반면에, 물리적 합리화는 식 (13) 또는 (16)의
Figure 112022012145180-pat00079
의 모델링 텀을 조정될 수 있는 파라미터를 가져서 다소 간결하고, 효울적으로 계산된 수치적 알고리즘에 잘 부합되게 한다.
일실시예에서, 포토레지스트에 배치되는 PDQ(photo-decomposable quencher)의 실험적으로 관찰되는 효과가 확인되고, 부합하는 모델링 텀의 물리적 합리화가 다음과 같이 유도된다. 광 분해 가능한 퀀처가 빛 에너지를 흡수하고 분해되면, 광 분해 산물은 비활성으로 가정되고 광산 및 관련 화학 반응에 영향을 주지 않는다. 특히, 광 분해 퀀처는 광산을 중화시키는 능력을 소실한다. 따라서, 높은 농도의 광산이 생성되는 밝게 노출된 지역에서 PDQ는 광범위하게 분해 및 고갈되고, 광산을 중화시키기 위해 점점 적은 양의 퀀처가 남는다. 역으로, 광산의 농도가 적어서 적게 노출된 지역에서는 퀀처의 광분해 또한 약하기 때문에, 적은 양의 광산을 효율적으로 무력화시키기 위해서는 더 많은 양의 퀀처 농도가 남는다. 순수 효과는 화학적 잠재적 이미지의 증가된 대조이다.
이런 비선형 강화 효과를 나타내기 위한 물리적으로 합리적인 모델링 텀의 다중 옵션이 있다. 한 가지 옵션은 적합한 임계점 값에 의한 딜의 공식의 광노출을 따르는 광산의 잠재적 이미지를 클립하는 것이고, 낮은 레벨의 광산 농도가 퀀처 베이스의 높은 초기 농도에 의해 완전히 매몰되는 물리적 합리화 상황에서, 임계점 아래의 영역에서 광산을 무시하는 것이다. 임계점 이상의 광산 농도를 가지는 영역에서만, 광산은 고갈되는 퀀처 베이스 농도를 극복하도록 가정되고 공간에서 확산되는 동안 활발하게 억제제 디블록킹을 촉진한다. 다른 옵션 광산의 잠재적 이미지를 단순히 제곱하거나, 또는 1 보다 큰 파워로 광산 농도를 올리는 것이다.
비선형 대조 증가 효과를 나타내는 전술한 각각의 옵션의 에센셜 물리적 합리화는 광산 생성의 정규 프로세스와 연결되어 퀀처의 광분해에 기인하여, 비선형 증가되는 대조를 근사하여 나타내기 위해 선명도에 대한 광산 농도의 슈퍼 리니어(super-linear), 스케일링 같은 비선형을 통합하기 위한 것일 수 있다. 또한 다른 옵션은 엄격한 "Dill C" 방식에서 퀀처의 광분해를 다뤄서 퀀처의 농도가 더 이상 식 (12) 또는 (15)와 같지 않고, 퀀처 농도가 선명도 의존의 공간 분포로 주어진다.
Figure 112022012145180-pat00080

여기서,
Figure 112022012145180-pat00081
는 광 노출 이전의 퀀처의 초기 기본 농도이고,
Figure 112022012145180-pat00082
는 광 노출 상황에서 퀀처 기본 광분해 및 광흡수의 속도를 나타내는 "Dill C" 파라미터이다. 따라서, 식 (15) 및 (16)은 물리적으로 합리화되어 다음과 같이 늘어날 수 있다.
Figure 112022012145180-pat00083

여기서,
Figure 112022012145180-pat00084
는 식 (17)에 정의된다.
식 (17), (18), 및 (19)의 조합은 모델 신호로서 식 (5)에 들어가는 간결하고 효율적으로 계산 가능한 하나의 모델링 텀을 제공하고, 이는 광 노출 및 PEB 후에 억제제 농도를 나타내기 위해 물리적으로 합리화된다. 따라서 일실시예에서, 억제제 농도는 포토레지스트에 배치되는 광산 농도의 자연 지수 함수를 포함한다.
일실시예에서, 식 (12) 또는 (15)의 광산 농도
Figure 112022012145180-pat00085
는 "화학적 플레어"라 불리는 것을 나타내기 위해 물리적으로 합리화되는 다른 모델링 텀을 통합하고, 이는 상대적으로 높은 농도 영역으로부터 상대적으로 낮은 농도 영역으로 포토레지스트 필름 밖에서 PEB 오븐의 챔버 내로 광산의 기체 흐름, PEB 오븐의 챔버 내의 기체 확산의 복잡한 프로세스를 경유하여 광산의 전달을 참조하고, 뒤이은 리-디포지션(re-deposition)으로 포토레지스트 필름으로 흡수된다. 기체 확산이 큰 확산 거리로 유도되는 것이 물리적으로 합리화되었고, 모델링 텀
Figure 112022012145180-pat00086

또는
Figure 112022012145180-pat00087

는 식 (12) 또는 (15)에 추가로 통합될 수 있고, 여기서 가우시안 컨볼루션 커널
Figure 112022012145180-pat00088
Figure 112022012145180-pat00089
보다 실질적으로 큰 확산 거리를 가지고, "화학적 플레어"의 기체 확산 본성을 반영한다. 유사하게, 퀀처 기본을 위한 "화학적 플레어" 또한 있고, 종종 퀀처 기본의 포토레지스트 필름으로 포토레지스트 필름, 기체 확산, 리디포지션의 단계를 경유하는 퀀처 베이스의 긴 거리의 확산을 참조한다. 이런 퀀처 베이스의 "화학적 플레어" 효과는 모델링 텀으로 유사하게 물리적으로 합리화된다.
Figure 112022012145180-pat00090

또는
Figure 112022012145180-pat00091

여기서 가우시안 컨볼루션 커널
Figure 112022012145180-pat00092
Figure 112022012145180-pat00093
보다 실질적으로 긴 확산 거리를 가지고, 퀀처 베이스와 연관되는 "화학적 플레어"의 기체 확산 성질을 반영한다. 물리적으로 합리화되는 텀
Figure 112022012145180-pat00094
Figure 112022012145180-pat00095
는 예를 들어
Figure 112022012145180-pat00096
에 텀을 추가함으로써 식 (19)에 통합될 수 있고, 억제제 농도를 위해 합리화되는 모델링 텀을 획득할 수 있다.
Figure 112022012145180-pat00097

여기서
Figure 112022012145180-pat00098
는 각각 식 (18), (20) 또는 (21), 및 (22) 또는 (23)에 정의된다. 식 (24)의
Figure 112022012145180-pat00099
의 물리적으로 합리화되는 모델링 텀은 차례로 식(5)의 물리 기반의 간결한 모델 형식에 들어간다.
또 다른 실시예에서, 웨이퍼 기질 근처의 포토레지스트 필름의 낮은 영역에서의 시변 및 포토레지스트 개구부 의존 디벨럽먼트 속도의 효과가 확인된다. 디벨럽먼트 속도는 질량 수송 제한에 따라 분석될 수 있고, 즉 포토레지스트 필름의 이미 개방된 틈을 통해 포토레지스트 필름의 낮은 영역으로 디벨롭퍼 솔루션의 벌크로부터 디벨럽먼트 물질의 질량 이동 속도가 제한되고, 분해되는 포토레지스트 물질은 디벨롭퍼 솔루션의 벌크에서 틈새 밖으로 흐른다.
물리적으로, 질량 수송이 제한되는 디벨럽먼트 속도는 벌크 디벨럽먼트 속도
Figure 112022012145180-pat00100
과 틈 사이즈에 제한되는 질량 이동 속도
Figure 112022012145180-pat00101
의 곱이어야 하고,
Figure 112022012145180-pat00102
는 틈의 밀도 함수이고, 포토레지스트 필름의 낮은 영역의 포인트
Figure 112022012145180-pat00103
에 의해 보여지는 유효 틈 사이즈를 나타낸다. 실질적으로 틈 밀도 함수는 적합한 가중 커널을 가지는 포토레지스트 필름의 높은 부분의 시뮬레이션되어 디벨럽되는 포토레지스트 윤곽 또는 의도된 포토레지스트 패턴의 컨볼빙에 의해 획득될 수 있고, 이는 포토레지스트 필름의 낮은 영역의 포인트
Figure 112022012145180-pat00104
에 따라 포토레지스트 필름의 상단 영역의 포토레지스트 개구부의 가중 영역을 나타낸다.
대안으로, 틈 밀도 함수는 의도된 포토레지스트 패턴의 영역 상에서 가중되는 통합으로서 계산될 수 있거나 포토레지스트 필름의 낮은 영역의 포인트
Figure 112022012145180-pat00105
로 "가시성"의 포토레지스트 필름의 높은 영역에서 디벨럽되는 포토레지스트의 윤곽이 시뮬레이션될 수 있고, 즉 가중되는 통합은 "조준선(line of sight)"을 통해 포인트
Figure 112022012145180-pat00106
와 연결되는 포토레지스트의 개구부의 위치 상에서만 축적된다. 따라서, 디벨럽되지 않은 포토레지스트에 막혀 포인트
Figure 112022012145180-pat00107
를 가리키는 직선을 가지는 임의 위치의 포토레지스트 개구부는 가중되는 통합의 원인이 되지 않을 수 있다.
"조준선" 영역의 가중되는 통합의 물리적 합리화는 질량 이동 프로세스를 가능하게 하고 포토레지스트 디벨럽먼트의 프로세스가 화학종의 "조준선" 이동에 의해 주를 이루는 것에 영향을 준다. 틈 제한 효과가 크지 않은 경우, 질량 이동의 속도
Figure 112022012145180-pat00108
가 낮은 차수의 다항식으로 확장되는 것이 타당하다.
Figure 112022012145180-pat00109

식(25)의 2차 및 고차 텀은 무시할 수 있고, 질량 이동 제한 디벨럽먼트 속도는 다음과 같이 판독된다.
Figure 112022012145180-pat00110

식(26)의 우변의 제1 텀은 식 (11)에 주어지는 가변 바이어스의 물리적으로 합리화되는 모델링 텀과 동시에 일어나고, 식 (6), (7) 및 (10)와 결합되는 경우 식 (26)의 우변의 제2 텀은 가변 바이어스의 다른 물리적 합리화되는 모델링 텀을 만든다.
Figure 112022012145180-pat00111

이는 포토레지스트의 틈에 의해 유도되는 디벨럽먼트 바이어스를 나타내고, 다른 추가의 텀으로서
Figure 112022012145180-pat00112
가변 바이어스의 집합을 식 (5)에 입력한다.
도 6은 본 발명의 실시예를 통합할 수 있는 컴퓨터 시스템의 블록도이다. 도 6은 본 발명을 통합하는 실시예의 도시할 뿐 청구항에 인용되는 본 발명의 범위를 제한하지 않는다. 당업자는 변형, 수정, 및 대안을 알 수 있다.
일실시예에서 컴퓨터 시스템(600)은 전형적으로 모니터(610), 컴퓨터(620), 사용자 출력 장치(630), 사용자 입력 장치(640), 통신 인터페이스(650), 및 이와 유사한 것 등을 포함한다.
도 6에 도시된 바와 같이 컴퓨터(620)는 버스 시스템(690)을 경유하여 많은 주변 장치와 통신하는 프로세서(들)(660)를 포함한다. 이런 주변 장치는 사용자 출력 장치(630), 사용자 입력 장치(640), 통신 인터페이스(650), 및 랜덤 액세스 메모리(RAM)(670) 및 디스크 드라이브(680)과 같은 저장 하위시스템을 포함할 수 있다.
사용자 입력 장치(630)은 컴퓨터 시스템(620)에 정보를 입력하기 위한 모든 가능한 타입의 장치 및 메커니즘을 포함한다. 이는 음성 인식 시스템, 마이크로폰, 및 다른 타입의 입력 장치 같은 오디오 입력 장치, 디스플레이가 통합된 터치 스크린, 키패드, 키보드를 포함할 수 있다. 다양한 실시예에서 사용자 입력 장치(630)는 전형적으로 컴퓨터 마우스, 트랙볼, 트랙패드, 조이스틱, 무선 리모콘, 드로잉 태블릿, 음성 명령 시스템, 눈 추적 시스템, 및 이와 유사한 것을 포함한다. 사용자 입력 장치(630)는 전형적으로 사용자가 객체, 아이콘, 텍스트, 및 이와 유사한 것이 버튼 같은 것의 클릭 등의 명령을 경유하여 모니터(610)에 나타나게 한다.
사용자 출력 장치(640)는 컴퓨터(620)로부터 출력되는 정보를 위해 가능한 타입의 장치 및 메커니즘을 포함한다. 이는 오디오 출력 장치 등과 같은 비시각 디스플레이, (모니터(610) 등의) 디스플레이를 포함할 수 있다.
통신 인터페이스(650)는 다른 통신 네트워크 및 장치로 인터페이스를 제공한다. 통신 인터페이스(650)는 다른 시스템으로부터 데이터를 수신하거나 전송하는 인터페이스로 동작할 수 있다. 통신 인터페이스(650)의 실시예는 전형적으로 이더넷 카드, 모뎀(전화, 위성, 케이블, ISDN), (비동기식의) 디지털 가입자선(digital subscriber line; DSL) 유닛, 파이어와이어(FireWire) 인터페이스, USB 인터페이스, 및 이와 유사한 것을 포함한다. 예를 들어, 통신 인터페이스(650)는 컴퓨터 네트워크, 파이어와이어 버스, 및 이와 유사한 것 등과 연결될 수 있다. 다른 실시예들에서, 커뮤니케이션 인터페이스(650)는 컴퓨터(620)의 마더보드와 물리적으로 통합될 수 있고, 소프트 DSL, 및 이와 유사한 것 등의 소프트웨어 프로그램일 수 있다.
다양한 실시예들에서, 컴퓨터 시스템(600)은 HTTP, TCP/IP, RTP/RTSP 프로토콜 및 이와 유사한 것 등의 네트워크 상에서 통신을 가능하게 하는 소프트웨어를 포함할 수 있다. 본 발명의 대안적인 실시예들에서, IPX, UDP 등의 다른 통신 소프트웨어 및 전송 프로토콜이 이용될 수 있다.
일부 실시예에서, 컴퓨터(620)는 적어도 하나의 인텔사의 제온(Xeon) 마이크로 프로세서를 프로세서(들)(660)로서 포함할 수 있다. 추가로 일실시예에서 컴퓨터(620)는 유닉스 기반의 운영 시스템을 포함한다.
램(670) 및 디스크 드라이브(680)는 본 발명의 실시예로서 데이터를 저장하기 위해 구성된 실제의 미디어 예시이고, 실행 가능한 컴퓨터 코드, 사람이 판독할 수 있는 코드, 및 이와 유사한 것 등을 포함한다. 다른 타입의 실제의 미디어는 플로피 디스크, 삭제 가능한 하드 디스크, CD-ROMS, DVDs 같은 광학 저장 매체 및 바코드, 플래시 메모리 같은 반도체 메모리, 비일시적인 ROMS(read-only-memories), 배터리가 내장된 휘발성 메모리, 네트워크된 저장 장치, 및 이와 유사한 것 등을 포함한다. 램(670) 및 디스크 드라이브(680)는 본 발명의 기능성을 제공하는 데이터 구성 및 기본 프로그래밍을 저장하도록 구성된다.
본 발명의 기능성을 제공하는 소프트웨어 코드 모듈 및 명령어는 램(670) 및 디스크 드라이브(680)에 저장될 수 있다. 이런 소프트웨어 모듈은 프로세서(들)(660)에 의해 실행될 수 있다. 램(670) 및 디스크 드라이브(680)는 본 발명에 따라 이용되는 데이터 저장을 위한 저장소를 제공할 수 있다.
램(670) 및 디스크 드라이브(680)는 비일시적인 명령들이 저장되는 ROM 및 프로그램 실행 중에 데이터 및 명령어의 저장을 위한 메인 RAM을 포함하는 많은 메모리를 포함할 수 있다. 램(670) 및 디스크 드라이브(680)는 프로그램 및 데이터 파일의 지속적인(비일시적인) 저장을 제공하는 파일 저장 하위시스템을 포함할 수 있다. 램(670) 및 디스크 드라이브(680)은 또한 삭제 가능한 플래시 메모리 같은 삭제 가능한 저장 시스템을 포함할 수 있다.
버스 하위 시스템(690)은 의도된 바와 같이 다양한 구성 요소 및 컴퓨터(620)의 하위 시스템이 각각 통신하도록 하는 메커니즘을 제공한다. 비록 버스 하위 시스템(690)이 단일 버스에서 도식적으로 보여지지만, 버스 하위시스템의 대안의 실시예는 다중의 버스들을 이용할 수 있다.
도 6은 본 발명을 실시할 수 있는 컴퓨터 시스템을 나타난다. 당업자에게는 본 발명과 함께 사용하기 위해 많은 다른 하드웨어 및 소프트웨어 구성이 가능하다는 것이 자명하다. 예를 들어, 컴퓨터는 데스크탑, 포터블, 랙-마운티드 또는 태블릿 구성일 수 있다. 추가로, 컴퓨터는 일련의 네트워크되는 컴퓨터일 수 있다. 추가로, AMD(Advanced Micro Devices)의 AthlonXP™ 또는 Pentium™ 또는 Itanium™ microprocessors; Opteron™ 마이크로 프로세서가 고려될 수 있다. 추가로, Microsoft Corporation의 Windows, WindowsXP, WindowsNT, Sun Microsystems의 Solaris, LINUX, UNIX 등이 고려될 수 있다. 또 다른 실시예로 전술된 기술은 칩 또는 보조 프로세싱 보드 상에서 구현될 수 있다.
본 발명의 다양한 실시예가 소프트웨어 또는 하드웨어 형식의 로직 또는 그 둘의 조합에서 구현될 수 있다. 로직은 컴퓨터로 판독 가능하거나 기계로 판독 가능한 일시적이지 않은 저장 매체에 본 발명의 실시예에서 개시된 일련의 단계를 수행하는 컴퓨터 시스템의 프로세서를 지시하도록 조정되는 일련의 명령어로서 저장된다. 로직은 본 발명의 실시예들에서 개시되는 일련의 단계를 수행하는 정보 처리 장치를 지시하도록 조정되는 컴퓨터 프로그램 제품의 일부를 형성할 수 있다. 본서에 제공되는 개시 및 지시에 기반하여, 당업자는 본 발명을 구현하기 위한 다른 방법 및/또는 방식을 이해할 수 있다.
본서에 기술되는 데이터 구조 및 코드는 컴퓨터 판독 가능 저장 매체 및/또는 하드웨어 모듈 및/또는 하드웨어 장치에 전체 또는 부분이 저장될 수 있다. 컴퓨터 판독 가능 저장 매체는 제한적이지 않게 휘발성 메모리, 비휘발성 메모리, 디스크 드라이브, 마그네틱 테이프, CD(compact discs), DVD(digital versatile discs 또는 digital video discs) 같은 마그네틱 및 광학 저장 장치, 또는 다른 매체, 공지되거나 앞으로 개발될 코드 및/또는 데이터를 저장할 수 있는 것들을 포함한다. 본서에 기술되는 하드웨어 모듈 또는 장치는 제한적이지 않게 ASICs(application-specific integrated circuits), FPGAs(field-programmable gate arrays), 전용 또는 공용의 프로세서, 및/또는 다른 하드웨어 모듈 또는 공지되었거나 앞으로 개발될 장치를 포함한다.
본서에 기술되는 방법 및 프로세스는 컴퓨터 판독 가능 저장 매체 또는 장치에 저장되는 코드 및/또는 데이터로서 전체 또는 일부가 실시될 수 있고, 컴퓨터 시스템이 코드 및/또는 데이터를 판독하고 실행할 때, 컴퓨터 시스템은 관련 방법 및 프로세스를 수행한다. 방법 및 프로세스는 하드웨어 모듈 및 장치에 전체 또는 일부가 실시될 수 있고, 하드웨어 모듈 또는 장치가 활성화되면, 관련 방법 및 프로세스를 수행한다. 본서에 기술되는 방법 및 프로세스는 코드, 데이터, 및 하드웨어 모듈 또는 장치의 조합을 이용하여 실시될 수 있다.
전술한 본 발명의 실시예들은 예시적이지만 제한적이지 않다. 다양한 대안 및 등가물이 가능하다. 비록 본 발명은 예시적인 방법으로 억제제 농도를 참조하여 기술되었지만, 본 발명은 억제제 농도에 제한적인 것이 아니라 선명도, 광산의 농도, 화학적으로 증폭된 억제제 디블록킹 농도 또는 그것의 보완제와 같은 모델 신호의 다른 물리적 또는 수학적 양에 기반하는 모델 형식에 적용 가능한 것이 이해되어야 하고, 레지스트 디벨럽먼트 용해도는 광산 농도 또는 선명도에 직접적으로, 최종적으로 레지스트 디벨럽먼트 시간의 공간적 분포에 기반하여 측정된다. 추가로, 본 발명의 테크닉 및 시스템은 광범위한 EDA(electronic design automation)의 사용 및 보존, 신호의 흐름, 이벤트 또는 디지털 시스템의 식에 의해 특성화되는 디자인, 테스트, 및/또는 제작 시스템의 방법론에 적합하다. 따라서 본 발명의 범위는 전술된 설명을 참조하여 정해지지 않고, 대신 이어지는 청구항을 참조하여 완전한 범위 또는 등가물로 정해져야만 한다.

Claims (30)

  1. 반도체 프로세스의 개발, 분석, 특성화, 개선 및 구현을 위하여, 컴퓨터 상에서 실행되는, 컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구에 있어서,
    상기 컴퓨터를 이용하여, 포토레지스트에 배치되는 억제제 농도 구배의 크기에 따라, 포토레지스트 프로파일을 모델링하도록 구성되고,
    상기 모델링은,
    적어도 제1 텀 및 제2 텀의 합과 동일한 상기 포토레지스트에 배치되는 억제제의 임계치에 의해 정의되고,
    상기 제1 텀은,
    억제제 농도를 포함하고,
    상기 제2 텀은,
    상기 억제제 농도 구배의 크기를 포함하고,
    상기 계산적 리소그래피 도구는,
    집적 회로를 형성하기 위하여, 상기 포토레지스트를 이용하여, 상기 반도체 프로세스를 개발, 분석, 특성화, 개선 및 구현하기 위하여 호출되는,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  2. 제1항에 있어서,
    상기 억제제 농도는,
    상기 포토레지스트에 배치되는 광산 농도의 지수 함수를 포함하는,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  3. 제1항에 있어서,
    상기 계산적 리소그래피 도구는,
    상기 컴퓨터를 이용하여, n 제곱된 상기 억제제 농도에 따라, 상기 포토레지스트 프로파일을 모델링하도록 더 구성되고,
    상기 n은 5보다 크거나 같은 실수인,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  4. 제1항에 있어서,
    상기 계산적 리소그래피 도구는,
    상기 반도체 프로세스 중, 전자기파 및/또는 입자빔에 대한 상기 포토레지스트의 반응에 의해 유발되는 프린트되는 포토레지스트 패턴의 왜곡을 줄이기 위해, 상기 모델링되는 포토레지스트 프로파일을 적용하도록 더 구성되는,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  5. 제1항에 있어서,
    상기 제2 텀은,
    n 제곱된 상기 억제제 농도와 상기 억제제 농도 구배의 크기의 곱을 포함하고,
    상기 n은 5보다 크거나 같은 실수인,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  6. 제1항에 있어서,
    상기 제1 텀은,
    상기 포토레지스트에 배치되는 광산 농도의 지수 함수를 포함하는,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  7. 제1항에 있어서,
    상기 제2 텀은,
    제3 텀과 제4 텀의 합을 포함하는,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  8. 제7항에 있어서,
    상기 제3 텀은,
    n 제곱된 상기 억제제 농도와 상기 억제제 농도 구배의 크기의 곱을 포함하고,
    상기 n은 5보다 크거나 같은 실수인,
    컴퓨터 판독가능 기록매체에 저장된 계산적 리소그래피 도구.
  9. 컴퓨터로 구현되는 방법에 있어서,
    상기 컴퓨터를 이용하여, 포토레지스트에 배치되는 억제제 농도 구배의 크기에 따라, 포토레지스트 프로파일을 모델링하는 단계
    를 포함하고,
    상기 모델링은,
    적어도 제1 텀 및 제2 텀의 합과 동일한 상기 포토레지스트에 배치되는 억제제의 임계치에 의해 정의되고,
    상기 제1 텀은,
    억제제 농도를 포함하고,
    상기 제2 텀은,
    상기 억제제 농도 구배의 크기를 포함하는,
    방법.
  10. 제9항에 있어서,
    상기 억제제 농도는,
    상기 포토레지스트에 배치되는 광산 농도의 지수 함수를 포함하는,
    방법.
  11. 제9항에 있어서,
    상기 컴퓨터를 이용하여, n 제곱된 상기 억제제 농도에 따라, 상기 포토레지스트 프로파일을 모델링하는 단계
    를 더 포함하고,
    상기 n은 5보다 크거나 같은 실수인,
    방법.
  12. 제9항에 있어서,
    전자기파 및/또는 입자빔에 대한 상기 포토레지스트의 반응에 의해 유발되는 프린트되는 포토레지스트 패턴의 왜곡을 줄이기 위해, 상기 모델링되는 포토레지스트 프로파일을 적용하는 단계
    를 더 포함하는 방법.
  13. 제9항에 있어서,
    상기 제2 텀은,
    n 제곱된 상기 억제제 농도와 상기 억제제 농도 구배의 크기의 곱을 포함하고,
    상기 n은 5보다 크거나 같은 실수인,
    방법.
  14. 제9항에 있어서,
    상기 제1 텀은,
    상기 포토레지스트에 배치되는 광산 농도의 지수 함수를 포함하는,
    방법.
  15. 제9항에 있어서,
    상기 제2 텀은,
    제3 텀과 제4 텀의 합을 포함하는,
    방법.
  16. 제15항에 있어서,
    상기 제3 텀은,
    n 제곱된 상기 억제제 농도와 상기 억제제 농도 구배의 크기의 곱을 포함하고,
    상기 n은 5보다 크거나 같은 실수인,
    방법.
  17. 컴퓨터에 의해 수행되는 경우, 상기 컴퓨터가 제9항 내지 제16항 중 어느 한 항의 방법을 수행하도록 하는 컴퓨터 명령어들을 저장하는 비일시적인 컴퓨터 판독가능 저장 매체.
  18. 시스템에 있어서,
    포토레지스트에 배치되는 억제제 농도 구배의 크기에 따라, 포토레지스트 프로파일을 모델링하도록 구성되고,
    상기 모델링은,
    적어도 제1 텀 및 제2 텀의 합과 동일한 상기 포토레지스트에 배치되는 억제제의 임계치에 의해 정의되고,
    상기 제1 텀은,
    억제제 농도를 포함하고,
    상기 제2 텀은,
    상기 억제제 농도 구배의 크기를 포함하는,
    시스템.
  19. 제18항에 있어서,
    상기 억제제 농도는,
    상기 포토레지스트에 배치되는 광산 농도의 지수 함수를 포함하는,
    시스템.
  20. 제18항에 있어서,
    상기 시스템은,
    n 제곱된 상기 억제제 농도에 따라, 상기 포토레지스트 프로파일을 모델링하도록 더 구성되고,
    상기 n은 5보다 크거나 같은 실수인,
    시스템.
  21. 제18항에 있어서,
    상기 시스템은,
    전자기파 및/또는 입자빔에 대한 상기 포토레지스트의 반응에 의해 유발되는 프린트되는 포토레지스트 패턴의 왜곡을 줄이기 위해, 상기 모델링되는 포토레지스트 프로파일을 적용하도록 더 구성되는,
    시스템.
  22. 제18항에 있어서,
    상기 제2 텀은,
    n 제곱된 상기 억제제 농도와 상기 억제제 농도 구배의 크기의 곱을 포함하고,
    상기 n은 5보다 크거나 같은 실수인,
    시스템.
  23. 제18항에 있어서,
    상기 제1 텀은,
    상기 포토레지스트에 배치되는 광산 농도의 지수 함수를 포함하는,
    시스템.
  24. 제18항에 있어서,
    상기 제2 텀은,
    제3 텀과 제4 텀의 합을 포함하는,
    시스템.
  25. 제24항에 있어서,
    상기 제3 텀은,
    n 제곱된 상기 억제제 농도와 상기 억제제 농도 구배의 크기의 곱을 포함하고,
    상기 n은 5보다 크거나 같은 실수인,
    시스템.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020150098418A 2014-07-11 2015-07-10 포토레지스트 프로파일 모델링 방법 KR102438831B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462023551P 2014-07-11 2014-07-11
US62/023,551 2014-07-11

Publications (2)

Publication Number Publication Date
KR20160007434A KR20160007434A (ko) 2016-01-20
KR102438831B1 true KR102438831B1 (ko) 2022-09-01

Family

ID=55067775

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150098418A KR102438831B1 (ko) 2014-07-11 2015-07-10 포토레지스트 프로파일 모델링 방법

Country Status (3)

Country Link
US (1) US10386718B2 (ko)
KR (1) KR102438831B1 (ko)
TW (1) TWI703404B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102380916B1 (ko) 2017-05-12 2022-04-01 에이에스엠엘 네델란즈 비.브이. 레지스트 현상을 평가하는 방법들
US11493850B2 (en) 2019-07-23 2022-11-08 Samsung Electronics Co., Ltd. Lithography method using multi-scale simulation, semiconductor device manufacturing method and exposure equipment
KR20220149823A (ko) * 2021-04-30 2022-11-09 삼성전자주식회사 멀티-스케일 시뮬레이션을 이용한 리소그라피 방법, 및 그 리소그라피 방법을 기반으로 한 반도체 소자 제조방법 및 노광 설비
US20230222264A1 (en) * 2022-01-07 2023-07-13 Applied Materials, Inc. Processing chamber calibration

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070282574A1 (en) 2006-05-31 2007-12-06 Jensheng Huang Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US20120079436A1 (en) 2010-09-29 2012-03-29 Nikon Corporation Fast photoresist model

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3364385B2 (ja) * 1996-08-22 2003-01-08 株式会社東芝 形状シミュレーション方法
JP3087675B2 (ja) * 1997-02-06 2000-09-11 日本電気株式会社 ポストベークシミュレーション方法
US7494753B2 (en) * 2005-01-28 2009-02-24 Asml Masktools B.V. Method, program product and apparatus for improving calibration of resist models used in critical dimension calculation
JP4737426B2 (ja) * 2006-04-21 2011-08-03 信越化学工業株式会社 フォトマスクブランク
US8555209B2 (en) * 2011-02-04 2013-10-08 Samsung Electronics Co., Ltd. Method for fabricating a semiconductor device using a modeling algorithm to model the proximity effect from the sub-layer
US9733576B2 (en) * 2014-03-17 2017-08-15 Kla-Tencor Corporation Model for accurate photoresist profile prediction

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070282574A1 (en) 2006-05-31 2007-12-06 Jensheng Huang Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US20120079436A1 (en) 2010-09-29 2012-03-29 Nikon Corporation Fast photoresist model

Also Published As

Publication number Publication date
KR20160007434A (ko) 2016-01-20
US10386718B2 (en) 2019-08-20
TWI703404B (zh) 2020-09-01
TW201614530A (en) 2016-04-16
US20160012175A1 (en) 2016-01-14

Similar Documents

Publication Publication Date Title
TWI621957B (zh) 使用點擊最佳化的次解析度輔助特徵實現方式
JP4938242B2 (ja) 較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法
US8136054B2 (en) Compact abbe's kernel generation using principal component analysis
US7448018B2 (en) System and method for employing patterning process statistics for ground rules waivers and optimization
US20200166832A1 (en) Simulating near field image in optical lithography
US8918743B1 (en) Edge-based full chip mask topography modeling
US8015510B2 (en) Interconnection modeling for semiconductor fabrication process effects
KR102438831B1 (ko) 포토레지스트 프로파일 모델링 방법
US8473271B2 (en) Fast photolithography process simulation to predict remaining resist thickness
US20110318672A1 (en) Mask design and opc for device manufacture
US11061318B2 (en) Lithography model calibration
US9646127B2 (en) 3D resist profile aware etch-bias model
US9651856B2 (en) Source, target and mask optimization by incorporating contour based assessments and integration over process variations
US11061373B1 (en) Method and system for calculating probability of success or failure for a lithographic process due to stochastic variations of the lithographic process
US10732499B2 (en) Method and system for cross-tile OPC consistency
CN114444434A (zh) 预测难以修复的设计规则检查违规的方法与系统
US8910098B1 (en) Neighbor-aware edge fragment adjustment for optical proximity correction
US10852635B2 (en) Compact modeling for the negative tone development processes
US20140212793A1 (en) Multiresolution Mask Writing
US9798226B2 (en) Pattern optical similarity determination
US8539391B2 (en) Edge fragment correlation determination for optical proximity correction
US7546558B2 (en) Method and apparatus for determining a process model that uses feature detection
KR20220065769A (ko) 결함 확률 분포들 및 임계 치수 변동들에 기초한 리소그래피 개선
Lam et al. Nebulous hotspot and algorithm variability in computation lithography
US20130254725A1 (en) Extraction of imaging parameters for computational lithography using a data weighting algorithm

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant