KR102398924B1 - 패터닝 공정 매개변수를 결정하기 위한 방법 및 계측 장치 - Google Patents

패터닝 공정 매개변수를 결정하기 위한 방법 및 계측 장치 Download PDF

Info

Publication number
KR102398924B1
KR102398924B1 KR1020207006737A KR20207006737A KR102398924B1 KR 102398924 B1 KR102398924 B1 KR 102398924B1 KR 1020207006737 A KR1020207006737 A KR 1020207006737A KR 20207006737 A KR20207006737 A KR 20207006737A KR 102398924 B1 KR102398924 B1 KR 102398924B1
Authority
KR
South Korea
Prior art keywords
radiation
target
polarization
linear polarization
redirected
Prior art date
Application number
KR1020207006737A
Other languages
English (en)
Other versions
KR20200033965A (ko
Inventor
세르게이 타라브린
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200033965A publication Critical patent/KR20200033965A/ko
Application granted granted Critical
Publication of KR102398924B1 publication Critical patent/KR102398924B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

본 발명의 방법은 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것, 구조체로부터 편광 요소로 재지향된 방사선을 받아들이는 것 -편광 요소는 제1 방향과 각도를 이루는 편광 분할 축을 가짐-, 및 센서 시스템을 이용하여, 재지향된 방사선의 광학 특성을 측정하는 것을 포함하고 있다.

Description

패터닝 공정 매개변수를 결정하기 위한 방법 및 계측 장치
본 출원은 2017년 9월 7일에 출원된 유럽출원 제17189728.3호의 우선권을 주장하며, 이 출원의 내용은 전체적으로 본 명세서에서 인용 참조된다.
본 발명은, 예를 들어 기판 상에 패턴을 생성하기 위해 공정의 (오버레이와 같은) 매개변수를 결정하기 위한 방법 및 장치에 관한 것으로서, 결정된 매개변수는 처리에 관련된 하나 이상의 변수를 설계, 모니터링, 조정 등을 하는데 사용될 수 있다.
리소그래피 장치는 기판 상으로, 통상적으로는 기판의 타겟 부분 상으로 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC) 또는 기능적으로 설계된 다른 디바이스의 제조에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클로 지칭될 수 있는 패터닝 디바이스가 기능적으로 설계된 디바이스의 개별 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판 (예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 하나의 또는 수 개의 다이의 일부를 포함하는) 타겟 부분 상으로 전사될 수 있다. 패턴의 전사는 전형적으로 기판에 제공된 방사선-감응 물질(레지스트) 층 상으로의 이미징(imaging)을 통해 이루어진다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 부분들의 네트워크를 포함할 수 있다. 공지된 리소그래피 장치는, 한 번에 타겟 부분 상으로 전체 패턴을 노광함으로써 각 타겟 부분이 조사되는 소위 스테퍼 및 주어진 방향 ("스캐닝"-방향)으로 방사선 빔을 통해 패턴을 스캐닝하는 한편, 이 방향과 평행한 또는 역-평행 방향으로 기판을 동시에 스캐닝함으로써 각 타겟 부분이 조사되는 소위 스캐너를 포함하고 있다. 패턴을 기판 상으로 임프린트(imprint)함으로써 패턴을 패터닝 디바이스로부터 기판으로 전사시키는 것이 또한 가능하다.
반도체 디바이스와 같은 디바이스를 제조하는 것은 전형적으로 디바이스의 다양한 피처 및 종종 복수의 층을 형성하기 위해 복수의 제조 공정을 사용하여 기판 (예를 들어, 반도체 웨이퍼)을 처리하는 것을 포함하고 있다. 이러한 층 및/또는 피처는 전형적으로, 예를 들어 증착, 리소그래피, 에칭, 화학적-기계적 연마 및 이온 주입을 사용하여 제조되고 처리된다. 복수의 디바이스는 기판 상의 복수의 다이 상에서 구성되며 그후 개별 다비이스로 분리된다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 패턴을 기판 상에 제공하기 위해 리소그래피 장치를 사용하는 광학 및/또는 나노임프린트 리소그래피와 같은 패턴 전사 단계를 포함하며, 또한 전형적으로 그러나 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치에 의한 패턴의 에칭 등과 같은 하나 이상의 관련된 패턴 처리 단계를 포함하고 있다. 또한, 하나 이상의 계측 공정이 패터닝 공정에 포함된다.
계측 공정은 패터닝 공정 동안 다양한 단계에서 사용되어 공정을 모니터링 및/또는 제어한다. 예를 들어, 계측 공정은 패터닝 공정 중에 기판 상에 형성된 피처의 상대 위치 (예를 들어, 레지스트레이션(registration), 오버레이, 정렬 등) 또는 치수 (예를 들어, 선폭, 임계 치수(CD), 두께 등)와 같은, 기판의 하나 이상의 특성을 측정하기 위하여 사용되며, 따라서 예를 들어 패터닝 공정의 성능은 하나 이상의 특성으로부터 결정될 수 있다. 하나 이상의 특성이 받아들일 수 없는 경우 (예를 들어, 특성(들)에 대한 설정된 범위를 벗어난 경우), 패터닝 공정의 하나 이상의 변수는, 예를 들어 하나 이상의 특성의 측정에 기초하여 설계되거나 변경될 수 있으며, 따라서, 패터닝 공정에 의해 제조된 기판은 허용 가능한 특성(들)을 갖는다.
리소그래피 및 기타 패터닝 공정 기술의 발전으로, 기능 요소의 치수는 지속적으로 감소하고 있는 반면에 디바이스마다 트랜지스터와 같은 기능 요소의 양은 수십 년에 걸쳐 끊임없이 증가하고 있다. 한편, 오버레이, 임계 치수(CD) 등의 관점에서 정확성의 요구는 점점 더 엄격해지고 있다. 오버레이 내의 오차, CD 내의 오차 등과 같은 오차는 패터닝 공정에서 필연적으로 생성될 것이다. 예를 들어, 이미징 오차는 광학 수차, 패터닝 디바이스 가열, 패터닝 디바이스 오차 및/또는 기판 가열로부터 생성될 수 있으며, 예를 들어 오버레이, CD 등의 측면에서 특징지어질 수 있다. 부가적으로 또는 대안적으로, 오차는 에칭, 현상, 베이크 등에서와 같은 패터닝 공정의 다른 부분에 도입될 수 있으며, 유사하게는 예를 들어 오버레이, CD 등의 측면에서 특징지어질 수 있다. 오차는 디바이스의 기능 고장 또는 기능 디바이스의 하나 이상의 전기적인 문제점을 포함하는 장치의 기능 측면에서 문제를 일으킬 수 있다. 따라서, 하나 이상의 이 오차를 특징짓고 하나 이상의 이 오차를 감소 또는 최소화하기 위한 패터닝 공정을 설계, 변경, 제어 등의 단계를 수행할 수 있는 것이 바람직하다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것; 구조체로부터 교차-편광 요소로 재지향된 방사선을 받아들이는 것 -교차-편광 요소는 제2 방향으로의 선형 편광을 갖는 회절 방사선을 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하며, 교차-편광 요소는 제1 방향이 제2 방향과 각도를 이루도록 편광 분할 축을 가짐-; 및 센서 시스템을 이용하여, 제2 방향으로의 선형 편광을 갖는 재지향된 방사선 및 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 광학 특성을 측정하는 것을 포함하는 방법이 제공된다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 제공하도록 구성된 광학 요소; 구조체로부터 재지향된 방사선의 광학 특성을 측정하도록 구성된 센서 시스템; 및 구조체로부터 재지향된 방사선을 받아들이도록 그리고 제2 방향으로의 선형 편광을 갖는 재지향된 방사선을 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하도록 구성된 교차-편광 요소 -교차-편광 요소는 제1 방향이 제2 방향과 각도를 이루도록 편광 분할 축을 가짐- 를 포함하는 계측 장치가 제공된다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 제공하도록 구성된 광학 요소; 구조체로부터 재지향된 방사선의 광학 특성을 측정하도록 구성된 센서 시스템; 구조체로부터 재지향된 방사선을 받아들이도록 그리고 위상을 제1 방향으로의 편광을 갖는 재지향된 방사선 및 제1 방향과 실질적으로 직교하는 제2 방향으로의 편광을 갖는 재지향된 방사선으로 제공하도록 구성된 리타더 시스템 -리타더 시스템은 상이한 시간에 상이한 위상을 제공하도록 구성됨; 및 구조체로부터 재지향된 방사선을 받아들이도록 그리고 제3 방향으로의 선형 편광을 갖는 재지향된 방사선을 제3 방향과 실질적으로 직교하는 제4 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하도록 구성된 교차-편광 요소를 포함하는 계측 장치가 제공된다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것; 구조체로부터 편광 요소로 재지향된 방사선을 받아들이는 것 -편광 요소는 제1 방향과 각도를 이루는 편광 분할 축을 가짐-; 및 센서 시스템을 이용하여, 재지향된 방사선의 광학 특성을 측정하는 것을 포함하는 방법이 제공된다.
양태에서, 프로세서 시스템으로 하여금 본 명세서에 설명된 방법을 수행하게 하는 기계-판독 가능한 명령어를 포함하는 비-일시적 컴퓨터 프로그램 제품이 제공된다. 양태에서, 자체에 기록된 명령어를 갖는 컴퓨터 비-일시적 판독 가능한 매체를 포함하는 컴퓨터 프로그램 제품이 제공되며, 실행될 때 명령어는 본 명세에서 설명된 방법 또는 하나 이상의 공정 단계를 수행한다.
양태에서, 패터닝 공정의 대상물을 측정하기 위한 계측 장치가 제공되며, 계측 장치는 본 명세서에서 설명된 방법을 수행하도록 구성되어 있다. 양태에서, 패터닝 공정의 대상물을 검사하기 위한 검사 장치가 제공되며, 검사 장치는 본 명세서에서 설명된 방법을 수행하기 위해 작동 가능하다.
양태에서, 방사선의 빔을 대상물 표면 상으로 제공하고 대상물 표면 상의 구조체 의하여 재지향된 방사선을 검출하도록 구성된 계측 장치; 및 본 명세서에서 설명된 바와 같은 컴퓨터 프로그램을 포함하는 시스템이 제공된다. 실시예에서, 시스템은 방사선 빔을 변조시키기 위해 패터닝 디바이스를 유지하도록 구성된 지지 구조체 및 변조된 방사선 빔을 방사선-민감 기판 상으로 투영하도록 배치된 투영 광학 시스템을 포함하는 리소그래피 장치를 더 포함하며, 여기서 대상물은 기판이다.
실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독 가능한 명령어를 저장하도록 구성된 비-일시적 컴퓨터-판독 가능한 저장 매체를 포함하는 시스템이 제공되며, 여기서 실행될 때 기계-판독 가능한 명령어는 하드웨어 프로세서 시스템이 본 명세서에서 설명된 것과 같은 방법을 수행하도록 한다.
실시예가 이제 첨부된 도면을 참조하여 단지 예로서 설명될 것이다.
도 1은 리소그래피 장치의 실시예를 개략적으로 도시하고 있다.
도 2는 리소그래피 셀 또는 클러스터의 실시예를 개략적으로 도시하고 있다.
도 3a는 특정 조명 모드를 제공하는 제1 조명 개구 쌍을 이용하는 실시예에 따라 타겟을 측정하는데 사용하기 위한 측정 장치의 개략적인 도면이다.
도 3b는 주어진 조명 방향에 대한 타겟의 회절 스펙트럼의 개략적인 상세도이다.
도 3c는 회절 기반 오버레이 측정을 위한 측정 장치의 사용시 추가 조명 모드를 제공하는 제2 조명 개구 쌍의 개략적인 도면이다.
도 3d는 회절 기반 오버레이 측정을 위한 측정 장치의 사용시 추가 조명 모드를 제공하는 제1 및 제2 조명 개구 쌍을 조합하는 제3 조명 개구 쌍의 개략적인 도면이다.
도 4는 다중 주기적 구조체 (예를 들어, 다중 격자) 타겟의 형태 및 기판 상의 측정 스폿의 개요(outline)를 개략적으로 도시하고 있다.
도 5는 도 3의 장치에서 획득된 도 4의 타겟의 이미지를 개략적으로 도시하고 있다.
도 6은 예시적인 계측 장치 및 계측 기법을 개략적으로 도시하고 있다.
도 7은 예시적인 계측 장치를 개략적으로 도시하고 있다.
도 8은 계측 장치의 조명 스폿과 계측 타겟 간의 관계를 도시하고 있다.
도 9는 측정 데이터를 기초로 하나 이상의 관심 대상 변수를 유도하는 과정을 계략적으로 도시하고 있다.
도 10a는 예시적인 단위 셀, 관련 퓨필 표현 및 관련 유도 퓨필 표현(representation)을 개략적으로 도시하고 있다.
도 10b는 예시적인 단위 셀, 관련 퓨필 표현 및 관련 유도 퓨필 표현을 개략적으로 도시하고 있다.
도 10c는 단위 셀의 하나 이상의 물리적 인스턴스(physical instances)를 포함하는 예시적인 타겟을 개략적으로 도시하고 있다.
도 11은 측정 방사선으로부터 패터닝 공정 매개변수를 결정하기 위하여 가중치를 획득하는 고-레벨 플로우를 도시하고 있다.
도 12는 측정 방사선으로부터 패터닝 공정 매개변수를 결정하는 고-레벨 플로우를 도시하고 있다.
도 13은 데이터 구동 기법의 실시예의 고-레벨 플로우를 도시하고 있다.
도 14는 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 실시예의 고-레벨 플로우를 도시하고 있다.
도 15는 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 실시예의 고-레벨 플로우를 도시하고 있다.
도 16은 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 실시예의 고-레벨 플로우를 도시하고 있다.
도 17은 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 실시예의 고-레벨 플로우를 도시하고 있다.
도 18은 타겟의 다중 오버레이 단위 셀의 실시예를 개략적으로 도시하고 있다.
도 19는 타겟의 다중 오버레이 단위 셀의 실시예를 개략적으로 도시하고 있다.
도 20은 2개의 상이한 오버레이에 대응하는 2개의 벡터의 예시적인 그래프를 도시하고 있다.
도 21은 실시예에 따른 예시적인 계측 장치를 개략적으로 도시하고 있다.
도 22는 교차-편광 요소를 이용하여 측정되고 타겟의 특정 오버레이 매개변수를 나타내는 경우 타겟으로부터의 방사선의 세기 퓨필을 개략적으로 도시하고 있다.
도 23은 교차-편광 요소와 리타더(retarder)를 이용하여 측정되고 타겟의 특정 오버레이 매개변수를 나타내는 경우 타겟으로부터의 방사선의 세기 퓨필을 개략적으로 도시하고 있다.
도 24a는 실시예에 따른 예시적인 계측 장치를 개략적으로 도시하고 있다.
도 24b는 실시예에 따른 조명 방사선의 편광 축과 각도를 이루는 편광 축을 갖는 교차-편광 요소의 영향을 개략적으로 도시하고 있다.
도 25는 회전된 교차-편광 요소를 이용하여 측정되고 타겟의 특정 오버레이 매개변수를 나타내는 경우 타겟으로부터의 방사선의 세기 퓨필을 개략적으로 도시하고 있다.
도 26은 본 발명의 실시예를 실행할 수 있는 컴퓨터 시스템을 개략적으로 도시하고 있다.
실시예를 상세하게 설명하기 전에, 실시예가 실현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시하고 있다. 리소그래피 장치(LA)는
- 방사선 빔(B) (예를 들어, UV 방사선 또는 DUV 방사선)을 조정하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스 (예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 매개변수에 따라 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 지지 구조체 (예를 들어, 마스크 테이블)(MT);
- 기판 (예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고 특정 매개변수에 따라 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 테이블 (예를 들어, 웨이퍼 테이블)(WT); 및
- 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영하도록 구성되고 기준 프레임(RF) 상에 지지된 투영 시스템 (예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함하고 있다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 유형의 광학 구성 요소들, 또는 그들의 임의의 조합과 같은 다양한 유형의 광학 구성 요소를 포함할 수 있다.
지지 구조체는 패터닝 디바이스의 배향, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조체는 기계적, 진공, 정전기, 또는 다른 클램핑 기술을 이용하여 패터닝 디바이스를 유지할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정될 수 있거나 이동 가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있는 것을 보장할 수 있다. 본 명세서 내에서의 용어 "레티클" 또는 "마스크"의 임의의 사용은 더욱 일반적인 용어 "패터닝 디바이스"와 동의어로 간주될 수 있다
본 명세서에서 사용되는 용어 "패터닝 디바이스"는 기판의 타겟 부분에 패턴을 부여하기 위해 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 넓게 해석되어야 한다. 실시예에서, 패터닝 디바이스는 기판의 타겟 부분에 패턴을 생성하기 위하여 방사선 빔의 횡단면에 패턴을 부여하는데 사용될 수 있는 임의의 디바이스이다. 예를 들어, 패턴이 위상-변위 피처 또는 소위 어시스트 피처를 포함하는 경우 방사선 빔에 부여된 패턴은 기판의 타겟 부분 내의 원하는 패턴에 정확하게 대응하지 않을 수 있다는 점을 유의해야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같은, 타겟 부분에서 생성되는 디바이스의 특정 기능 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예는 마스크, 프로그램 가능한 미러 어레이 그리고 프로그램 가능한 LCD 패널을 포함하고 있다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary), 교번 위상-시프트 그리고 감쇠 위상-시프트와 같은 마스크 유형, 및 다양한 하이브리드(hybrid) 마스크 유형을 포함하고 있다. 프로그램 가능한 미러 어레이의 예는 소형 미러들의 매트릭스 배열체를 이용하며, 소형 미러들의 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 미러는 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 용어 "투영 시스템"은, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자에 대하여 적절하게, 굴절, 반사, 반사 굴절(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 그들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서의 용어 "투영 렌즈"의 임의의 사용은 더욱 일반적인 용어 "투영 시스템"과 동의어로 간주될 수 있다.
투영 시스템(PS)은 비균일할 수 있는 광학 전달 기능을 가지며, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 비편광 방사선에 대하여, 이러한 효과는 2개의 스칼라 맵(scalar maps)에 의해 상당히 잘 설명될 수 있으며, 이 스칼라 맵은 투영 시스템(PS)을 빠져나가는 방사선의 투과(아포디제이션) 및 상대 위상(수차)을 그의 퓨필 평면에서의 위치의 함수로서 설명한다. 투과 맵 및 상대 위상 맵으로 지칭될 수 있는 이 스칼라 맵은 완전한 기저 함수 세트의 선형 조합으로 표현될 수 있다. 특히 편리한 세트는 제르니케(Zernike) 다항식이며, 이는 단위 원에 한정된 직교 다항식 세트를 형성한다. 각 스칼라 맵의 결정은 이러한 전개(expansioin)에서 계수를 결정하는 것을 포함할 수 있다. 제르니케 다항식들은 단위 원에서 직교하기 때문에 제르니케 계수는 측정된 스칼라 맵의 내적(inner product)을 각 제르니케 다항식으로 차례로 계산하고 이를 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵과 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로, 각 투영 시스템(PS)은 각각의 필드 포인트에 대해(즉, 그의 이미지 평면에서의 각 공간 위치에 대해) 상이한 제르니케 전개를 가질 것이다. 퓨필 평면에서의 투영 시스템(PS)의 상대 위상은, 예를 들어 파면 (즉, 동일 위상을 갖는 포인트의 위치(locus))을 측정하기 위해 전단 간섭계(shearing interferometer)를 이용하여, 또한 투영 시스템(PS)을 통해, 투영 시스템(PS)의 대상물 평면 (즉, 패터닝 디바이스(MA)의 평면)에서 포인트형 소스 (point-like source)로부터 방사선을 투영함으로써 결정될 수 있다. 전단 간섭계는 공통 경로 간섭계(common path interferometer)이며, 따라서 유리하게는 파면(wavefront)을 측정하기 위해 2차 기준 빔이 요구되지 않는다. 전단 간섭계는 투영 시스템 (즉, 기판 테이블(WT))의 이미지 평면 내의 회절 격자, 예를 들어 2개의 2차원 그리드 및 투영 시스템(PS)의 퓨필 평면과 켤레(conjugate)인 평면 내의 간섭 패턴을 검출하도록 배치된 검출기를 포함할 수 있다. 간섭 패턴은 전단 방향으로 퓨필 평면의 좌표에 대한 방사선의 위상의 도함수(derivative)와 관련이 있다. 검출기는, 예를 들어 전하 결합 소자(CCD)와 같은 감지 요소의 어레이를 포함할 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 프린지(fringe)를 생성하지 않을 수 있으며, 따라서 파면의 결정의 정확성은, 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술을 사용하여 향상될 수 있다. 스테핑은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향으로 수행될 수 있다. 스테핑 범위는 하나의 격자 주기일 수 있으며, 적어도 3개의 (균일하게 분포된) 위상 단계가 사용될 수 있다. 따라서, 예를 들어, y 방향으로 3개의 스캐닝 측정이 수행될 수 있으며, 각각의 스캐닝 측정은 x 방향으로 상이한 위치에 대해 수행된다. 회절 격자의 이 스테핑은 위상 변화를 세기 변화로 효과적으로 변환시켜 위상 정보가 결정되는 되는 것을 허용한다. 격자는 검출기를 교정하기 위해 회절 격자 (z 방향)에 수직인 방향으로 단차질 수 있다.
퓨필 평면에서의 투영 시스템(PS)의 투과(아포디제이션)는 예를 들어 투영 시스템(PS)의 대상물 평면 (예를 들어, 패터닝 디바이스(MA)의 평면)의 포인트형 소스로부터 방사선을 투영 시스템(PS)을 통하여 투영함으로써 그리고 검출기를 이용하여 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 방사선의 세기를 측정함으로써 결정될 수 있다. 수차를 결정하기 위해 파면을 측정하는데 사용되는 것과 동일한 검출기가 사용될 수 있다.
투영 시스템(PS)은 복수의 광학 요소(예를 들어, 렌즈)를 포함할 수 있으며, 수차 (필드 전체의 퓨필 평면에 걸친 위상 변화)를 보정하기 위하여 하나 이상의 광학 요소를 조정하도록 구성된 조정 메커니즘(AM)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메커니즘은 하나 이상의 상이한 방식으로 투영 시스템(PS) 내의 하나 이상의 광학 요소 (예를 들어, 렌즈)를 조작하도록 작동 가능할 수 있다. 투영 시스템은 좌표계를 가질 수 있으며, 그 광학 축은 z 방향으로 연장된다. 조정 메커니즘은 하기 기능의 임의의 조합을 수행하기 위해 작동 가능할 수 있다: 하나 이상의 광학 요소들을 변위시킴; 하나 이상의 광학 요소들을 경사지게 함; 및/또는 하나 이상의 광학 요소들을 변형시킴. 광학 요소의 변위는 임의의 방향 (x, y, z 또는 이들의 조합)일 수 있다. z 축에 대한 회전이 비-회전 대칭 비구면 광학 요소를 위하여 사용될 수 있지만, 광학 요소의 기울어짐은 전형적으로 x 및/또는 y 방향으로 축을 중심으로 회전시킴으로써 광학 축에 수직인 평면을 벗어난다. 광학 요소의 변형은 저주파 형상 (예를 들어, 비점 수차(astigmatic)) 및/또는 고주파수 형상 (예를 들어, 자유 형태 비구면(free form asphere))을 포함할 수 있다. 광학 요소의 변형은 예를 들어 광학 요소의 하나 이상의 측면에 힘을 가하기 위해 하나 이상의 액추에이터를 사용함으로써 및/또는 광학 요소의 하나 이상의 선택된 영역을 가열하기 위해 하나 이상의 가열 요소를 사용함으로써 수행될 수 있다. 일반적으로, 아포디제이션 (퓨필 평면에 걸친 투과 변화)을 보정하기 위해 투영 시스템(PS)을 조정하는 것이 불가능할 수 있다. 리소그래피 장치(LA)를 위한 패터닝 디바이스 (예를 들어, 마스크)(MA)를 설계할 때 투영 시스템(PS)의 투과 맵이 사용될 수 있다. 연산 리소그래피 기술을 사용하여, 패터닝 디바이스(MA)는 아포디제이션을 적어도 부분적으로 보정하도록 설계될 수 있다.
도면에 도시된 바와 같이, 본 장치는 (예를 들어, 투과 마스크를 사용하는) 투과형이다. 대안적으로, 본 장치는 (예를 들어, 위에서 언급된 바와 같은 유형의 프로그램 가능한 미러 어레이를 이용하거나, 반사 마스크를 이용하는) 반사형일 수 있다.
리소그래피 장치는 2개 (이중 스테이지) 또는 그 이상의 테이블 (예를 들어, 측정 촉진 및/또는 세정 등에 전용인, 기판이 없는 투영 시스템 아래의 2개 이상의 기판 테이블(WTa, WTb), 2개 이상의 패터닝 디바이스 테이블, 기판 테이블(WTa) 및 테이블 (WTb))을 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계에서, 부가적인 테이블들이 동시에 사용될 수 있거나, 또는 하나 이상의 다른 테이블이 노광을 위하여 사용되고 있는 동안 하나 이상의 다른 테이블에서는 준비 단계가 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 이용한 정렬 측정 및/또는 레벨 센서(LS)를 이용한 레벨 (높이, 기울어짐 등) 측정이 이루어질 수 있다.
리소그래피 장치는 또한 투영 시스템과 기판 사이의 공간을 채우기 위하여, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 덮일 수 있는 유형일 수 있다. 침지 액체는 또한 리소그래피 장치 내의 다른 공간, 예를 들어 패터닝 디바이스와 투영 시스템 사이에 적용될 수 있다. 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 침지 기술이 본 기술 분야에 공지되어 있다. 수 있다. 본 명세서에서 사용된 바와 같이 용어 "침지"는 기판과 같은 구조체가 액체 내에 담겨야 한다는 것을 의미하는 것이 아니라, 그보다는 단지 노광 중에 액체가 투영 시스템과 기판 사이에 위치된다는 것을 의미한다.
도 1을 참고하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 받아들인다. 예를 들어, 소스가 엑시머 레이저인 경우, 소스와 리소그래피 장치는 별개의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은, 예를 들어 적절한 지향 미러 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 나아간다. 다른 경우에, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 일체로 된 부분일 수 있다. 소스(SO)와 일루미네이터(IL)는, 필요하다면 빔 전달 시스템(BD)과 함께 방사선 시스템으로 지칭될 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성된 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외부 및/또는 내부 반경 방향 범위 (통상적으로, 외부-σ 및 내부-σ로 각각 지칭됨)가 조정될 수 있다. 또한, 일루미네이터(IL)는 적분기(integrator: IN) 및 콘덴서(CO)와 같은 다양한 다른 구성 요소를 포함할 수 있다. 일루미네이터는 방사선 빔의 횡단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 조절하는데 사용될 수 있다.
방사선 빔(B)은 지지 구조체 (예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스 (예를 들어, 마스크(MA))에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로지른 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 방사선 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 포지셔너(PW) 및 위치 센서(IF) (예를 들어, 간섭계 디바이스, 선형 인코더, 2-D 인코더 또는 정전용량 센서, 등)의 도움으로, 기판 테이블(WT)은 예를 들어 상이한 타겟 부분(C)들을 방사선 빔(B)의 경로 내에 위치시키기 위하여 정확하게 이동될 수 있다. 마찬가지로, 제1 포지셔너(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔 중에 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 장-스트로크 모듈(long-stroke module: 개략적인 위치 결정) 및 단-스트로크 모듈(short-stroke module: 세밀한 위치 결정)의 도움으로 실현될 수 있으며, 이 모듈들은 제1 포지셔너(PM)의 일부를 형성한다. 마찬가지로, 기판 테이블(WT)의 이동은 장-스트로크 모듈 및 단-스트로크 모듈을 이용하여 실현될 수 있으며, 이 모듈들은 제2 포지셔너(PW)의 일부를 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-스트로크 액추에이터에만 연결될 수 있거나, 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크는 전용 타겟 부분을 점유하지만, 이들은 타겟 부분들 사이의 공간에 위치될 수 있다 (이들은 스크라이브 레인 정렬 마크로 지칭될 수 있다). 마찬가지로, 하나 이상의 다이가 패터닝 디바이스(MA) 상에 제공되는 상황에서는, 패터닝 디바이스 정렬 마크는 다이들 사이에 위치될 수 있다.
도시된 장치는 하기의 모드 중 적어도 하나의 모드에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT)와 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟 부분(C) 상으로 투영된다 (즉, 단일 정적 노광). 기판 테이블(WT)은 그후 상이한 타겟 부분(C)이 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟 부분(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT)와 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 동시에 스캐닝된다 (즉, 단일 동적 노광). 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 (축소) 확대 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟 부분의 (비스캐닝 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟 부분의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램 가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 기판 테이블(WT)은 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스 방사선 소스(pulsed radiation source)가 이용되며, 프로그램 가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 동안의 연속적인 방사선 펄스 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 위에서 언급된 바와 같은 유형의 프로그램 가능한 미러 어레이와 같은 프로그램 가능한 패터닝 디바이스를 이용하는 마스크리스(maskless) 리소그래피에 용이하게 적용될 수 있다.
위에서 설명된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드가 이용될 수도 있다.
도 2에서 보여지는 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터로도 지칭되는 리소그래피 셀(LC)의 일부를 형성하며, 이는 또한 기판(W) 상에 노광 전 공정 및 노광 후 공정을 수행하기 위한 장치를 포함하고 있다. 통상적으로, 이들은 하나 이상의 레지스트 층을 증착시키기 위한 하나 이상의 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 냉각 플레이트(CH) 및 하나 이상의 베이크 플레이트(BK)를 포함하고 있다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 하나 이상의 기판을 집어 올리고, 기판을 상이한 공정 디바이스들 사이에서 이동시키며, 기판을 리소그래피 장치의 로딩 베이(loading bay)(LB)로 전달한다. 흔히 집합적으로 트랙으로 지칭되는 이 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 또한 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있다. 따라서, 처리량과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하게 그리고 일관되게 노광되기 위해서는, 노광된 기판을 검사하여 (예를 들어, 위에 놓인 층들 내의 구조체들 사이 또는 예를 들어 이중 패터닝 공정에 의하여 이 층에 별도로 제공된, 동일 층 내의 구조체들 사이에 있을 수 있는) 오버레이, 라인 두께, 임계 치수(CD), 초점 오프셋, 물질 특성 등과 같은 하나 이상의 특성을 측정하고 결정하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되어 있는 제조 설비는 또한 전형적으로 리소셀에서 처리된 기판(W)의 일부 또는 전부를 수용하는 계측 시스템(MET)을 포함하고 있다. 계측 시스템(MET)은 리소셀(LC)의 일부일 수 있으며, 예를 들어 이는 리소그래피 장치(LA)의 일부일 수 있다.
계측 결과는 감독 제어 시스템(supervisory control system: SCS)에 직접 또는 간접적으로 제공될 수 있다. 오차가 검출되면, 후속 기판의 노광 (특히, 배치(batch)의 하나 이상의 다른 기판이 여전히 노광될 정도로 충분히 곧바로 그리고 빨리 검사가 수행될 수 있다면) 및/또는 노광된 기판의 후속 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노출된 기판은 벗겨지고 재가공되어 수율을 개선할 수 있거나 폐기될 수 있으며, 그에 의하여 결함이 있는 것으로 알려진 기판에 대한 추가 처리를 수행하는 것이 방지된다. 기판의 일부 타겟 부분에만 결함이 있는 경우, 양호한 타겟 부분에 대해서만 추가 노광이 수행될 수 있다.
계측 시스템(MET) 내에서, 계측 장치는 기판의 하나 이상의 특성, 특히 상이한 기판들의 하나 이상의 특성이 어떻게 변하는지 또는 동일한 기판의 상이한 층들이 층마다 어떻게 변화하는지를 결정하기 위해 사용된다. 계측 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있거나 독립형 장치(stand-alone device)일 수 있다. 빠른 측정을 가능하게 하기 위하여, 계측 장치가 노광 직후에 노광된 레지스트 층에서 하나 이상의 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상은 낮은 콘트라스트를 가지며 -방사선에 노출된 레지스트 부분과 그렇지 않은 레지스트 부분들 사이에 매우 작은 굴절률 차이만이 있다- 모든 계측 장치는 잠상의 유용한 측정이 이루어지기 충분한 민감도를 갖는 것은 아니다. 따라서, 측정은 노광된 기판 상에서 수행되는 관례적으로 첫 번째 단계인 노광 후 베이크 단계(PEB) 후에 수행될 수 있으며, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시킨다. 이 단계에서, 레지스트 내의 이미지는 반-잠상으로 지칭될 수 있다. -레지스트의 노광된 또는 노광되지 않은 부분이 제거된 시점에- 또는 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지를 측정하는 것이 또한 가능하다. 후자의 가능성은 결함 기판의 재가공에 대한 가능성을 제한하지만 여전히 유용한 정보를 제공할 수 있다.
계측을 가능하게 하기 위하여, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 실시예에서, 타겟은 특별히 설계되며, 주기적 구조체를 포함할 수 있다. 실시예에서, 타겟은 디바이스 패턴의 일부, 예를 들어 디바이스 패턴의 주기적 구조체이다. 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체 (예를 들어, 바이폴라 트랜지스터(BPT), 비트 라인 콘택(BLC) 구조체 등)이다.
실시예에서, 기판 상의 타겟은 하나 이상의 1차원 주기적 구조체 (예를 들어, 격자)를 포함할 수 있으며, 이 구조체는 현상 후에 주기적 구조적 피처가 고형 레지스트 라인으로 형성되도록 인쇄된다. 실시예에서, 타겟은 하나 이상의 2차원 주기적 구조체 (예를 들어, 격자)를 포함할 수 있으며, 이 구조체는 현상 후에 하나 이상의 주기적 구조체가 레지스트 내의 고형 레지스트 필러(pillars) 또는 비아(vias)로 형성되도록 인쇄된다. 바(bars), 필러 또는 비아는 대안적으로 기판으로 (예를 들어, 기판 상의 하나 이상의 층으로)로 에칭될 수 있다.
실시예에서, 패터닝 공정의 관심 대상 매개변수의 하나는 오버레이(overlay)이다. 오버레이는 (정반사에 대응하는) 0차 회절이 차단되고 더 높은 차수만이 처리되는 다크 필드 스케터로미트리(dark field scatterometry)를 사용하여 측정될 수 있다. 다크 필드 계측의 예가 PCT 특허출원 공개 WO2009/078708 및 WO2009/106279에서 찾을 수 있으며, 이들은 전체적으로 인용 참조된다. 본 기술의 추가 개발안이 미국특허출원 공개 US2011-0027704, US2011-0043791 및 US2012-0242970에 설명되어 있으며, 이들은 전체적으로 인용 참조된다. 회절 차수의 다크-필드 검출을 사용하는 회절 기반 오버레이는 더 작은 타겟에서 오버레이 측정을 가능하게 한다. 이 타겟은 조명 스폿보다 작을 수 있으며 기판 상의 디바이스 제품 구조체에 의해 둘러싸일 수 있다. 실시예에서, 복수의 타겟이 하나의 방사선 캡처(capture)에서 측정될 수 있다.
예를 들어 오버레이를 측정하기 위한 실시예에서 사용하기에 적합한 계측 장치가 도 3a에 개략적으로 보여지고 있다. (격자와 같은 주기적 구조체를 포함하는) 타겟(T) 및 회절 광선이 도 3b에 보다 상세하게 도시되어 있다. 계측 장치는 독립형 장치일 수 있거나, 예를 들어 측정 스테이션에서 리소그래피 장치(LA) 또는 리소그래피 셀(LC)에 통합될 수 있다. 장치 전체에 여러 개의 분기부(branches)를 갖는 광학 축은 점선(O)으로 표시된다. 이 장치에서, 출력부(11) (예를 들어, 레이저 또는 크세논 램프와 같은 소스 또는 소스에 연결된 개구)에 의해 방출된 방사선은 렌즈(12, 14) 및 대물렌즈(16)를 포함하는 광학 시스템에 의하여 프리즘(15)을 통해 기판(W) 상으로 지향된다. 이 렌즈들은 4F 배열의 이중 시퀀스로 배치되어 있다. 기판 이미지를 검출기 상으로 여전히 제공한다면, 상이한 렌즈 배열이 사용될 수 있다.
실시예에서, 렌즈 배열체는 공간 주파수 필터링을 위한 중간 퓨필 평면의 접근을 허용한다. 따라서, 방사선이 기판 상에 입사하는 각도 범위는, 본 명세서에서 (켤레(conjugate)) 퓨필 평면으로 지칭되는 기판 평면의 공간 스펙트럼을 나타내는 평면에서의 공간 세기 분포를 한정함으로써 선택될 수 있다. 특히, 이는 예를 들어 대물렌즈 퓨필 평면의 후방-투영된 이미지(back-projected image)인 평면에서 렌즈(12 및 14)들 사이에 적절한 형태의 개구 플레이트(aperture plate: 13)를 삽입함으로써 이루어질 수 있다. 도시된 예에서, 개구 플레이트(13)는 13N 및 13S로 표기된 상이한 형태들을 가지며 상이한 조명 모드가 선택되는 것을 허용한다. 본 예의 조명 시스템은 비축(off-axis) 조명 모드를 형성한다. 제1 조명 모드에서, 개구 플레이트(13N)는 단지 설명의 목적을 위해 "북(north)"으로 지정된 방향으로부터 비축 조명을 제공한다. 제2 조명 모드에서, 개구 플레이트(13S)는 유사한 조명을 제공하기 위해 사용되지만, "남(south)"으로 표기된 반대 방향으로부터 조명을 제공한다. 상이한 개구를 이용함으로써 다른 조명 모드가 가능하다.  원하는 조명 모드 외부의 임의의 불필요한 방사선이 원하는 측정 신호와 간섭할 수 있기 때문에 퓨필 평면의 나머지는 바람직하게는 어둡다.
도 3b에서 보여지는 바와 같이, 타겟(T)이 대물렌즈(16)의 광학 축(O)에 실질적으로 수직인 기판(W)과 함께 배치된다. 축(O)으로부터의 각도로부터 타겟(T)에 충돌하는 조명 광선(I)은 0차 광선 (실선 0) 및 2개의 1차 광선 (일점 쇄선(+1) 및 이점 쇄선(-1))을 발생시킨다. 오버필된(overfilled) 작은 타겟(T)으로, 이 광선들은 계측 타겟(T) 및 다른 피처를 포함하는 기판의 영역을 덮는 많은 평행 광선 중 하나일 뿐이다. 플레이트(13) 내의 개구가 유한 폭 (유효한 양의 방사선을 받아들이는데 필요함)을 갖고 있기 때문에, 입사 광선(I)은 사실상 각도 범위를 차지할 것이며, 회절 광선(0 및 + 1/-1)은 다소 확산될 것이다. 작은 타겟의 포인트 확산 기능에 따라 각 차수(+1 및 -1)는 보여지는 바와 같은 단일의 이상적인 광선이 아닌, 각도 범위에 걸쳐 더 확산될 것이다. 주기적 구조체의 피치 및 조명 각도는 대물렌즈로 입사하는 1차 광선이 중앙 광학 축과 밀접하게 정렬되도록 설계 또는 조정될 수 있다는 점을 유의해야 한다. 도 3a 및 도 3b에 도시된 광선은 전적으로 이를 도면에서 쉽게 구별될 수 있도록 축을 다소 벗어난 것으로 도시되어 있다. 기판(W) 상의 타겟에 의해 회절된 적어도 0 및 +1 차수는 대물렌즈(16)에 의해 집광되고 프리즘(15)을 통해 뒤로 지향된다.
도 3a로 돌아가서, 북(N) 및 남(S)으로 표기된 정반대 개구들을 지정함으로써, 제1 및 제2 조명 모드 모두가 도시되어 있다. 입사 광선(I)이 광학 축의 북측으로부터 왔을 때, 즉 제1 조명 모드가 개구 플레이트(13N)를 사용하여 적용될 때, +1(N)로 표시된 +1 회절 광선은 대물렌즈(16)로 입사한다. 반대로, 제2 조명 모드가 개구 플레이트(13S)를 사용하여 적용될 때, (-1(S)로 표시된) -1 회절 광선은 렌즈(16)에 들어가는 광선이다. 따라서, 실시예에서, 특정 조건 하에서, 예를 들어, 타겟을 회전시키거나 조명 모드를 변경한 후 또는 이미징 모드를 변경하여 -1차 및 +1차 회절 차수 세기를 별도로 획득한 후에 타겟을 2회 측정함으로써 측정 결과가 획득된다. 주어진 타겟에 대해 이 세기를 비교하는 것은 타겟 내의 비대칭의 측정을 제공하며, 타겟에서의 비대칭은 리소그래피 공정의 매개변수, 예를 들어 오버레이의 지표로서 사용될 수 있다. 위에서 설명한 상황에서는, 조명 모드가 변경된다.
빔 스플리터(17)는 회절 빔을 2개의 측정 분기부로 나눈다. 제1 측정 분기부에서, 광학 시스템(18)은 0차 및 1차 회절 빔을 사용하여 제1 센서(19) (예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼 (퓨필 평면 이미지)을 형성한다. 각 회절 차수는 센서 상의 다른 포인트에 도달하며, 따라서 이미지 처리는 차수들을 비교하고 대조할 수 있다. 센서(19)에 의해 캡처된 퓨필 평면 이미지는 계측 장치를 집속하고 및/또는 세기 측정을 정규화하기 위하여 사용될 수 있다. 퓨필 평면 이미지는 또한, 이후에 더 설명되는 바와 같이, 재구성과 같은 다른 측정 목적을 위해 사용될 수 있다.
제2 측정 분기부에서, 광학 시스템(20, 22)은 센서(23) (예를 들어, CCD 또는 CMOS 센서) 상의 기판(W) 상에 타겟의 이미지를 형성한다. 제2 측정 분기부에서, 대물렌즈(16)의 퓨필 평면에 켤레인 평면에 구경 조리개(21)가 제공된다. 구경 조리개(21)는 센서(23) 상에 형성된 타겟의 이미지가 -1 또는 +1차 빔으로부터 형성되도록 0차 회절 빔을 차단하는 기능을 한다. 센서(19 및 23)에 의해 측정된 이미지에 관한 데이터는 프로세서 및 컨트롤러(PU)로 출력되며, 이들의 기능은 수행되는 특정 유형의 측정에 의존할 것이다. 용어 "이미지"는 넓은 의미로 사용된다는 점을 유의해야 한다. -1 및 +1차 중 하나만이 존재하는 경우, 그와 같은 주기적 구조체 피처 (예를 들어, 격자 라인)의 이미지는 형성되지 않을 것이다.
도 3에서 보여지고 있는 특정 형태의 개구 플레이트(13) 및 구경 조리개(21)는 전적으로 예이다. 다른 실시예에서, 타겟의 축상(on-axis) 조명이 사용되며 비축(off-axis) 개구를 갖는 구경 조리개는 실질적으로 1차 회절 방사선을 센서로 보내기 위하여 사용된다. 또 다른 실시예에서, 1차 빔 대신에 또는 그에 더하여 2차, 3차 및 고차 빔 (도 3에 도시되지 않음)이 측정에 사용될 수 있다.
이 상이한 유형의 측정에 조명을 적용 가능하게 하기 위하여, 개구 플레이트(13)는 디스크 주위에 형성된 복수의 개구 패턴을 포함할 수 있으며, 디스크는 원하는 패턴을 위치로 이동시키도록 회전한다. 개구 플레이트(13N 또는 13S)는 일 방향 (설정에 따라 X 또는 Y)으로 배향된 타겟의 주기적 구조체를 측정하는데 사용된다는 점을 유의해야 한다. 직교적인 주기적 구조체의 측정을 위하여, 90° 및 270°의 타겟의 회전이 구현될 수 있다. 상이한 개구 플레이트가 도 3c 및 도 3d에 보여지고 있다. 도 3c는 2개의 추가 유형의 비축(off-axis) 조명 모드를 도시하고 있다. 도 3c의 제1 조명 모드에서, 개구 플레이트(13E)는 앞서 설명된 "'북"에 대한 "동"으로, 설명의 목적만을 위하여 지정된 방향으로부터 축외 조명을 제공한다. 도 3c의 제2 조명 모드에서, 개구 플레이트(13W)는 유사한 조명을 제공하기 위해 사용되지만, "서"로 표시된 반대 방향으로부터 조명을 제공한다. 도 3d는 2가지 추가 유형의 비축 조명 모드를 도시하고 있다. 도 3d의 제1 조명 모드에서, 개구 플레이트(13NW)는 앞서 설명된 바와 같이 "북" 및 "서"로 표시된 방향으로부터 축외 조명을 제공한다. 제2 조명 모드에서, 개구 플레이트(13SE)는 유사한 조명을, 그러나 앞서 설명된 바와 같이 "남" 및 "동"으로 표시된 반대 방향으로부터 제공하도록 사용된다. 이들의 사용 및 장치의 많은 다른 변형 및 응용이, 예를 들어 위에서 언급된 공개된 특허 출원 공보에 설명되어 있다.
도 4는 기판 상에 형성된 예시적인 복합 계측 타겟(T)을 도시하고 있다. 복합 타겟은 서로 밀접하게 위치된 4개의 주기적 구조체 (이 경우 격자) (32, 33, 34, 35)를 포함하고 있다. 실시예에서, 주기적 구조체 레이아웃은 측정 스폿보다 작게 만들어질 수 있다 (즉, 주기적 구조체 레이아웃이 오버필된다(overfilled)). 따라서, 실시예에서, 주기적 구조체들은 모두가 계측 장치의 조명 빔에 의해 형성된 측정 스폿(31) 내에 있도록 충분히 서로 밀접하게 위치된다. 이 경우에, 4개의 주기적 구조체는 따라서 센서(19 및 23) 상에 모두 동시에 조명되고 동시에 이미지화된다. 오버레이 측정 전용 예에서, 주기적 구조체(32, 33, 34, 35)는 그 자체가 주기적 구조체들을 위에 놓음으로써 형성된 복합 주기적 구조체 (예를 들어, 복합 격자)이며, 즉 주기 구조체는 기판(W) 상에 형성된 디바이스의 상이한 층에서 패터닝되어 하나의 층 내의 적어도 하나의 주기적 구조체가 상이한 층 내의 적어도 하나의 주기적 구조체와 겹쳐지도록 한다. 이러한 타겟은 20 ㎛×20 ㎛ 내의 또는 16 ㎛×160 ㎛ 내의 외부 치수를 가질 수 있다. 또한, 모든 주기적 구조체는 특정 쌍의 층들 사이의 오버레이를 측정하는데 사용된다. 타겟이 단일 쌍의 층보다 많은 층을 측정할 수 있는 것을 용이하게 하도록, 복합 주기적 구조체들의 상이한 부분들이 형성된 상이한 층들 간의 오버레이의 측정을 용이하게 하기 위하여 주기적 구조체(32, 33, 34, 35)는 상이하게 바이어스된 오버레이 오프셋(biased overlay offsets)을 가질 수 있다. 따라서, 기판 상의 타겟에 대한 모든 주기적 구조체는 한 쌍의 층을 측정하는데 사용될 것이며, 기판 상의 다른 동일한 타겟에 대한 모든 주기적 구조체는 다른 한 쌍의 층을 측정하는데 사용될 것이다. 여기서 상이한 바이어스는 층 쌍들을 구별하는 것을 용이하게 한다. 도 4로 돌아가면, X 및 Y 방향으로 들어오는 방사선을 회절시키기 위하여, 주기적 구조체(32, 33, 34, 35)들은 또한 도시된 바와 같이 그들의 배향이 상이할 수 있다. 일 예에서, 주기적 구조체(32 및 34)는 각각 + d, -d의 바이어스를 갖는 X-방향 주기적 구조체이다. 주기적 구조체(33 및 35)는 각각 오프셋 +d 및 -d를 갖는 Y-방향 주기적 구조체일 수 있다. 4개의 주기적 구조체가 도시되어 있지만, 다른 실시예는 원하는 정확도를 얻기 위해 더 큰 매트릭스를 포함할 수 있다. 예를 들어, 9개의 복합 주기적 구조체의 3×3 어레이는 바이어스 -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d를 가질 수 있다. 이 주기적 구조체의 개별 이미지는 센서(23)에 의해 캡처된 이미지에서 식별될 수 있다.
도 5는 도 3의 장치에서 도 4의 타겟을 사용하여 센서(23) 상에 형성될 수 있고 도 3d로부터의 개구 플레이트(13NW 또는 13SE)를 이용하여 센서에 의해 검출될 수 있는 이미지의 예를 보여주고 있다. 센서(19)는 상이한 개별 주기적 구조체(32 내지 35)를 분석(resolve)할 수 없지만, 센서(23)는 그렇게 할 수 있다. 어두운 사각형은 센서 상의 이미지의 필드를 나타내며, 기판 상의 조명된 스폿(31)은 이 필드 내에서 대응하는 원형 영역(41)으로 이미징된다. 이 내에서, 직사각형 영역(42 내지 45)은 주기적 구조체(32 내지 35)의 이미지를 나타낸다. 타겟은 스크라이브 레인 내가 아니라 디바이스 제품 피처들 사이에 위치될 수 있다. 주기적 구조체가 디바이스 제품 영역 내에 위치된 경우, 디바이스 피처는 이 이미지 필드의 주변에서 가시적일 수 있다. 프로세서와 컨트롤러(PU)는 주기적 구조체(32 내지 35)의 개별 이미지(42 내지 45)를 식별하기 위해 패턴 인식을 사용하여 이 이미지를 처리한다. 이렇게 하여, 이미지는 센서 프레임 내의 특정 위치에서 매우 정확하게 정렬될 필요가 없으며, 이는 측정 장치의 전체 처리량을 크게 개선한다.
주기적 구조체의 개별 이미지가 식별되면, 이 개별 이미지의 세기는, 예를 들어 식별된 영역 내의 선택된 픽셀 세기 값들을 평균화하거나 합산함으로써 측정될 수 있다. 이미지들의 세기 및/또는 다른 특성은 서로 비교될 수 있다. 이 결과들은 리소그래피 공정의 상이한 매개변수들을 측정하기 위해 조합될 수 있다. 오버레이 성능은 이러한 매개변수의 예이다.
실시예에서, 패터닝 공정의 관심 대상 매개변수 중 하나는 피처 폭 (예를 들어, CD)이다. 도 6은 피처 폭 결정을 가능하게 할 수 있는 매우 개략적인 예시적인 계측 장치 (예를 들어, 스케터로미터)를 도시하고 있다. 이는 기판 (W) 상으로 방사선을 투영하는 광대역 (백색광) 방사선 투영기(2)를 포함하고 있다. 재지향된 방사선은 분광계 검출기(4)로 나아가며, 이 분광계 검출기는 예를 들어 좌측 하단의 그래프에 보여지는 바와 같이 정반사된 방사선의 스펙트럼(10) (파장의 함수로서의 세기)을 측정한다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일은 프로세서(PU)에 의하여, 예를 들어 엄격한 결합-파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀에 의하여 또는 도 6의 우측 하단에 보여지는 바와 같은 시뮬레이션 스펙트럼의 라이브러리와의 비교에 의하여 재구성될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려져 있으며, 측정된 데이터로부터 결정될 구조체의 소수의 변수만을 남겨놓고, 일부 변수는 구조체가 만들어진 공정의 정보로부터 가정된다. 이러한 계측 장치는 수직-입사 계측 장치 또는 경사-입사 계측 장치로서 구성될 수 있다. 더욱이, 재구성에 의한 매개변수의 측정에 더하여, 각도 분해 스케터로메트리는 제품 및/또는 레지스트 패턴에서의 피처의 비대칭의 측정에 유용하다. 비대칭 측정의 특정 응용은 오버레이 측정을 위한 것이며, 여기서 타겟은 다른 것 위에 겹쳐지는 한 세트의 주기적 피처들을 포함하고 있다. 이러한 방식의 비대칭 측정의 개념은 예를 들어 미국 특허 출원 공보 US2006-066855에 설명되어 있으며, 이는 그 전체가 본 명세서에서 인용 참조된다.
도 7은 본 명세서에 개시된 본 발명의 실시예에서 사용하기에 적합한 계측 장치(100)의 예를 도시하고 있다. 이러한 유형의 계측 장치의 작동 원리는 미국 특허 출원 공고 US2006-033921 및 US2010-201963에 더욱 상세하게 설명되어 있으며, 이 출원들은 본 명세서에 전체적으로 인용 참조로 포함된다. 장치 전체에 여러 가지 분기부를 갖는 광축은 점선(O)으로 표현된다. 이 장치에서, 소스(110) (예를 들어, 크세논 램프)에 의해 방출된 방사선은 렌즈 시스템(120), 개구 플레이트(130), 렌즈 시스템(140), 부분 반사 표면(150) 및 대물렌즈(160)를 포함하는 광학 시스템을 통하여/의하여 기판(W) 상으로 지향된다. 실시예에서, 이 렌즈 시스템(120, 140, 160)은 4F 배열의 이중 시퀀스로 배열된다. 실시예에서, 방사선 소스(110)에 의해 방출된 방사선은 렌즈 시스템(120)을 사용하여 시준된다. 원하는 경우 상이한 렌즈 배열이 사용될 수 있다. 방사선이 기판에 입사되는 각도 범위는 기판 평면의 공간 스펙트럼을 나타내는 평면에서의 공간적 세기 분포를 한정함으로써 선택될 수 있다. 특히, 이는 대물렌즈 퓨필 평면의 후방-투영된 이미지인 평면에서 렌즈(120 및 140) 사이에 적합한 형태의 개구 플레이트(130)를 삽입함으로써 이루어질 수 있다. 상이한 개구를 사용함으로써 상이한 세기 분포 (예를 들어, 환형, 쌍극자 등)가 가능하다. 방사선의 파장, 편광 및/또는 간섭성(coherency)과 같은 특성뿐만 아니라 반경 방향 및 주변 방향으로의 조명의 각도 분포는 원하는 결과를 얻도록 모두 조정될 수 있다. 예를 들어, 하나 이상의 간섭 필터(130) (도 9 참조)는 소스(110)와 부분 반사 표면(150) 사이에 제공되어 400 내지 900 ㎚ 또는 200 내지 300 ㎚와 같은 더 낮은 범위 내의 관심 대상 파장을 선택할 수 있다. 간섭 필터는 한 세트의 상이한 필터를 포함하기보다는 조정 가능할 수 있다. 간섭 필터 대신 격자가 사용될 수 있다. 실시예에서, 하나 이상의 편광자(170) (도 9 참조)가 관심 대상 편광을 선택하기 위해 소스(110)와 부분 반사 표면(150) 사이에 제공될 수 있다. 편광자는 한 세트의 상이한 편광자를 포함하기 보다는 조정 가능할 수 있다.
도 7에서 보여지는 바와 같이, 타겟(T)은 대물렌즈(160)의 광학 축(O)에 수직인 기판(W)과 배치된다. 따라서, 소스(110)로부터의 방사선은 부분 반사 표면(150)에 의하여 반사되고 대물렌즈(160)를 통해 기판(W) 상의 타겟(T) 상의 조명 스폿(S)(도 8 참조)으로 집속된다. 실시예에서, 대물렌즈(160)는 높은 개구수(numerical aperture; NA), 바람직하게는 적어도 0.9 또는 적어도 0.95의 개구수를 갖고 있다. (물과 같은 비교적 높은 굴절률 유체를 이용하는) 침지 계측 장치는 1보다 큰 개구수를 가질 수도 있다.
축(O)으로부터 각도로 조명 지점에 집속된 조명 광선(170, 172)은 회절 광선(174, 176)을 발생시킨다. 이 광선은 타겟(T)을 포함하는 기판의 영역을 덮는 많은 평행 광선 중 단지 하나라는 점이 기억되어야 한다. 조명 스폿 내의 각 요소는 계측 장치의 시계 내에 있다. 플레이트(130) 내의 개구가 유한 폭 (유효한 양의 방사선을 받아들이는데 필요함)을 갖고 있기 때문에, 입사 광선(170, 172)은 사실상 각도 범위를 차지할 것이며, 회절 광선(174, 176)은 다소 확산될 것이다. 작은 타겟의 포인트 확산 기능에 따라 각 회절 차수는 보여지는 바와 같은 단일의 이상적인 광선이 아닌, 각도 범위에 걸쳐 더 확산될 것이다.
기판(W) 상의 타겟에 의해 회절된 적어도 0차는 대물렌즈(160)에 의해 집광되고 부분 반사 표면(150)을 통해 뒤로 지향된다. 광학 요소(180)는, 0차 및/또는 1차 회절 빔을 이용하여 센서(190) (예를 들어, CCD 또는 CMOS 센서) 상에 타겟(T)의 회절 스펙트럼 (퓨필 평면 이미지)을 형성하는 광학 시스템(182)에 회절 빔의 적어도 일부를 제공한다. 실시예에서, 특정 회절 차수가 센서(190)에 제공되도록 특정 회절 차수를 필터링하기 위해 개구(186)가 제공된다. 실시예에서, 개구(186)는 실질적으로 또는 주로 0차 방사선이 센서(190)에 도달하는 것을 허용한다. 실시예에서, 센서(190)는 기판 타겟(T)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기일 수 있다 센서(190)는, 예를 들어 CCD 또는 CMOS 센서의 어레이일 수 있으며, 예를 들어 프레임 당 40 밀리초의 집적화 시간(integration time)을 이용할 수 있다. 센서(190)는 단일 파장 (또는 좁은 파장 범위)에서의 재지향된 방사선의 세기를 측정하기 위하여, 복수의 파장에서의 세기를 별도로 측정하기 위하여, 또는 파장 범위에 걸쳐 통합된 세기를 측정하기 위하여 사용될 수 있다. 또한, 센서는 횡자기- 및/또는 횡전기-편광을 갖는 방사선의 세기 및/또는 횡자기-편광 방사선과 횡전기-편광 방사선 간의 위상차를 별도로 측정하기 위하여 사용될 수 있다.
선택적으로, 광학 요소(180)는 회절 빔의 적어도 일부를 측정 분기부(200)에 제공하여 센서(230) (예를 들어, CCD 또는 CMOS 센서) 상의 기판 (W)의 상에 타겟의 이미지를 형성한다. 측정 분기부(200)는 계측 장치를 집속하는 것 (즉, 기판(W)이 대물렌즈(160)와 초점을 맞출 수 있게 하는 것)과 같은 다양한 보조 기능을 위하여 및/또는 그리고 도입부에서 언급된 유형의 다크 필드 이미징을 위하여 사용될 수 있다.
격자의 상이한 크기 및 형상에 대한 맞춤형 시야를 제공하기 위해, 조정 가능한 필드 스톱(field stop; 300)이 소스(110)로부터 대물렌즈(160)로의 경로 상에서 렌즈 시스템(140) 내에 제공된다. 필드 스톱(300)은 개구(302)를 포함하고 또한 타겟(T)의 평면과 켤레인 평면에 위치되며, 따라서 조명 스폿은 개구(302)의 이미지가 된다. 이미지는 배율에 따라 스케일링될 수 있거나, 개구 및 조명 스폿은 1:1 크기 관계에 있을 수 있다. 상이한 유형의 측정에 조명을 적용 가능하게 하기 위하여, 개구 플레이트(300)는 디스크 주위에 형성된 복수의 개구 패턴을 포함할 수 있으며, 디스크는 원하는 패턴을 위치로 이동시키도록 회전한다. 대안적으로 또는 이에 더하여, 동일한 효과를 달성하기 위해 한 세트의 플레이트(300)가 제공되고 교체될 수 있다. 부가적으로 또는 대안적으로, 변형 가능한 미러 어레이 또는 투과성 공간 광 변조기와 같은 프로그램 가능한 개구 디바이스가 또한 사용될 수 있다.
전형적으로, 타겟은 Y 축에 평행하게 또는 X 축에 평행하게 나아가는 그의 주기적 구조체 피처와 정렬될 것이다. 회절 거동과 관련하여, Y 축에 평행한 방향으로 연장되는 피처를 갖는 주기적 구조체는 X 방향으로의 주기성을 갖는 반면, X 축에 평행한 방향으로 연장되는 피처를 갖는 주기적인 구조체는 Y 방향으로의 주기성을 갖는다. 양방향으로 성능을 측정하기 위해 두 가지 유형의 피처가 일반적으로 제공된다. 단순함을 위하여 선과 공간에 대한 참조가 있을 것이지만, 주기적 구조는 라인과 공간으로 형성될 필요는 없다. 또한, 각 라인 및/또는 라인들 사이의 공간은 더 작은 하위 구조체로 형성된 구조체일 수 있다. 또한, 예를 들어 주기적 구조체가 포스트 및/또는 비아 홀을 포함하는 경우에 주기적 구조체는 2차원으로 주기성을 갖고 한 번에 형성될 수 있다.
도 8은 도 7의 장치에서 전형적인 타겟(T)의 평면도 및 조명 스폿(S)의 범위를 도시하고 있다. 주위 구조체로부터의 간섭이 없는 회절 스펙트럼을 얻기 위하여, 실시예에서, 타겟(T)은 조명 스폿(S)의 폭 (예를 들어, 직경)보다 큰 주기적 구조체 (예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭 및 길이보다 작을 수 있다. 다시 말해, 타겟은 조명에 의해 "언더필 (underfill)"되며, 회절 신호는 기본적으로 타겟 자체 밖의 제품 피처 등으로부터의 임의의 신호가 없다. 이는 타겟이 무한한 것으로 간주될 수 있음에 따라 타겟의 수학적 재구성을 단순화한다.
도 9는 계측을 사용하여 획득된 측정 데이터에 기초하여 타겟 패턴(30')의 하나 이상의 관심 대상 변수의 값을 결정하는 예시적인 공정을 개략적으로 도시하고 있다. 검출기(190)에 의해 검출된 방사선은 타겟(30')을 위한 측정된 방사선 분포(108)를 제공한다.
주어진 타겟(30')에 대해, 예를 들어 수치적 맥스웰 솔버(Maxwell solver)(210)를 사용하여 방사선 분포(208)가 매개변수화된 수학적 모델(206)로부터 계산/시뮬레이션될 수 있다. 매개변수화된 수학적 모델(206)은 타겟을 구성하고 그와 관련된 다양한 물질의 예시적인 층을 보여주고 있다. 매개변수화된 수학적 모델(206)은 고려 중인 타겟의 부분의 피처 및 층에 대한 하나 이상의 변수를 포함할 수 있으며, 이 변수는 변할 수 있고 유도될 수 있다. 도 9에서 보여지는 바와 같이, 하나 이상의 변수는 하나 이상의 층의 두께(t), 하나 이상의 피처의 폭(w) (예를 들어, CD), 하나 이상의 피처의 높이(h), 하나 이상의 피처의 측벽 각도(α) 및/또는 피처들 간의 상대적 위치 (여기서 오버레이로 간주됨)를 포함할 수 있다. 보여지고 있지는 않지만, 하나 이상의 변수는 하나 이상의 층의 굴절률 (예를 들어, 실제 또는 복합 굴절률, 굴절률 텐서(refractive index tensor) 등), 하나 이상의 층의 흡광 계수, 하나 이상의 층의 흡수, 현상 중 레지스트 손실, 하나 이상의 피처의 풋팅(footing), 및/또는 하나 이상의 피처의 라인 에지 러프니스(line edge roughness)를 더 포함할 수 있으나, 이에 제한되지 않는다. 폭, 길이, 형상 또는 3-D 프로파일 특성의 값과 같은, 1-D 주기적 구조체 또는 2-D 주기적 구조체의 하나 이상의 매개변수의 하나 이상의 값은 패터닝 공정 및/또는 기타 측정 공정의 지식으로부터 재구성 공정으로 입력될 수 있다. 예를 들어, 변수의 초기 값은 측정되는 타겟에 대한, CD, 피치 등의 값과 같은 이들의 하나 이상의 매개변수의 기대 값일 수 있다.
일부 경우에, 타겟은 단위 셀의 복수의 인스턴스(instances)로 나누어질 수 있다. 이 경우에 타겟의 방사선 분포의 용이한 계산을 돕기 위하여, 모델(206)은 타겟의 구조체의 단위 셀을 사용하여 계산/시뮬레이션하도록 설계될 수 있으며, 여기서 단위 셀은 전체 타겟에 걸쳐 인스턴스로서 반복된다. 따라서, 타겟의 방사선 분포를 결정하기 위하여 모델(206)은 하나의 단위 셀을 사용하여 계산할 수 있으며 적절한 경계 조건을 사용하여 전체 타겟에 맞도록 결과를 복사할 수 있다.
재구성시에 방사선 분포(208)를 계산하는 것에 부가적으로 또는 대안적으로, 복수의 방사선 분포(208)는 고려 중인 타겟 부분의 변수의 복수의 변화에 대해 사전-계산되어 재구성시 사용을 위한 방사선 분포의 라이브러리를 생성할 수 있다.
측정된 방사선 분포(108)는 계산된 방사선 분포(208) (예를 들어, 그 시간 근처에서 계산되거나 라이브러리로부터 획득)와 210에서 비교되어 양 분포 간의 차이를 결정한다. 차이가 있다면, 매개변수화된 수학적 모델(206)의 하나 이상의 변수의 값이 달라질 수 있으며, 새로이 계산된 방사선 분포(208)는 획득 (예를 들어, 라이브러리로부터 계산 또는 획득)될 수 있고 측정된 방사선 분포(108)와 방사선 분포(208) 사이에 충분한 일치(match)가 있을 때까지 측정된 방사선 분포(108)와 비교될 수 있다. 그 시점에서, 매개변수화된 수학적 모델(206)의 변수의 값은 실제 타겟(30')의 기하학적 구조의 우수한 또는 최상의 매칭을 제공한다. 실시예에서, 측정된 방사선 분포(108)와 계산된 방사선 분포(208) 사이의 차이가 공차 임계치 내에 있을 때 충분한 일치가 있다.
이 계측 장치에서, 측정 작동 동안 기판(W)을 유지하기 위해 기판 지지체가 제공될 수 있다. 기판 지지체는 도 1의 기판 테이블(WT)과 형태가 유사하거나 동일할 수 있다. 계측 장치가 리소그래피 장치와 통합된 예에서, 이는 동일한 기판 테이블일 수도 있다. 측정 광학 시스템과 관련하여 기판을 정확하게 위치시키기 위해 개략적인 그리고 세밀한 포지셔너가 제공될 수 있다. 예를 들어, 관심 대상 타겟의 위치를 획득하고 이를 대물렌즈 아래의 위치로 이동시키기 위해 다양한 센서 및 액추에이터가 제공된다. 전형적으로, 기판(W)에 걸쳐 상이한 위치에서 타겟 인스턴스에 대해 많은 측정이 이루어질 것이다. 기판 지지체는 상이한 타겟 인스턴스를 얻기 위해 X 및 Y 방향으로, 그리고 광학 시스템의 초점에 대한 타겟의 원하는 위치를 얻기 위해 Z 방향으로 이동될 수 있다. 예를 들어, 실제로 광학 시스템이 실질적으로 (일반적으로 X 및 Y 방향으로, 그러나 아마도 또한 Z 방향으로) 정지 상태를 유지하고 기판만이 이동하는 경우, 대물렌즈가 기판에 대해 상이한 위치들로 이동하는 것처럼 작동을 생각하고 설명하는 것이 편리하다. 기판과 광학 시스템의 상대적인 위치가 정확하다면, 이들 중 어느 것이 현실적으로 이동하고 있는지, 또는 둘 모두 이동하고 있는지, 또는 광학 시스템의 일부의 조합이 (예를 들어, Z 및/또는 경사 방향으로) 이동하고 있으면서 시스템의 나머지가 고정되고 기판이 (예를 들어, X 및 Y 방향으로, 그러나 또한 선택적으로 Z 및/또는 경사 방향으로) 이동하고 있는지는 원칙적으로는 중요하지 않다.
실시예에서, 타겟의 측정 정확도 및/또는 민감도는 타겟 상으로 제공된 방사선의 빔의 하나 이상의 속성, 예를 들어 방사선 빔의 파장, 방사선 빔의 편광, 방사선 빔의 세기 분포 (즉, 각도 또는 공간 세기 분포) 등에 관하여 달라질 수 있다. 따라서, 예를 들어 타겟의 양호한 측정 정확도 및/또는 민감도를 바람직하게 획득하는 특정 측정 전략이 선택될 수 있다.
적어도 하나의 패턴 전사 단계 (예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 공정 (예를 들어, 디바이스 제조 공정)을 모니터링하기 위하여, 패터닝된 기판이 검사되고 패터닝된 기판의 하나 이상의 매개변수가 측정/결정된다. 하나 이상의 매개변수는, 예를 들어 패터닝된 기판 내에 또는 패터닝된 기판 상에 형성된 연속 층들 간의 오버레이, 예를 들어 패터닝된 기판 내에 또는 패터닝된 기판 상에 형성된 피처의 임계 치수 (CD) (예를 들어, 임계 선폭), 광학 리소그래피 단계의 초점 또는 초점 오차, 광학 리소그래피 단계의 선량 또는 선량 오차, 광학 리소그래피 단계의 광학 수차, 배치 오차 (예를 들어, 에지 배치 오차) 등을 포함할 수 있다. 이 측정은 제품 기판 자체의 타겟 및/또는 기판 상에 제공된 전용 계측 타겟에서 수행될 수 있다. 측정은 레지스트의 현상 후 그러나 에칭 전에 수행될 수 있거나 에칭 후에 수행될 수 있다.
실시예에서, 측정 공정으로부터 획득된 매개변수는 측정 공정으로부터 직접적으로 결정된 매개변수로부터 유도된 매개변수이다. 예를 들어, 측정 매개변수에서 획득된 유도 매개변수는 패터닝 공정에 대한 에지 배치 오차이다. 에지 배치 오차는 패터닝 공정에 의해 생성된 구조체의 에지의 위치의 변화를 제공한다. 실시예에서, 에지 배치 오차는 오버레이 값으로부터 유도된다. 실시예에서, 에지 배치 오차는 오버레이 값과 CD 값의 조합으로부터 유도된다. 실시예에서, 에지 배치는 오버레이 값, CD 값 및 국부적 변화 (예를 들어, 개별 구조체의 에지 러프니스(edge roughness), 형상 비대칭 등)에 대응하는 값의 조합으로부터 유도된다. 실시예에서, 에지 배치 오차는 조합된 오버레이와 CD 오차의 극값 (예를 들어, 3개의 표준 편차, 즉 3σ)를 포함하고 있다. 실시예에서, 구조체를 형성하는 것을 포함하는 그리고 구조체에 관하여 패터닝 공정에 의하여 제공된 패턴의 에칭을 통하여 구조체의 일부분을 제거함으로써 구조체를 "커팅"하는 것을 포함하는 구조체와 관련된 다중 패터닝 공정에서, 에지 배치 오차는 하기의 형태를 갖는다 (또는 하기 항들 중 하나 이상을 포함한다);
Figure 112020024084981-pct00001
여기서 σ는 표준 편차이며,
Figure 112020024084981-pct00002
는 오버레이의 표준 편차에 대응하고,
Figure 112020024084981-pct00003
는 패터닝 공정에서 생성된 구조체의 임계 치수 균일도(CDU)의 표준 편차에 대응하며,
Figure 112020024084981-pct00004
는 필요하다면 패터닝 공정에서 생성된 컷(cut)의 임계 치수 균일도(CDU)의 표준 편차에 대응하고,
Figure 112020024084981-pct00005
는 피치에서의 CD와 기준 CD 간의 차이인 광학 근접 효과(OPE) 및/또는 근접 바이어스 평균(PBA)의 표준 편차에 대응하며, 그리고
Figure 112020024084981-pct00006
는 라인 에지 러프니스(LER) 및/또는 국부적인 배치 오차(LPE)의 표준 편차에 대응한다. 위의 공식은 표준 편차와 관련이 있지만 분산(variance)과 같은 다른 비교 가능한 통계 방식으로 공식화될 수 있다.
주사 전자 현미경, 이미지 기반 측정 툴 및/또는 다양한 특수 툴의 사용을 포함하는, 패터닝 공정에서 형성된 구조체의 측정을 이루기 위한 다양한 기법이 존재한다. 위에서 논의된 바와 같이, 신속한 그리고 비침습적인 형태의 특수 계측 툴은 방사선의 빔이 기판의 표면 상의 타겟으로 향하고 산란된 (회절된/반사된) 빔의 특성이 측정되는 툴이다. 기판에 의해 산란된 방사선의 하나 이상의 특성을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이는 회절-기반 계측으로 불릴 수 있다. 이 회절-기반 계측의 이러한 적용은 타겟 내에서의 피처 비대칭의 측정에 있다. 이는, 예를 들어 오버레이의 척도로서 사용될 수 있지만, 다른 적용 또한 알려져 있다. 예를 들어, 회절 스펙트럼의 반대 부분들을 비교함으로써 (예를 들어, 주기적인 격자의 회절 스펙트럼에서 -1차와 +1차를 비교함으로써) 비대칭이 측정될 수 있다. 이는 위에서 설명된 바와 같이 그리고 예를 들어 미국 특허 출원 공보 US2006-066855에서 설명된 바와 같이 이루어질 수 있으며, 이는 본 명세서에서 전체적으로 인용 참조된다. 회절-기반 계측의 또 다른 적용은 타겟 내에서의 피처 폭(CD) 측정에 있다. 이러한 기법은 도 6 내지 도 9에 관해서 위에서 설명된 장치 및 방법을 이용할 수 있다.
이제, 이 기법들이 효과적이지만, 타겟 내에서 피처 비대칭 (예를 들어, 오버레이, CD 비대칭, 측벽 각도 비대칭 등)을 유도하는 새로운 측정 기법을 제공하는 것이 바람직하다. 이 기법은 특별히 설계된 계측 타겟에 효과적일 수 있으며 또는 아마도 더욱 중요하게는 디바이스 패턴 상에서 직접 피처 비대칭을 결정하는데 효과적일 수 있다.
도 10을 참조하면, 이 측정 기법의 원리는 오버레이 실시예와 관련하여 설명된다. 도 10a에서, 타겟(T)의 기하학적으로 대칭적인 단위 셀이 보여지고 있다. 타겟(T)은 단지 단위 셀의 단일의 물리적 인스턴스(physical instance)를 포함할 수 있거나 도 10c에 도시된 바와 같이 단위 셀의 복수의 물리적 인스턴스를 포함할 수 있다.
타겟(T)은 특별히 설계된 타겟일 수 있다. 실시예에서, 타겟은 스크라이브 레인을 위한 것이다. 실시예에서, 타겟은 인-다이(in-die) 타겟일 수 있으며, 즉 타겟은 디바이스 패턴 중에 (그리고 따라서 스크라이브 레인들 사이에) 있을 수 있다. 실시예에서, 타겟은 디바이스 패턴 피처에 비교될 만한 피처 폭 또는 피치를 가질 수 있다. 예를 들어, 타겟 피처 폭 또는 피치는 디바이스 패턴의 최소 피처 크기 또는 피치의 300% 이하, 디바이스 패턴의 최소 피처 크기 또는 피치의 200% 이하, 디바이스 패턴의 최소 피처 크기 또는 피치의 150% 이하, 또는 디바이스 패턴의 최소 피처 크기 또는 피치의 100% 이하일 수 있다.
타겟(T)은 디바이스 구조체일 수 있다. 예를 들어, 타겟(T)은 메모리 디바이스의 일부분일 수 있다 (이는 종종 아래에서 더 논의되는 바와 같이 기하학적으로 대칭이거나 또는 기하학적으로 대칭적일 수 있는 하나 이상의 구조체를 갖는다).
실시예에서, 타겟(T) 또는 단위 셀의 물리적 인스턴스는 2400 평방 미크론 이하의 면적, 2000 평방 미크론 이하의 면적, 1500 평방 미크론 이하의 면적, 1000 평방 미크론 이하의 면적, 400 평방 미크론 이하의 면적, 200 평방 미크론 이하, 100 평방 미크론 이하, 50 평방 미크론 이하, 25 평방 미크론 이하, 10 평방 미크론 이하, 5 평방 미크론 이하, 1 평방 미크론 이하, 0.5 평방 미크론 이하, 또는 0.1 평방 미크론 이하의 면적을 가질 수 있다. 실시예에서, 타겟(T) 또는 단위 셀의 물리적 인스턴스는 50 미크론 이하, 30 미크론 이하, 20 미크론 이하, 15 미크론 이하, 10 미크론 이하, 5미크론 이하, 3 미크론 이하, 1 미크론 이하, 0.5 미크론 이하, 0.2 미크론 이하, 또는 0.1 미크론 이하의, 기판의 평면에 평행한 횡단면 치수를 갖는다.
실시예에서, 타겟(T) 또는 단위 셀의 물리적 인스턴스는 5 미크론 이하, 2 미크론 이하, 1 미크론 이하, 500 ㎚ 이하, 400 ㎚ 이하, 300 ㎚ 이하, 200 ㎚ 이하, 150 ㎚ 이하, 100 ㎚ 이하, 75 ㎚ 이하, 50 ㎚ 이하, 32 ㎚ 이하, 22 ㎚ 이하, 16 ㎚ 이하, 10 ㎚ 이하, 7 ㎚ 이하, 또는 5 ㎚ 이하의 구조체의 피치를 갖고 있다.
실시예에서, 타겟(T)은 단위 셀의 복수의 물리적 인스턴스를 갖고 있다. 따라서, 타겟(T)은 전형적으로 여기에서 열거된 더 높은 치수를 가질 수 있는 반면, 단위 셀의 물리적 인스턴스는 여기에서 열거된 더 낮은 치수를 가질 것이다. 실시예에서, 타겟(T)은 단위 셀의 50,000개 또는 그 이상의 물리적 인스턴스, 단위 셀의 25,000개 또는 그 이상의 물리적 인스턴스, 단위 셀의 10,000개 또는 그 이상의 물리적 인스턴스, 단위 셀의 10,000개 또는 그 이상의 물리적 인스턴스, 단위 셀의 5,000개 또는 그 이상의 물리적 인스턴스, 단위 셀의 1,000개 또는 그 이상의 물리적 인스턴스, 단위 셀의 500개 또는 그 이상의 물리적 인스턴스, 단위 셀의 200개 또는 그 이상의 물리적 인스턴스, 단위 셀의 100개 또는 그 이상의 물리적 인스턴스, 단위 셀의 50개 또는 그 이상의 물리적 인스턴스, 또는 단위 셀의 10개 또는 그 이상의 물리적 인스턴스를 포함하고 있다.
바람직하게는, 단위 셀의 물리적 인스턴스 또는 단위 셀의 복수의 물리적 인스턴스는 계측 장치의 빔 스폿을 일괄적으로 채운다. 이 경우, 측정된 결과는 본질적으로 단위 셀의 물리적 인스턴스 (또는 그의 복수의 인스턴스)로부터의 정보만을 포함하고 있다. 실시예에서, 빔 스폿은 50 미크론 이하, 40 미크론 이하, 30 미크론 이하, 20 미크론 이하, 15 미크론 이하, 10 미크론 이하, 5 미크론 이하, 또는 2 미크론 이하의 횡단면 폭을 갖고 있다.
도 10a의 단위 셀은 기판 상에서 물리적으로 인스턴스화된(instantiated) 또는 인스턴스화될 적어도 2개의 구조체를 포함하고 있다. 제1 구조체(1000)는 라인을 포함하고 있으며 제2 구조체(1005)는 타원형 형상을 포함하고 있다. 물론, 제1 및 제2 구조체(1000, 1005)는 도시된 것과 상이한 구조체일 수 있다.
또한, 이 예에서, 오버레이에서 오차를 갖기 위하여 기판 상으로의 제1 및 제2 구조체의 개별적인 전사로 인하여 예상 위치로부터의 제1 및 제2 구조체(1000, 1005) 간의 상대적인 이동이 있을 수 있다. 이 예에서, 제1 구조체(1000)는 제2 구조체(1005)보다 기판 상의 더 높은 층에 위치된다. 따라서, 실시예에서, 제2 구조체(1005)는 패터닝 공정의 제1 실행에서 제1의 낮은 층에 생성될 수 있으며, 제1 구조체(1000)는 패터닝 공정의 제2 실행에서 제1 하부 층보다 제2의 높은 층에서 생성될 수 있다. 이제, 제1 및 제2 구조체(1000, 1005)가 상이한 층들에 위치될 필요는 없다. 예를 들어, (예를 들어, 일부로서 에칭 공정을 포함하는) 이중 패터닝 공정에서, 제1 및 제2 구조체(1000, 1005)는 동일 층에서 제조되어 본질적으로 단일 패턴을 형성할 수 있지만, 동일 층 내에서의 상대적 배치 측면에서 여전히 "오버레이" 우려가 있을 수 있다. 이 단일 층 예에서, 제1 및 제2 구조체(1000, 1005) 모두는 예를 들어, 제1 구조체(1000)에 대해 도 10a에서 보여지는 것과 같은 라인의 형태를 가질 수 있지만, 제1 패턴 전사 공정에 의하여 기판 상에 이미 제공된 제2 구조체(1005)의 라인은 제1 패턴 전사 공정에 의하여 제공된 구조체(1000)의 라인과 인터리브(interleaved)될 수 있다.
중요하게는, 단위 셀은 축 또는 포인트에 대하여 기하학적 대칭을 갖거나 가질 수 있다. 예를 들어, 도 10a의 단위 셀은 예를 들어 축(1010) 및 포인트에 대한 반사 대칭 및 예를 들어, 포인트(1015)에 대한 포인트/회전 대칭을 갖고 있다. 유사하게, 도 10c의 단위 셀의 물리적 인스턴스 (및 이에 따른 단위 셀의 물리적 인스턴스들의 조합)는 기하학적 대칭을 갖는다는 것을 볼 수 있다.
실시예에서, 단위 셀은 (오버레이와 같은) 특정 피처에 대한 기하학적 대칭을 갖고 있다. 본 명세서에서의 실시예는 기하학적으로 대칭적일 때 0(zero)의 오버레이를 갖는 단위 셀에 초점을 맞추고 있다. 그러나, 대신에, 단위 셀은 특정 기하학적 비대칭에 대해 0의 오버레이를 가질 수 있다. 그러면, 특정 기하학적 비대칭을 갖고 있을 때 0의 오버레이를 갖고 있는 단위 셀을 설명하기 위해 적절한 오프셋 및 계산이 사용될 것이다. 적절하게, 단위 셀은 특정 피처 값에 따라 대칭으로 변화할 수 있어야 한다 (예를 들어, 비대칭이 되거나, 더 비대칭적이 되거나 또는 비대칭적 상황으로부터 대칭적이 되어야 한다).
도 10a의 예에서, 단위 셀은 (0의 오버레이일 필요는 없지만) 0의 오버레이에 대한 기하학적 대칭을 갖는다. 이는 화살표(1020 및 1025)로 나타내어지며, 이는 제1 구조체(1000)의 라인이 제2 구조체(1005)의 타원형 형태에 대하여 균일하게 정렬된다는 것 (그리고 균일한 정렬은 적어도 부분적으로 도 10a에 보여지는 바와 같이 단위 셀이 기하학적 대칭을 가질 수 있게 한다는 것)을 보여주고 있다). 따라서, 이 예에서 단위 셀이 기하학적 대칭을 갖는다면, 0의 오버레이가 있다. 그러나, 오버레이 오차가 있는 경우 (예를 들어, 0이 아닌 오버레이), 단위 셀은 더 이상 기하학적으로 대칭적이 아니며, 정의에 의해 타겟은 더 이상 기하학적으로 대칭적이 아니다.
또한, 타겟이 단위 셀의 복수의 물리적 인스턴스를 포함하는 경우, 단위 셀의 인스턴스는 주기적으로 배치된다. 실시예에서, 단위 셀의 인스턴스는 격자로 배치되어 있다. 실시예에서, 주기적 배열체는 타겟 내에서 기하학적 대칭을 갖는다.
따라서, 이 기법에서, 이후에 더 논의되는 바와 같이, 피처 비대칭 (예를 들어, 0이 아닌 오버레이)을 결정할 수 있도록 관심 대상 피처 비대칭(예를 들어, 0이 아닌 오버레이)에 관한 기하학적 대칭 변화 (예를 들어, 기하학적 비대칭으로의 변화, 또는 기하학적 비대칭으로의 추가 변화, 또는 기하학적 비대칭에서 기하학적 대칭으로의 변화)가 이용된다.
도 10a의 단위 셀의 물리적 인스턴스를 포함하고 있는 타겟은 예를 들어도 7의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 타겟에 의해 재지향된 방사선은, 예를 들어 검출기(190)에 의해 측정될 수 있다. 실시예에서, 재지향된 방사선의 퓨필은 측정되며, 즉 푸리에 변환 평면이다. 이러한 퓨필의 예시적인 측정이 퓨필 이미지(1030)로 도시되어 있다. 퓨필 이미지(1030)는 다이아몬드형 형상을 갖고 있지만, 이러한 형상을 가질 필요는 없다. 본 명세서에서의 용어 퓨필 및 퓨필 평면은 문맥상 달리 요구하지 않는 한 (예를 들어, 특정 광학 시스템의 퓨필 평면이 식별되고 있는 경우) 그의 임의의 켤레(conjugates)를 포함하고 있다. 퓨필 이미지(1030)는 사실상, 재지향된 방사선의 퓨필의 광학 특성 (이 경우에, 세기) 면에서 특정되는 이미지이다.
편의상, 본 명세서의 논의는 관심 대상 광학 특성으로서 세기에 초점을 맞출 것이다. 그러나, 본 명세서 내의 기법은 위상 및/또는 반사율과 같은, 하나 이상의 대안적인 또는 부가적인 광학 특성과 함께 사용될 수 있다.
또한, 편의상, 본 명세서의 논의는 재지향된 방사선의 이미지 및 특히 퓨필 이미지의 검출 및 처리에 초점을 맞춘다. 그러나, 재지향된 방사선의 광학적 특성은 이미지와 다른 방식으로 측정 및 표현될 수 있다. 예를 들어, 재지향된 방사선은 하나 이상의 스펙트럼 (예를 들어, 파장의 함수로서의 세기) 면에서 처리될 수 있다. 따라서, 재지향된 방사선의 검출된 이미지는 재지향된 방사선의 광학적 표현의 예로서 간주될 수 있다. 따라서, 퓨필 평면 이미지의 경우, 퓨필 이미지는 퓨필 표현의 예이다.
또한, 재지향된 방사선은 편광될 수 있거나 비편광될 수 있다. 실시예에서, 측정 빔 방사선은 편광된 방사선이다. 실시예에서, 측정 빔 방사선은 선형적으로 편광된다.
실시예에서, 퓨필 표현은 주로 또는 실질적으로 타겟으로부터의 1 회절 차수의 재지향된 방사선이다. 예를 들어, 방사선은 특정 차수의 방사선의 80% 또는 그 이상, 85% 또는 그 이상, 90% 또는 그 이상, 95% 또는 그 이상, 98% 또는 그 이상 또는 99% 또는 그 이상일 수 있다. 실시예에서, 퓨필 표현은 주로 또는 실질적으로 0차의 재지향된 방사선이다. 이는, 예를 들어, (하나 이상의 더 높은 차수의 방사선이 있을 수 있지만) 타겟의 피치, 측정 방사선의 파장, 및 선택적으로 하나 이상의 다른 조건이 타겟을 주로 0차로 재지향시킬 때 발생할 수 있다. 실시예에서, 퓨필 표현의 대부분은 0차의 재지향된 방사선이다. 실시예에서, 퓨필 표현은 0차 방사선 및 별도로 1차 방사선이며, 이는 그후 선형적으로 조합(중첩(superposition))될 수 있다. 도 7의 개구(186)는 방사선의 특정 차수, 예를 들어 0차를 선택하기 위해 사용될 수 있다.
제1 및 제2 구조체(1000, 1005)의 기하학적으로 대칭적인 단위 셀에 대응하는 퓨필 이미지(1030)를 고려하면, 세기 분포는 (예를 들어, 기하학적 구조체와 동일한 대칭 유형으로) 퓨필 이미지 내에서 본질적으로 대칭적이라는 것을 알 수 있다.  이는 퓨필 이미지(1030)로부터 대칭적인 세기 분포 부분을 제거함으로써 추가로 확인되며, 이는 유도된 퓨필 이미지(1035)를 초래한다. 대칭적인 세기 분포 부분을 제거하기 위해, 특정 퓨필 이미지 픽셀 (예를 들어, 픽셀)은 이 특정 퓨필 이미지 픽셀에서의 세기로부터 대칭적으로 위치된 퓨필 이미지 픽셀의 세기를 차감함으로써, 그리고 그 반대로 제거된 대칭적인 세기 분포 부분을 가질 수 있다. 실시예에서, 픽셀은 검출기 (예를 들어, 검출기(190))의 픽셀에 대응할 수 있지만, 그럴 필요는 없다; 예를 들어, 퓨필 이미지 픽셀은 검출기의 복수의 픽셀일 수 있다. 실시예에서, 픽셀 세기가 차감되는 대칭 지점 또는 축은 단위 셀의 대칭 지점 또는 축에 대응한다. 따라서, 예를 들어 퓨필 이미지(1030)를 고려하면, 대칭 세기 분포 부분은, 예를 들어 도시된 이 특정 픽셀에서의 세기(
Figure 112020024084981-pct00007
)부터 대칭적으로 위치된, 즉 축(1032)에 대해 대칭적으로 위치된 픽셀로부터의 세기(
Figure 112020024084981-pct00008
)를 차감함으로써 제거될 수 있다. 따라서, 대칭적 세기 부분이 제거된 특정 픽셀에서의 세기(Si)는 그러면 Si =
Figure 112020024084981-pct00009
-
Figure 112020024084981-pct00010
이다. 이는 이미지의 복수의 픽셀, 예를 들어 퓨필 이미지의 모든 픽셀에 대해 반복될 수 있다. 유도된 퓨필 이미지(1035)에서 볼 수 있는 바와 같이, 대칭적 단위 셀에 대응하는 세기 분포는 본질적으로 완전히 대칭적이다. 따라서, 대칭적 단위 셀 기하학적 구조 (및 적용 가능한 경우, 단위 셀의 인스턴스의 특정 주기성)를 갖는 대칭적 타겟은 계측 장치에 의해 측정됨에 따라 대칭적 퓨필 응답을 초래한다.
이제 도 10b를 참조하면, 오버레이에서의 오차의 예가 도 10a에 도시된 단위 셀에 대하여 도시되어 있다. 이 경우, 제1 구조체(1000)는 제2 구조체(1005)에 대하여 X-방향으로 시프트(shift)된다. 특히, 제1 구조체(1000)의 라인을 중심으로 하는 축(1010)은 도 10b에서 오른쪽으로 축(1045)으로 시프트되었다. 따라서, X-방향으로 오버레이(1040)에 오차가 있다; 즉, X 방향 오버레이 오차가 있다. 물론, 제2 구조체(1005)는 제1 구조체(1000)에 대해 시프트될 수 있거나 둘 모두 서로에 대해 시프트될 수 있다. 어떤 경우에도, 결과는 X 방향 오버레이 오차이다. 그러나, 이 단위 셀 배열로부터 인식되어야 하는 바와 같이, 제1 구조체(1000)와 제2 구조체(1005) 사이의 Y-방향으로의 순전히 상대적인 시프트는 이 단위 셀의 기하학적 대칭을 변화시키지 않을 것이다. 그러나, 적절한 기하학적 배열로, 아래에서 더 논의될 바와 같이, 두 방향으로의 또는 단위 셀의 부분들의 상이한 조합들 사이의 오버레이는 대칭을 변화시킬 수 있으며 그리고 결정될 수도 있다.
도 10a의 단위 셀의 공칭적인 물리적 구성으로부터의 그리고 오버레이(1040)의 오차로 표시된 단위 셀의 물리적 구성의 변화의 결과로서, 그 결과는 단위 셀이 기하학적으로 비대칭이 된다는 것이다. 이것은 상이한 길이의 화살표(1050 및 1055)에 의해 보여질 수 있으며, 이는 제2 구조체(1005)의 타원형 형상이 제1 구조체(1000)의 라인에 대해 불균일하게 위치되어 있다는 것을 보여준다. 대칭은 퓨필 이미지(1030)의 대칭 포인트 또는 대칭 축, 즉 이 경우 이제 축(1034)으로 보여지는 축(1032)에 대해 검사된다.
도 10b의 단위 셀의 물리적 인스턴스는, 예를 들어 도 7의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 재지향된 방사선의 퓨필 이미지는, 예를 들어 검출기(190)에 의해 기록될 수 있다. 이러한 퓨필 이미지의 예가 퓨필 이미지(1060)로 도시되어 있다. 퓨필 이미지(1060)는 실질적으로 세기(intensity)의 이미지이다. 퓨필 이미지(1060)는 다이아몬드형 형상을 갖지만, 이러한 형상을 가질 필요는 없다; 이는 원형 형상 또는 임의의 형상일 수 있다. 또한, 퓨필 이미지(1060)는 퓨필 이미지(1030)와 실질적으로 동일한 축 또는 좌표 위치를 갖는다. 즉, 이 실시예에서, 도 10a의 단위 셀 내의 대칭 축(1010) 및 도 10b의 단위 셀 내의 동일한 축은 퓨필 이미지(1030, 1060)의 대칭 축(1032)과 정렬된다.
제1 및 제2 구조체(1000, 1005)의 기하학적으로 비대칭적인 단위 셀에 대응하는 퓨필 이미지(1060)를 고려하면, 세기 분포가 퓨필 이미지 내에서 본질적으로 대칭인 것처럼 시각적으로 보인다. 그러나, 퓨필 이미지 내에 비대칭적 세기 분포 부분이 존재한다. 이 비대칭적 세기 분포 부분은 단위 셀의 비대칭에 기인한다. 더욱이, 비대칭적 세기 분포는 퓨필 이미지 내의 대칭적 세기 분포 부분보다 크기가 상당히 낮다.
따라서, 실시예에서, 비대칭적 세기 분포 부분을 보다 효과적으로 격리하기 위해, 대칭적 세기 분포 부분이 퓨필 이미지(1060)로부터 제거될 수 있으며, 이는 유도된 퓨필 이미지(1065)를 야기한다. 유도된 퓨필 이미지(1035)를 얻는 것과 같이, 위에서 논의된 바와 같이, 특정 퓨필 이미지 픽셀 (예를 들어, 픽셀)은 그 특정 퓨필 이미지 픽셀에서의 세기로부터 대칭적으로 위치된 퓨필 이미지 픽셀의 세기를 차감함으로써, 그리고 그 반대로 제거된 대칭적인 세기 분포 부분을 가질 수 있다. 따라서, 예를 들어, 퓨필 이미지(1060)를 고려하면, 대칭 세기 분포 부분은, 예를 들어 도시된 그 특정 픽셀에서의 세기(
Figure 112020024084981-pct00011
)로부터 대칭적으로 위치된, 즉 축(1032)에 대하여 대칭적으로 위치된 픽셀로부터의 세기(
Figure 112020024084981-pct00012
)를 차감함으로써 제거되어 Si를 산출할 수 있다. 이는 퓨필 이미지의 복수의 픽셀, 예를 들어 퓨필 이미지의 모든 픽셀에 대해 반복될 수 있다. 도 10a 및 도 10b에서, Si의 전체 유도 퓨필 이미지가 설명 목적으로 도시되어 있다. 인식될 바와 같이, 도 10a 또는 도 10b의 유도된 퓨필 이미지의 반분은 그의 나머지 반분과 동일하다. 따라서, 실시예에서, 퓨필 이미지의 반분만으로부터의 값은 본 명세서에서 논의된 추가 처리를 위하여 사용될 수 있으며, 따라서 본 명세서에서 추가 처리에 사용되는 유도된 이미지 퓨필은 퓨필에 대한 Si 값의 단지 반분일 수 있다.
유도된 퓨필 이미지(1065)에서 보여지는 바와 같이, 비대칭적 단위 셀의 물리적 인스턴스를 사용하여 측정된 세기 분포는 대칭이 아니다. 영역(1075 및 1080)에서 보여지는 바와 같이, 대칭적 세기 분포 부분이 제거되면 비대칭적 세기 분포 부분이 보인다. 위에서 언급된 바와 같이, 전체 유도된 퓨필 이미지(1065)가 보여지고 있으며, 따라서 (각각의 반분에서의 크기 및 분포의 관점에서 반분들이 서로 동일하더라도) 비대칭적 세기 분포 부분은 양 반분 상에서 보여진다.
따라서, 기하학적 영역(domain)에서의 비대칭은 퓨필에서의 비대칭에 대응한다. 따라서, 실시예에서, 단위 셀의 그의 물리적 인스턴스 내의 고유한 기하학적 대칭을 갖거나 가질 수 있는 주기적 타겟의 광학 응답을 사용하여 단위 셀의 물리적 인스턴스의 기하학적 대칭의 변화를 야기하는 (예를 들어, 비대칭을 야기하거나, 추가 비대칭을 야기하거나, 또는 비대칭적 단위 셀이 대칭적으로 되게 하는) 물리적 구성 변화에 대응하는 매개변수를 결정하는 방법이 제공된다. 특히, 실시예에서, 계측 장치에 의해 측정됨에 따라 퓨필에서의 오버레이 유도 비대칭 (또는 그 부족)은 오버레이를 결정하기 위해 이용될 수 있다. 즉, 퓨필 비대칭은 단위 셀의 물리적 인스턴스 내에서 그리고 따라서 타겟 내에서 오버레이를 측정하는데 사용된다.
단위 셀에서의 기하학적 비대칭을 야기하는 물리적 구성 변화에 대응하는 매개변수를 결정하는 방법을 고려하기 위해, 퓨필 이미지에서 픽셀의 세기가 그 픽셀에 영향을 주는 타겟의 물리적 특성 면에서 고려될 수 있다. 그렇게 하기 위해, 오버레이 예가 고려될 것이지만, 기법 및 원리는 단위 셀에서의 기하학적 비대칭 (예를 들어, 비대칭적 측벽 각도, 비대칭적 최하부 벽 기울기, 콘택 홀의 타원율 등)을 야기하는 물리적 구성 변화에 대응하는 다른 매개변수로 확장될 수 있다.
도 10a 및 도 10b의 단위 셀을 다시 참조하면, 퓨필 이미지(1060) 내의 픽셀의 세기(
Figure 112020024084981-pct00013
,
Figure 112020024084981-pct00014
)는 단위 셀의 상이한 물리적 특성에 기인하는 세기 성분의 조합으로서 분석적으로 평가될 수 있다. 특히, 대칭적 단위 셀에서 비대칭적 단위 셀로의 물리적 구성 변화는 어떤 방식으로 세기 분포가 변경되고 특히 퓨필 이미지 내에서 변화하는지를 결정하기 위해 평가될 수 있다.
따라서 원리를 설명하기 위한 매우 간단한 예에서, 단위 셀 프로파일의 물리적 구성의 몇 가지 변화가 평가될 수 있다 (물론 더 많거나 상이한 물리적 구성 변화가 발생할 수 있다). 고려될 물리적 구성 변화들 중 하나는 Z 방향으로의 구조체(1000)의 높이 변화이며, 이는
Figure 112020024084981-pct00015
로 지정된다. 그러나 상당하게는, 이 높이 변화는 일반적으로 단위 셀의 물리적 인스턴스에 걸쳐 균일할 것이다. 즉,
Figure 112020024084981-pct00016
는 대칭 축 또는 포인트의 다른 측면에서와 같이 대칭 축 또는 포인트의 한 측면에서의 단위 셀의 동일한 변화된 물리적 구성을 야기할 것이다. 유사하게, CD, 측벽 각도 등의 변화와 같은 다른 물리적 구성 변화는 또한 일반적으로 단위 셀의 물리적 인스턴스에 걸쳐 균일할 것이며 따라서 대칭 축 또는 포인트의 다른 측면에서와 같이 대칭 축 또는 포인트의 한 측면에서의 단위 셀의 동일한 변화된 물리적 구성을 산출할 것이다. 따라서 편의상
Figure 112020024084981-pct00017
만이 고려될 것이지만, 이는 단위 셀에 걸쳐 균일한 많은 다른 물리적 구성 변경을 나타낸다.
관심 대상 단위 셀의 물리적 구성 변화 중 다른 하나는 구조체(1000)와 구조체(1005) 사이의 상대적 시프트, 즉 오버레이(1040)의 변화이다. 이 오버레이 쉬프트는
Figure 112020024084981-pct00018
로서 지칭될 것이다. 물론, 오버레이는 상이한 또는 부가적인 방향으로 고려될 수 있다. 중요하게도,
Figure 112020024084981-pct00019
는 대칭 축 또는 포인트의 다른 측면과는 대칭 축 또는 포인트의 한 측면에서의 단위 셀의 상이한 변화된 물리적 구성을 초래할 것이다; 각 대칭적 픽셀 쌍은 오버레이에 관한 정보를 갖고 있다. 중요하게도, 대부분의 타겟 프로파일 매개변수 (CD, 높이 등)의 변화는 퓨필에서 대칭적 변화를 유발 (따라서 대칭적 매개변수로 간주될 수 있다)하는 반면에, 오버레이 변화는 측정된 퓨필에서의 비대칭적 변화를 초래한다. 따라서 오버레이의 변화는 비대칭적 퓨필 응답을 제공한다. 또한, 전부는 아니지만, 대부분의 다른 단위 셀 프로파일 매개변수는 단위 셀 또는 퓨필 응답의 비대칭을 생성하지 않는다. 그러나 이들은 측정된 오버레이 값에 영향을 줄 수 있다. 아래에서 논의되는 바와 같이, 1차에 대하여, 다른 단위 셀 프로파일 매개변수는 영향을 미치지 않을 수 있다. 실시예에서, 2차 이상의 차수에 대하여 다른 단위 셀 프로파일 매개변수는 오버레이 값의 결정에 영향을 미친다. 따라서, 아래에서 더 상세히 논의되는 바와 같이, 퓨필 비대칭을 측정함으로써, 오버레이가 그로부터 결정될 수 있다.
구체적으로, 측정된 퓨필 비대칭으로부터 오버레이가 어떻게 결정될 수 있는지 평가하기 위해, 퓨필 이미지(1060) 내의 픽셀(i)의 세기(
Figure 112020024084981-pct00020
)는 다음과 같이 정의될 수 있다:
Figure 112020024084981-pct00021
여기서
Figure 112020024084981-pct00022
은 조명 방사선에 기인하는 기본 세기이며, a, e, f 및 g는 계수이다. 따라서 이와 유사하게, 퓨필 이미지(1060)에서 상보적인 대칭적 픽셀의 세기(
Figure 112020024084981-pct00023
)는 다음과 같이 정의될 수 있다:
Figure 112020024084981-pct00024
여기서, 계수 a'', b', c', d', e' 및 f'는 상보적인 대칭적 픽셀의 세기(
Figure 112020024084981-pct00025
)에 고유하며, 퓨필 이미지(1060) 내의 픽셀의 세기(
Figure 112020024084981-pct00026
)에 대한 계수 a, b, c, d, e 및 f와 관련이 있다.
그러면 퓨필 이미지(1060)의 대칭적 픽셀들 간의 세기의 차이(
Figure 112020024084981-pct00027
)는 다음과 같이 평가될 수 있다:
Figure 112020024084981-pct00028
예를 들어, 대칭으로 인하여
Figure 112020024084981-pct00029
와 같은 대칭적 매개변수만을 포함할 수 있는 모든 항(terms)은 수학식 3에서 보여지는 바와 같이 제거되는 것으로 알려졌다. 또한, 예를 들어, 대칭으로 인하여, 오버레이의 짝수 제곱 항은 대칭적으로 위치된 픽셀에 대해 동일한 것으로 알려졌으며 따라서
Figure 112020024084981-pct00030
와 같은 항은 마찬가지로 제거된다. 이는 대칭적 매개변수와의 오버레이의 조합을 갖는 항 및 홀수 제곱 (예를 들어, 1, 3, 5, 7, 등의 제곱)에 대한 오버레이를 갖는 항을 남긴다.
수학식 3에서, 세기의 차이(
Figure 112020024084981-pct00031
)는 주로
Figure 112020024084981-pct00032
에 의존한다는 것이 알려졌다. 즉, 세기의 차이(
Figure 112020024084981-pct00033
)는 상당 부분 오버레이에 선형적으로 의존하거나 보다 중요하게는, 오버레이는 상당 부분 세기, 구체적으로 세기의 차이(
Figure 112020024084981-pct00034
)에 선형적으로 의존한다. 따라서, 픽셀의 세기의 조합은 적절한 변환 계수와 선형으로 조합될 때 오버레이의 양호한 추정값을 산출할 수 있다.
따라서, 실시예에서, 오버레이가 적절하게 가중된 픽셀들의 세기의 조합으로부터 결정될 수 있다는 것이 알려졌다 (여기서, 가중치 자체는 오버레이에 대한 세기의 변환 계수로 작용하거나 세기에서 오버레이까지 변환 계수와 조합될 수 있다). 실시예에서, 오버레이 신호는 다음과 같이 설명될 수 있다:
Figure 112020024084981-pct00035
여기서, 오버레이 신호(M)는 측정된 퓨필에서 신호 성분(Si)의 가중된 조합이며
Figure 112020024084981-pct00036
는 신호 성분(Si) 각각에 대한 각각의 가중치이다 (그리고 가중치는 신호 성분과 오버레이 사이의 변환 계수로서의 역할을 한다; 위에서 언급된 바와 같이, 대신 변환 계수는 오버레이로 변환시키는 작용을 하지 않는 가중치와 조합되어 사용될 수 없다). 실시예에서, 가중치(
Figure 112020024084981-pct00037
)는 그 크기가 오버레이와 관련된 벡터이다. 위에서 언급된 바와 같이, 신호 성분(Si)은 측정된 퓨필의 반분에 대해 결정될 수 있다. 실시예에서, 신호 성분(Si)이 모든 쌍(N/2)의 대칭적 픽셀(N)에 대해 실질적으로 동일한 크기를 갖는다면, 그러면 신호 성분(Si)은 다음 식에 따라 평균화되고 신호 성분(Si) 전체로부터 오버레이까지 변환 계수(C)와 조합되어 전체의 오버레이를 산출한다:
Figure 112020024084981-pct00038
. 따라서, 실시예에서, 가중치는 두 가지 역할을 가질 수 있다-하나는 그의 오버레이의 측정에 대한 픽셀 쌍당 트러스트(trust)로서의 역할이며, 다른 역할은 신호 성분의 광학 특성의 값 (예를 들어, 세기 레벨, 예를 들어 그레이 레벨(gray level))을 오버레이 값(예를 들어, 나노미터의 단위로)으로 변환시키는 것이다. 위에서 논의된 바와 같이, 제2 역할은 변환 계수에 맡겨질 수 있다.
그러나, 예를 들어, 신호 성분(Si)이 모든 쌍의 대칭적 픽셀에 대해 실질적으로 동일한 크기를 갖지 않는 경우, 측정된 퓨필의 모든 픽셀을 동일하게 가중시키는 것은 낮은 신호-대-잡음비 (열악한 정밀도)를 초래할 수 있다. 따라서, 오버레이의 계산에 더 크게 기여하기 위해 오버레이에 민감한 이들 픽셀을 가중시키는 것이 바람직하다. 따라서, 실시예에서, 오버레이에 민감한 픽셀은 오버레이에 대한 낮은 민감도를 갖는 픽셀 (실질적으로 비활성 픽셀)과는 상이한 (예를 들어, 더 높은) 가중치를 갖는다. 위에서 언급된 바와 같이, 유도된 퓨필(1065)의 영역(1075 및 1080) 내의 픽셀은 오버레이에 대해 상대적으로 더 높은 민감도를 갖고 있는 반면, 영역(1075 및 1080) 내의 픽셀에 대하여 낮은 세기를 갖는 또는 세기를 갖지 않은, 유도된 퓨필(1065)의 나머지 픽셀은 오버레이에 대해 낮은 민감도를 갖는다 (따라서 오버레이 결정에 더 낮은 기여도를 갖도록 가중되어야 한다).
실시예에서, 가중치는 수학식 3의
Figure 112020024084981-pct00039
 항에 대해서 효과적으로 결정된다. 실시예에서, 가중치는
Figure 112020024084981-pct00040
항뿐만 아니라
Figure 112020024084981-pct00041
항 (및 전형적으로 CD, 측벽 각도 등과 같은 다른 매개변수에 대한 다른 비교 가능한 항)에 대해 결정되도록 확장될 수 있다. 그러나, 이 계산은 수학식 3의
Figure 112020024084981-pct00042
항에 대해서만 효과적으로 가중치를 결정하는 것보다 더 복잡할 수 있다. 또한, (대칭적인 매개변수를 위한) 비선형 공정에 대한 견실성과 오버레이 결정의 정밀도 (즉, 결정된 값이 동일한 실제 오버레이의 각 결정에 대해 얼마나 가까운 지에 관하여) 간에는 상충 관계(tradeoff)가 존재한다. 따라서 이 계산을 사용하여 견실성을 향상시키기 위해 정밀도의 희생이 있을 수 있다. 따라서, 정밀도를 향상시키고 (예를 들어, 일차항의 영향을 최대화하고 비선형 항을 억제함) 견실성을 향상시키기 위하여 (예를 들어, 비선형 항을 최대화함) 또는 양자의 밸랜스(balance)를 찾기 위해 최적화가 수행될 수 있다. 그러나 어떠한 경우에도, 관련 가중치와 선형으로 조합된 세기의 조합의 사용은 단지 퓨필 획득과 수학식 4의 간단한 계산을 필요로 하기 때문에 오버레이의 신속한 결정으로 이어질 수 있다.
실시예에서, 더 높은 차수 항이 중요해지는 경우,
Figure 112020024084981-pct00043
및/또는 다른 고차항을 갖는 수학식 3을 풀기 위해 비선형 해결 기법이 채택될 수 있다. 인식될 바와 같이, 비선형 해결 기법은 측정된 퓨필 내의 각 신호 성분(Si)을 각 신호 성분(Si)에 대한 각각의 가중치(
Figure 112020024084981-pct00044
)로 간단히 곱하고 그후 이들 모두를 더하는 것보다 더 복잡할 수 있다. 더욱이, 비선형 공정에 대한 견실성과 오버레이 결정의 정밀도 (즉, 결정된 값이 동일한 실제 오버레이의 각 결정에 대해 얼마나 가까운 지에 관하여) 간에는 다시 상충관계가 존재한다. 따라서 이 계산을 사용하여 견실성을 향상시키기 위해 정밀도의 희생이 있을 수 있다. 따라서, 정밀도를 향상시키고 견실성을 향상시키기 위하여 최적화가 수행될 수 있다.
따라서, 오버레이에 의해 야기된 단위 셀의 기하학적 비대칭으로부터 발생하는 비대칭적 세기 분포의 실현으로, 오버레이에서의 오차는 이 비대칭적 세기 분포에 초점을 둔 분석을 통해 결정될 수 있다. 따라서, 오버레이와 관련된 타겟의 물리적 구성의 변화로 인하여 발생하는 비대칭적 세기 분포로부터 오버레이를 결정하는 기법이 이제 논의될 것이다.
도 11을 참조하면, 가중치를 결정하는 방법이 개략적으로 도시되어있다. 가중치 결정을 가능하게 하기 위하여, 도 9와 관련하여 위에서 설명된 재구성 기법이 유리하게 사용될 것이다. 즉, 실시예에서, CD 재구성은 비대칭적 단위 셀의 물리적 인스턴스의 퓨필 이미지로부터 오버레이 신호를 분리하기 위해 사용된다.
도 11의 방법은 2개의 공정을 포함하고 있다. 제1 공정(1100)은 패터닝 공정의 일부로서 기판 상에서 노광됨에 따라 타겟의 (그리고 따라서 그 안의 단위 셀의 하나 이상의 물리적 인스턴스의) 공칭 프로파일을 유도하기 위해 CD 및/또는 타겟의 하나 이상의 다른 프로파일 매개변수에 대한 재구성 기법을 이용하는 것을 포함하고 있다. 타겟의 공칭 프로파일로, 재구성 기법의 기본 엔진이 가중치를 유도하기 위해 공정(1110)에서 사용된다. 가중치는 그후 도 12와 관련하여 더 설명된 바와 같이 측정된 퓨필로부터 오버레이를 유도하기 위해 사용될 수 있다.
따라서, 공정(1100)에서, 타겟으로서 기판 상에 제공된 관심 대상 단위 셀의 하나 이상의 물리적 인스턴스를 갖는 기판의 측정(1130)이 획득된다. 실시예에서, 측정은 에칭 후 타겟에 대한 것이다. 실시예에서, 측정은 현상 후 그러나 에칭 전에 타겟에 대한 것이다. 실시예에서, 타겟은 디바이스 구조체이다. 실시예에서, 측정은 도 7의 계측 장치와 같은 계측 장치를 사용하여 이루어질 수 있거나 이루어졌다. 예를 들어, 타겟은 도 10a 또는 도 10b의 단위 셀의 물리적 인스턴스, 예를 들어 단일 인스턴스 또는 도 10c에 보여지는 바와 같은 복수의 인접 인스턴스를 포함할 수 있다. 실시예에서, 타겟의 복수의 인스턴스의 (및 따라서 단위 셀의 복수의 물리적 인스턴스)의 측정이 획득된다. 실시예에서, 측정은 기판에 걸쳐 분포된 타겟 인스턴스에 대한 것이다. 실시예에서, 각각이 하나 이상의 타겟 인스턴스 (각각이 단위 셀의 하나 이상의 물리적 인스턴스를 갖는다)를 갖는 복수의 기판이 측정된다. 따라서, 실시예에서, 각 측정된 타겟에 대해 방사선 분포(108)가 획득된다.
그 후, 도 9에서 그리고 도 9와 관하여 설명된 재구성 공정과 같은, 1100에서의 재구성 공정은 도 9의 프로파일(206)과 비교할 수 있는, 단위 셀의 물리적 인스턴스의 공칭 프로파일을 유도하기 위해 사용된다. 재구성 공정은 재구성 공정을 시작하고 용이하게 하기 위해 단위 셀의 물리적 인스턴스의 예상 프로파일(1120)을 획득한다. 실시예에서, 유도된 공칭 프로파일은 하나 이상의 기판에 걸친 타겟 인스턴스의 프로파일의 평균으로부터 획득된다. 예를 들어, 각각의 타겟에 대한 방사선 분포(108)는 처리되어 타겟의 그 인스턴스의 특정 프로파일을 유도할 수 있으며, 그 후 타겟의 복수의 인스턴스에 대한 프로파일들이 함께 평균화되어 공칭 프로파일을 도출할 수 있다. 실시예에서, 공칭 프로파일은 적어도 타겟의 기하학적 프로파일을 포함하고 있다. 실시예에서, 기하학적 프로파일은 3-D 프로파일이다. 실시예에서, 공칭 프로파일은 물리적 타겟을 구성하는 하나 이상의 층의 하나 이상의 물질 특성에 관한 정보를 포함하고 있다.
따라서, 실시예에서, 공칭 프로파일은 기판에 걸쳐 그리고 선택적으로 하나 이상의 기판 상에서 타겟의 복수의 인스턴스를 측정함으로써 획득된 타겟 (및 따라서 단위 셀)의 프로파일의 다양한 매개변수의 값에 대한 무게 중심으로 간주될 수 있다. 그러나, 실시예에서, 공칭 프로파일은 상이한 형태를 가질 수 있으며 더 구체적일 수 있다. 예를 들어, 공칭 프로파일은 (예를 들어, 복수의 기판으로부터 동일한 타겟 위치(들)로부터의 값을 사용함으로써) 타겟의 하나 이상의 특정 인스턴스에 대해 한정될 수 있다. 다른 예로서, 공칭 프로파일은 (예를 들어, 특정 기판만으로부터의 값을 사용함으로써) 특정 기판에 대해 한정될 수 있다. 실시예에서, 공칭 프로파일은 도 12의 공정의 일부로서 특정 타겟 및/또는 기판에 대해 조정될 수 있다. 예를 들어, 타겟 및/또는 기판이 도 12의 공정의 일부로서 측정될 때, 재구성 기법이 측정된 데이터와 함께 사용되어 그 타겟 및/또는 기판에 대한 공칭 프로파일을 미세 조정할 수 있으며, 미세 조정된 공칭 프로파일은 그후 본 명세서에서 공칭 프로파일로 사용되어 가중치를 결정할 수 있고, 그 가중치는 그후 동일한 측정 데이터와 함께 사용되어 하나 이상의 오버레이 값을 산출할 수 있다.
이후 재구성된 공칭 프로파일(1140)이 공정(1110)에 제공된다. 따라서, 실시예에서, 공정(1110)은 타겟의 유도된 공칭 프로파일, 예를 들어 측정된 데이터로부터 유도된 디바이스의 단위 셀의 기하학적 에칭 후 프로파일을 이용한다. 실시예에서, 공칭 프로파일은, 측정된 단위 셀에 따라 매개변수화된 모델(206)과 같은 매개변수화된 모델의 형태일 수 있다. 따라서, 실시예에서, 공정(1110)은 단위 셀의 유도된 프로파일 모델, 예를 들어 측정된 데이터로부터 유도된 디바이스의 단위 셀의 물리적 인스턴스의 기하학적 에칭 후 프로파일의 모델을 사용한다.
본 명세서에 설명된 재구성 기법의 기본 엔진은 유도된 프로파일 또는 유도된 프로파일 모델과 함께 공정(1110)에서 사용되어 가중치를 유도한다. 실시예에서, 유도된 프로파일 모델 또는 유도된 프로파일로부터 유도된 프로파일 모델은 단위 셀에서의 오버레이에 민감한 퓨필 픽셀을 결정하는데 사용된다. 특히, 실시예에서, 퓨필 응답의 오버레이에 대한 민감도는 시뮬레이션 (예를 들어, 맥스웰 솔버)을 사용함으로써 결정되어 공칭 프로파일에 대한 오버레이의 유도된 변화에 대한 퓨필 응답의 변화를 결정한다.
이는 유도된 프로파일 모델의 모든 다른 매개변수/변수가 변하지 않은 채로, 특정 양의 오버레이 변화 (예를 들어, 1 ㎚)가 모델에서 유도되도록 유도된 프로파일 모델이 변경되게 함으로써 달성될 수 있다. 이는 효과적으로 대칭적 단위 셀이 비대칭적이 되게 하거나 이미 비대칭적인 단위 셀을 대칭적이 될 수 있게 하여 대칭을 변화 (더 비대칭적이 되게 하거나 비대칭적 상황으로부터 대칭적으로 되게 하는 것을 포함)시킨다.
(예를 들어, 특정 측정 빔 파장, 측정 빔 편광, 측정 빔 세기 등에서의 방사선에 대해) 계측 장치에서 예상될 퓨필은 그후 유도된 오버레이 변화를 갖는 유도된 프로파일 모델에 기초하여 (예를 들어, 맥스웰 솔버, 라이브러리 검색 또는 다른 재구성 기법을 이용하여) 유도될 수 있다. 단위 셀의 물리적 인스턴스가 빔 스폿보다 작은 경우, 재구성은 빔 스폿을 단위 셀의 물리적 인스턴스로 채워지는 것으로 취급할 수 있다. 실시예에서, 유도된 퓨필은 시뮬레이션된 퓨필 이미지(1060) 및/또는 시뮬레이션된 퓨필 이미지를 기반으로 하는 유도된 퓨필 이미지(1065)일 수 있다.
유도된 퓨필은 그후, 예를 들어 유도된 오버레이가 없는 단위 셀에 대한 유도된 퓨필과의 비교에 의하여 오버레이 변화에 대한 복수의 퓨필 픽셀 내의 세기의 민감도를 결정하는데 사용될 수 있다 (예를 들어, 유도된 오버레이가 없는 단위 셀에 대한 유도된 퓨필은 시뮬레이션된 퓨필 이미지(1030) 및/또는 시뮬레이션된 퓨필 이미지를 기반으로 하는 유도된 퓨필 이미지(1035)일 수 있다). 실시예에서, 이 민감도는 가중치의 기초를 형성한다.
실시예에서, 퓨필의 픽셀 (및 따라서 픽셀 세기, 신호 성분(Si) 등)은 벡터로 표현될 수 있다. 실시예에서, 가중치는 모델링에서 생성된 야코비안 매트릭스(Jacobian Matrix)로부터 유도될 수 있다. 실시예에서, 가중치는 모델링에서 생성된 야코비안 매트릭스의 무어-펜로즈 의사 역행렬 (Moore-Penrose pseudo inverse)로부터 유도될 수 있다. 따라서 가중치는 수학식 3의
Figure 112020024084981-pct00045
항에 대해 효과적으로 결정된다. 야코비안 매트릭스 또는 야코비안 매트릭스의 무어-펜로즈 의사 역행렬로부터 유도된 가중치는 비교적 적당한 오버레이 변화 (예를 들어, ± 3 ㎚ 내 또는 ± 4 ㎚ 내 또는 ± 5 ㎚ 내)에 잘 적용되는 것으로 보인다.
실시예에서, 가중치는
Figure 112020024084981-pct00046
항 및
Figure 112020024084981-pct00047
(그리고 통상적으로 다른 매개변수, 예를 들어 CD, 측벽 각도 등에 대한 다른 비교할만한 항)에 대해서 결정되도록 확장될 수 있다. 이 경우, 가중치는 야코비안 매트릭스에 더하여, 모델링 중에 생성된 헤시안(Hessian) 매트릭스이거나 헤시안 매트릭스로부터 유도될 수 있다. 헤시안은 오버레이에 대한 응답이 특정량의 다른 (대칭적) 매개변수 (예를 들어, CD)의 변화에 기인하여 어떻게 변하는지를 보여준다. 따라서, 이러한 매개변수 모두에 대하여 헤시안에는 열(column)이 존재한다. 실시예에서, (더) 견실해지기 위하여, 단위 셀이 민감한 열(column) (매개변수)에 더 직교하도록 가중치가 변경될 수 있다. 더 직교하기 위하여, 하나 이상의 민감한 열(column)이 야코비안에 연쇄(concatenate)될 수 있으며, 그러면 무어-펜로즈 의사 역행렬이 헤시안으로부터의 하나 이상의 열이 연쇄된 이 야코비안으로부터 계산될 수 있다. 이러한 계산으로부터 가중치가 나온다. 그러나, 이러한 계산은 더 복잡할 수 있고, 따라서 오버레이 값이 실제로 오버레이 변동 범위를 초과할 것으로 예상되는 상황에 대해서 적합할 수 있으며, 그에 대해서 야코비안 매트릭스(의 무어-펜로즈 의사 역행렬)로부터 유도된 가중치는 양호한 결과를 보여준다.
일 실시예에서, 가중치는 수학식 3의 다른 항에 대해서 결정되도록 확장될 수 있다. 그 경우에, 가중치는 야코비안 매트릭스에 더하여 모델링 중에 생성된 3차 도함수이거나 3차 도함수로부터 유도될 수 있다
위에서 언급된 바와 같이, 공칭 프로파일은 타겟 또는 기판마다의 미세 조정된 공칭 프로파일 수 있다. 예를 들어, 특정 타겟 또는 기판이 도 12의 공정의 일부로서 측정되는 경우, 그 타겟 또는 기판에 대한 공칭 프로파일을 미세 조정하기 위해 재구성 기법이 측정된 데이터와 함께 사용될 수 있다. 이제, 미세 조정에 따라, 가중치가 (재-)결정될 수 있으며 및/또는 이루어지는 가중의 유형 (예를 들어, 야코비안 또는 야코비안과 헤시안의 조합) 사이에서 선택이 이루어질 수 있다. 예를 들어, 미세 조정되지 않았던 공칭 프로파일에 기초한 가중치가
Figure 112020024084981-pct00048
 의 효과를 억제하기 위해서 사전에 선택되었을 수 있지만, 미세 조정이 그 타겟 및/또는 기판에 대해서
Figure 112020024084981-pct00049
 를 식별하고 업데이트하면,
Figure 112020024084981-pct00050
 의 효과는 억제될 필요가 없을 수도 있다. 따라서, 견실성보다 정밀도를 더 선호하는 가중치가 선택될 수 있다
따라서, 공정(1110)으로부터, 가중치(
Figure 112020024084981-pct00051
) 의 컬렉션(collection; 예를 들어, 벡터)이 출력될 수 있다. 가중치(
Figure 112020024084981-pct00052
) 자체는 세기 대 오버레이의 변환 계수로서의 역할을 할 수 있으며, 또는 가중치는 세기 대 오버레이의 변환 계수와 조합될 수 있다 (이 변환 계수는 동일 모델링의 일부로서 유도될 수 있다). 퓨필 이미지(1065)로부터 인식될 바와 같이, 영역(1075 및 1080) 내의 픽셀들은 영역(1075 및 1080) 밖의 픽셀보다 오버레이에 대해 상대적으로 더 높은 민감도를 갖고 있으며, 따라서 이들의 가중치는 영역(1075 및 1080) 밖의 픽셀 (이 픽셀은 오버레이에 대해 상대적으로 낮은 민감도를 갖는다)의 가중치와 현저히 상이할 (예를 들어, 더 높을) 것이다. 따라서 가중치가 단위 셀의 하나 이상의 물리적 인스턴스를 갖는 타겟의 측정된 세기 값과 (예를 들어, 수학식 4 에 따라서) 조합될 때, 오버레이 신호가 (단위 셀의 물리적 인스턴스를 가지는 디바이스 패턴과 같은) 특정 타겟에 대해서 획득될 수 있다.
더 나아가, 하나 이상의 측정 매개변수가 결정되어 타겟의 측정된 세기 값을 획득할 때에 사용하기 위한 측정 전략을 형성할 수 있다. 하나 이상의 측정 매개변수는 픽셀의 오버레이 민감도에 영향을 줄 수 있다. 예를 들어, 오버레이 민감도는 상이한 측정 빔 파장에 걸쳐 달라진다. 따라서, 실시예에서, (파장, 편광, 선량, 타겟의 한 특정 조명의 검출기 센서에 의해 취해진 복수의 광학 특성 판독치 (이 판독치는 통상적으로 평균화되어 타겟의 측정에 대한 평균화된 광학 특성 값을 제공한다)와 같은) 하나 이상의 측정 매개변수가 모델링 공정(1110)의 일부로서 달라질 수 있다. 예를 들어, 하나 이상의 측정 매개변수가 특정한 유도된 오버레이 변화에 대해서 조사되어 예를 들어 가중치가 하나 이상의 매개변수의 하나의 값에 대한 것일 경우에 획득된 오버레이와 가중치가 하나 이상의 매개변수의 다른 값에 대한 것일 경우에 획득된 오버레이 사이의 오차 잔차(error residual)를 최소값으로 또는 특정 임계치 아래로 감소시킬 수 있다. 따라서, 정밀도를 개선하는 하나 이상의 측정 매개변수의 값이 그후 획득될 수 있다.
더 나아가, 공정 변화에 대한 견실성은 하나 이상의 측정 매개변수의 상이한 값에 걸쳐 다르다. 예를 들어, 특히 공정 변화에 대한 견실성은 측정 빔 파장 및/또는 측정 편광의 상이한 값에 걸쳐 다르다. 따라서, 실시예에서, 가중 방식(weighting scheme)은 공정 변화에 대한 견실성의 부족에 대한 적어도 주요 기여 인자를 다루어야 한다. 따라서 개선된 정밀도에 대한 하나 이상의 측정 매개변수의 값을 결정하는 것에 부가적으로 또는 대안적으로, 하나 이상의 측정 매개변수는 상이한 특정하게 유도된 오버레이 변화 값에 대하여 (및/또는 예를 들어 CD, 측벽 각도 등의 변화와 같은, 유도된 프로파일 모델의 하나 이상의 다른 매개변수의 특정하게 유도된 변화에 대하여) 검사되어 공정 변화에 대한 향상된 견실성을 갖는 가중치를 사용한 결과를 나오게 할 수 있는 하나 이상의 측정 매개변수의 값을 획득할 수 있다. 예를 들어, 상이한 양의 유도된 오버레이 변화에 대하여, 하나 이상의 측정 매개변수의 다양한 값이 평가되어 결정된 오버레이의 최소 (또는 임계치 아래의) 변화를 하나 이상의 측정 매개변수의 값과 연관된 가중치를 사용하여 야기하는 하나 이상의 측정 매개변수의 값을 결정할 수 있다. 물론, 정밀도와 향상된 견실성 사이에서의 하나 이상의 측정 매개변수의 값의 선택시 밸런스(balance)가 사용될 수 있다. 예를 들어, 정밀도에 대해서 결정된 하나 이상의 측정 매개변수의 값 (예를 들어, 정밀도를 측정하는 성능 메트릭(performance metrics)에 적용된 가중치)과 향상된 견실성에 대해서 결정된 하나 이상의 측정 매개변수의 값 (예를 들어, 견실성을 측정하는 성능 메트릭에 적용된 가중치) 사이에 가중치가 적용될 수 있으며, 그러면 가장 크고 가장 높은 순위 등의 조합이 선택될 수 있다. 그리고 물론, 전체 측정 전략에 사실상 복수의 상이한 측정 전략이 존재하도록 하나 이상의 측정 매개변수의 복수의 값이 결정될 수 있다. 복수의 값은 하나 이상의 성능 메트릭에 따라서 순위가 정해질 수 있다. 따라서, 선택적으로, 단위 셀의 하나 이상의 물리적 인스턴스를 갖고 있는 타겟의 측정된 세기 값을 획득하는데 사용하기 위하여 측정 전략이 공정(1110)으로부터 출력될 수 있다.
더 나아가, CD, 측벽 각도 등과 같은 하나 이상의 비-오버레이 매개변수는 세기 신호를 오버레이로 매핑하기 위해 사용되는 가중치에 영향을 줄 수 있다. 위에서 언급된 바와 같이, 이 상황에서 가중치를 결정하는 예시적인 방식은 헤시안 매트릭스 및/또는 3차 도함수를 사용하는 것이다. 따라서, 실시예에서, 양호한 오버레이 값을 계속 유지하기 위하여 다양한 가능한 가중 방식이 하나 이상의 비-오버레이 매개변수를 고려하는 것이 가능하다. 실시예에서, 오버레이 정보 (overlay informative) 오버레이 픽셀 및 그의 가중치는 오버레이 결정 정밀도에 대해서 최적화될 수 있다. 이는 양호한 모델 품질, 즉, 비-오버레이 매개변수의 양호한 추정을 요구할 수 있다. 실시예에서, 오버레이 정보 픽셀 및 그들의 가중치는, 비-오버레이 매개변수에서와 같이 변화를 처리하기 위해 증가된 견실성을 위하여 최적화될 수 있다. 이는 정밀도를 희생하는 것일 수 있다.
실시예에서, 하나 이상의 비-오버레이 매개변수의 추정은, 예를 들어 도 9와 관련하여 설명된 재구성 기법을 사용하여 이루어지고 피드-포워드되어(fed-forward) 유도된 프로파일 또는 유도된 프로파일 모델을 조정할 수 있다. 예를 들어, CD 재구성은 기판에서의 특정 위치에 있는 타겟의 CD 및/또는 패터닝 공정 셋팅(setting) (예를 들어, 노광 선량, 노광 초점 등)의 특정 조합에 대한 CD를 추정하고 그 CD 추정을 사용하여 유도된 프로파일 또는 유도된 프로파일 모델의 CD 매개변수를 조정할 수 있다. 실시예에서, 정확한 유도 프로파일 또는 유도된 프로파일 모델 매개변수의 반복적 재구성이 수행될 수 있다.
도 12를 참조하면, 기하학적으로 대칭적일 수 있는 단위 셀의 하나 이상의 물리적 인스턴스를 갖는 타겟에 대한 오버레이 값을 결정하는 방법을 도시하고 있다. 이 방법은 두 가지 공정(1200 및 1210)을 수반한다. 공정(1200)은 단위 셀의 하나 이상의 물리적 인스턴스를 갖는 타겟의 측정을 획득하는 것을 포함하고 있다. 공정(1210)은 공정(1200)으로부터의 타겟의 측정에 기초하여, 측정된 타겟에 대한 오버레이 값을 결정하는 것을 포함하고 있다
공정(1200)은 기하학적으로 대칭일 수 있는, 본 명세서에서 설명된 바와 같은 단위 셀의 하나 이상의 물리적 인스턴스를 포함하는 측정될 타겟(1220)을 입력으로서 취한다. 실시예에서, 타겟의 하나 이상의 인스턴스를 갖는 기판이, 도 7의 계측 장치와 같은 계측 장치에 제공된다.
선택적으로, 공정(1200)은 타겟에 대해서 특정된 특정 측정 전략(1230)을 입력으로서 취한다. 실시예에서, 측정 전략은 측정 빔 파장, 측정 빔 편광, 측정 빔 선량, 및/또는 타겟의 하나의 특정한 조명의 계측 장치의 검출기 센서에 의해 취해진 복수의 광학 특성 판독치;에서 선택된 하나 이상과 같은, 하나 이상의 측정 매개변수의 값을 특정할 수 있다. 실시예에서, 측정 전략은 하나 이상의 측정 매개변수의 값을 각각 특정하는 복수의 측정 전략을 포함할 수 있다. 측정 전략은 타겟을 측정하기 위하여 사용될 수 있다.
공정(1200)은 그후 선택적인 측정 전략에 따라서 계측 장치를 사용하여 타겟을 측정한다. 실시예에서, 계측 장치는 재지향된 방사선의 퓨필 표현을 획득한다. 실시예에서, 계측 장치는 (예를 들어, 타겟이 오버레이에 오차를 갖고 있지 않다면) 퓨필 이미지(1030) 또는 (예를 들어 타겟이 오버레이에 오차를 갖고 있다면) 퓨필 이미지(1060)와 같은 퓨필 표현을 생성할 수 있다. 따라서, 실시예에서, 공정(1200)은 방사선의 퓨필 표현과 같은, 타겟으로부터의 재지향된 방사선에 관한 광학 정보(1240)를 출력한다.
그후 공정(1210)은 광학 정보(1240)를 수신하고, 광학 정보를 처리하여 타겟에 대한 오버레이 값(1260)을 결정한다. 실시예에서, 공정(1210)은 입력으로서 도 11의 방법에서 결정된 가중치(1250)를 받으며, 가중치는 그후 광학 정보(1240)로부터 획득 또는 유도된 하나 이상의 광학 특성 값(예를 들어, 세기)과 조합된다.
실시예에서, 공정(1210) (또는 공정(1200))은 광학 정보를 처리하여 광학 정보로부터 미가공(raw) 오버레이 신호를 유도할 수 있다. 실시예에서, 미가공 오버레이 신호는 광학 정보의 차이, 즉 대칭 축 또는 대칭 포인트에 걸친 대칭적 픽셀들 사이의 광학 특성 값의 차이를 포함하고 있다. 실시예에서, 유도된 퓨필 이미지(1035) (예를 들어, 타겟이 오버레이에 오차를 갖고 있지 않다면) 또는 유도된 퓨필 이미지(1065) (예를 들어 타겟이 오버레이에 오차를 갖고 있다면)가 획득될 수 있다.
실시예에서, 타겟에 의해 재지향된 방사선에 대한 가중치 및 광학 정보 (예를 들어, 공정(1200)으로부터의 광학 정보 또는 미가공 오버레이 신호와 같은, 공정(1200)으로부터의 광학 정보의 처리된 버전)는 조합되어 오버레이 값을 결정한다. 실시예에서, 연관된 가중치와 선형적으로 조합된 재지향된 측정 빔 세기들의 조합의 사용은 오버레이의 신속한 결정으로 이어질 수 있다. 예를 들어, 실시예에서, 오버레이 값은 수학식 4를 이용하여 유도될 수 있으며, 여기서 오버레이 값(M)은 신호 성분(Si)의 각각에 대한 각각의 가중치(
Figure 112020024084981-pct00053
)를 사용하여 미가공 오버레이 신호로부터의 신호 성분(Si)의 가중된 조합으로서 계산된다.
실시예에서, 공정(1200)으로부터 수집된 광학 정보는 오버레이 이외의 하나 이상의 타겟 관련 매개변수를 유도하도록 추가적으로 사용될 수 있다. 예를 들어, 공정(1200)으로부터 수집된 광학 정보는 재구성 공정에서 사용되어 타겟의 임의의 하나 이상의 기하학적 프로파일 매개변수, 예를 들어 CD, 측벽 각도, 최하부 바닥 기울어짐(tilt) 등을 유도할 수 있다. 따라서, 실시예에서, 타겟, 예를 들어 인-다이 에칭 후 타겟(in-die after-etch target)으로부터 수집된 동일한 세트의 광학 정보는 오버레이, CD 및/또는 (디바이스 구조체와 같은) 타겟의 하나 이상의 다른 기하학적 프로파일 매개변수를 결정하기 위하여 사용될 수 있다.
위에서 언급된 바와 같이 비록 세기에 중점을 두고 있지만, 실시예에서 광학 특성은 반사율일 수 있으며, 방사선은 편광될 수 있고, 측정은 교차-편광 측정일 수 있다. 예를 들어, 특정 선형 편광에 노출된 타겟은 그 편광으로 또는 상이한 편광에서 측정될 수 있다. 따라서, 대칭적 픽셀(
Figure 112020024084981-pct00054
Figure 112020024084981-pct00055
) (여기서 아포스트로피(apostrophe)는 대칭적 위치를 나타냄)에 대하여, 그러면 이들 픽셀에 대한 반사율(R)은 다음과 같이 측정될 수 있다:
[수학식 4]
Figure 112020024084981-pct00056
Figure 112020024084981-pct00057
여기서, s는 s 편광을 나타내며, p는 p 편광을 나타낸다. 따라서, 반사율(
Figure 112020024084981-pct00058
)은 타겟이 s 편광을 사용하여 조명되었을 때에 측정된 s 편광 방사선의 반사율(R)에 대응하며, 반사율(
Figure 112020024084981-pct00059
)은 타겟이 p 편광을 사용하여 조명되었을 때에 측정된 s 편광된 방사선의 반사율(R)에 대응한다. 더욱이, 이러한 측정은 상이한 파장에서 취해질 수 있다. 그리고, 특정 실시예에서, 오버레이 변화에 응답하여 자신의 대칭을 바꾸는 대칭적 단위 셀에 대한 오버레이가 합동체(congruent;
Figure 112020024084981-pct00060
 및
Figure 112020024084981-pct00061
 )로부터 발견되고 결정될 수 있다는 것이 알려졌다.
더 나아가, 비선형성이 오버레이로부터 및/또는 다른 매개변수로부터 발생할 수 있다. 위에서 논의된 바와 같이, 특정 비선형성은 가중치의 적절한 선택을 통하여, 예를 들어 헤시안 매트릭스 및/또는 3차 도함수를 이용하여 가중치를 유도함으로써 다뤄질 수 있다. 실시예에서, 비선형성은 타겟으로부터의 재지향된 방사선의 측정된 광학 정보로부터 오버레이를 유도하기 위하여 비선형 해법을 사용함으로써 다뤄질 수 있다.
실시예에서, 오버레이는 공칭 프로파일을 유도하기 위해서 사용된 위에서 설명된 바와 같은 재구성 엔진을 사용하는 것을 통하여 결정될 수 있다. 예를 들어, 유도된 공칭 프로파일에 기초하는 모델 및/또는 유도된 공칭 프로파일 모델로부터 작동하는 비선형 솔버(solver)가 사용되어 관심 대상 타겟으로부터의 재지향된 방사선으로부터 기대되는 광학 정보의 시뮬레이션된 버전을 유도할 수 있으며, 이는 관심 대상 타겟의 측정된 광학 정보와 비교될 수 있다. 위에서 언급된 바와 같이, 관심 대상 타겟은, 대칭적일 수 있으며 오버레이를 겪을 때 자신의 대칭을 변화시키는 단위 셀의 하나 이상의 물리적 인스턴스를 포함하고 있다. 그러면, 특정 임계치 내에 합의(agreement)가 없다면, 기하학적 프로파일 매개변수 (예를 들어, 오버레이)는 달라질 수 있으며 특정 임계치 내에 합의가 있을 때까지 광학 정보의 시뮬레이션된 버전은 재계산되고 측정된 광학 정보와 비교될 수 있다. 마찬가지로, 관심 대상 타겟의 측정된 광학 정보는 관심 대상 타겟으로부터의 재지향된 방사선으로부터 기대되는 광학 정보의 라이브러리에 대해서 비교될 수 있다 (이 라이브러리는 통상적으로 비선형 솔버를 사용하여 유도될 것이다). 그러면, 특정 임계치 내에 합의가 없다면, 기하학적 프로파일 매개변수(예를 들어, 오버레이)는 달라질 수 있으며, 임계치 내에 합의가 있을 때까지 측정된 광학 정보와 비교되는 광학 정보의 시뮬레이션된 버전에 대해서 라이브러리가 다시 참고될 수 있다.
실시예에서, 관심 타겟으로부터의 측정된 광학 정보와 함께 재구성 엔진의 사용은 측정된 광학 정보를 사용하며, 위에서 설명된 바와 같이 방사선의 대칭적 분포는 예를 들어 각 픽셀에서의 광학 특성값으로부터 대칭 포인트 또는 축에 걸쳐 대칭적으로 위치된 픽셀에서의 광학 특성값을 차감함으로써 측정된 광학 정보로부터 제거되었다. 따라서, 광학 정보는 실질적으로 방사선의 비대칭적 분포에만 관련된다. 이와 유사하게, 광학 정보의 시뮬레이션된 또는 라이브러리 버전은 실질적으로 방사선의 비대칭적 분포에만 관련된다. 광학 정보의 상당한 부분은 차분(differencing)을 통해서 제거될 것이기 때문에 계산되거나 평가될 필요가 없어짐에 따라, 이는 계산 및/또는 비교 속도를 촉진할 것이다.
비선형 해법의 추가적인 실시예에서, 수학식 3의 확장이 비선형 솔버로 풀이되어
Figure 112020024084981-pct00062
를 유도할 수 있다. 특히, 수학식 3 의
Figure 112020024084981-pct00063
,
Figure 112020024084981-pct00064
,
Figure 112020024084981-pct00065
, 등 (해당되는 경우)의 값은 관심 대상 단위 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델의 결정의 일부로서 결정될 수 있다. 예를 들어, 유도된 공칭 프로파일이 비선형 재구성의 일부로서 결정되면, 유도된 공칭 프로파일에 대응하는 (예를 들어, 오버레이의 특정 변화 (예를 들어,
Figure 112020024084981-pct00066
)에 대한 유도된 공칭 프로파일의 섭동에 대응하는) 퓨필에 대한 시뮬레이션된 또는 라이브러리 광학 정보가 획득될 수 있으며, 그러면 (해당되는 경우) a, b, c 등의 값은, 잔차를 최소화하기 위하여 예를 들어 해법을 통해서 반복하는 (예를 들어, 오버레이 내의 하나 이상의 섭동 (예를 들어,
Figure 112020024084981-pct00067
Figure 112020024084981-pct00068
)에 반응하는) 비선형 솔버로 퓨필 내의 각 픽셀에 대해서 결정될 수 있다. 결과는, 해당되는 경우 퓨필에 대한 a 값들 (각 a 값은 퓨필의 픽셀에 대응함)의 벡터, 퓨필에 대한 b 값들 (각 b 값은 퓨필의 픽셀에 대응함)의 벡터, 퓨필에 대한 c 값들 (각 a 값은 퓨필의 픽셀에 대응함)의 벡터 등이다. 그러면, 이 벡터는 관심 대상 단위 셀을 갖는 타겟의 측정된 퓨필로부터 결정된
Figure 112020024084981-pct00069
값의 벡터와 조합될 수 있다. 예를 들어 잔차를 최소화하기 위해서 해법을 통해 반복하는 비선형 솔버는 이 입력 벡터를 취할 수 있으며 그후 오버레이(
Figure 112020024084981-pct00070
)를 해결할 수 있다.
위의 논의가 단위 셀의 물리적 프로파일을 모델링하는 모델을 사용하는 것에 중점을 두었지만, 실시예에서 가중치는 물리적 프로파일 모델링을 요구하지 않는 데이터-구동 기법을 사용하여 유도될 수 있거나 물리적 프로 파일 모델링을 보완하는 데이터-구동 기법으로 유도될 수 있다. 따라서, 실시예에서, 데이터 구동 기법은 유리하게는 물리적 프로파일 모델을 필요로 하지 않을 수 있다; 이는, 예를 들어, 물리적 프로파일 모델링이 단위 셀이 디바이스 패턴 구조체인 경우에 민감한 정보일 수 있는 단위 셀 (및 따라서 타겟)에 관련된 세부 사항을 가지고 시작하거나 이를 결정하기 때문에, 기밀 정보의 공유를 제한하는데 유용할 수 있다. 실시예에서, 데이터 구동 기법은, 예를 들어 위에서 논의된 바와 같이 가중치의 상대적으로 빠른 결정을 가능하게 하여 측정된 광학 정보 (예를 들어, 퓨필 세기)를 패터닝 공정 매개변수 (예를 들어, 오버레이)로 바꿀 수 있다. 실시예에서, 이하에서 논의되는 바와 같이 데이터 구동 기법이 측정된 데이터 및 연관된 기준만을 필요로 할 수 있기 때문에, 데이터 구동 기법은 초기 단계에서 패터닝 공정 매개변수의 결정을 가능하게 한다.
따라서, 실시예에서, 데이터 구동 기법은 기판 상에서 패터닝된 관심 대상 단위 셀의 물리적 인스턴스를 갖는 하나 이상의 기판으로부터의 측정된 데이터 ("겟(get)" 데이터)를 관심 대상 패터닝 공정 매개변수 (예를 들어, 오버레이)의 하나 이상의 특정 설정 값으로, 하나 이상의 타겟으로서 처리하는 것을 포함하고 있다. 이 패턴으로부터의 측정된 데이터 ("겟" 데이터)와 함께 패턴을 생성하기 위해 특정 패터닝 공정 매개변수 (예를 들어, 오버레이)의 "설정된" 의도적인 값들의 이 조합이 "세트-겟(set-get)" 공정으로 지칭된다. 예를 들어, 단위 셀의 특정 양의 물리적 인스턴스의 오버레이는 패터닝 공정의 일부로서 생성되며, 그러면 단위 셀의 물리적 인스턴스를 갖는 타겟은 측정되어, 예를 들어 그의 퓨필 이미지 (즉, "겟" 데이터)를 획득한다. 실시예에서, 복수의 기판은 이러한 방식으로 패터닝되고 측정될 수 있다. 실시예에서, 오버레이의 복수의 상이한 설정 값이 생성되며, 오버레이의 이 상이한 값은 하나의 기판에 대한 것일 수 있고, 상이한 기판들에 걸친 것 등일 수 있다. 실시예에서, 각 기판은 측정된 복수의 타겟을 갖고 있어, 예를 들어 복수의 퓨필 이미지를 산출할 것이다. 실시예에서, 오버레이는 단위 셀의 물리적 인스턴스의 상이한 부분들을 패터닝하는 것 사이의 설계 확대(design magnification)로부터 확대 변화를 유도함으로써 생성될 수 있다. 실시예에서, 오버레이는 단위 셀의 물리적 인스턴스의 상이한 부분들을 패터닝하는 것 사이의 설계 위치 설정(design positioning)으로부터 의도적인 병진(translation)을 제공함으로써 생성될 수 있다. 따라서, 그 결과는, 예를 들어 리소그래피 장치에 의해 유발된 타겟 내의 의도적으로 가해진 오버레이이다.
실시예에서, 일반적으로, 획득된 측정 데이터 및 연관된 기준 값이 존재한다. 따라서, 실시예에서, 상이한 오버레이들이 존재하지만 이 오버레이들이 다른 수단에 의해 (예를 들어, 주사 전자 현미경으로부터) 결정된다면, 의도적인 오버레이는 제공될 필요가 없다. 실시예에서, 대응하는 (예를 들어, CD-SEM으로부터 생성된) 기준 데이터를 갖는 임계 치수 균일성 기판이 입력 데이터로서 사용될 수 있다. 측정된 데이터 및 기준 값으로, 본 명세서에서 논의된 바와 같이, 데이터 구동 접근법은 추론된 오버레이 값이 기준 값과 유사하도록 가중치를 찾아낼 수 있다. 따라서, 데이터 구동 기법의 논의가 측정된 광학 정보 및 의도적으로 설정된 오버레이 값에서 획득된 퓨필 표현에 중점을 둘 것인 반면에, (측정된 것이든 또는 의도적으로 설정된 것이든) 이들은 더 일반적인 측정 데이터 및 연관된 기준 값에 적용될 수 있다.
더 나아가, 본 명세서에서의 기법이 특정 오버레이 (예를 들어, X-방향으로의 오버레이)에 관련되지만, 본 명세서에서의 기법이 대응하는 측정 데이터 및 기준 값을 사용하여 상이한 오버레이 (예를 들어, Y-방향으로의 오버레이, 상이한 층들 내의 구조체들 사이의 오버레이 등)에 대해서 반복될 수 있다는 것이 인식될 것이다. 따라서, 상이한 오버레이에 대해서 상이한 가중치 세트가 결정될 수 있다.
따라서, 도 13을 참조하면, 데이터 구동 기법의 실시예의 고-레벨 플로우가 도시되어 있다. 1300 에서, 위에서 논의된 바와 같이 가중치를 유도하기 위하여 계산이 수행되어, 측정된 광학 정보 (예를 들어, 퓨필 세기)를 패터닝 공정 매개변수 (예를 들어, 오버레이)로 바꾼다. 특히, 계산은 여러 입력을 사용한다. 입력들 중 하나는 관심 대상 단위 셀의 물리적 인스턴스를 가지는 타겟에 대한 세트-겟 공정의 설정 값(1320)이다. 위에서 언급된 바와 같이, 타겟의 복수의 인스턴스는 하나 이상의 기판에 걸쳐 측정될 수 있으며, 여기서 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는 상이한, 패터닝 공정 매개변수의 의도적인 설정 값의 값을 갖고 있다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그 인스턴스에 대한 측정된 광학 정보(1310)이다. 실시예에서, 광학 정보(1310)는 복수의 퓨필 표현이며, 각 퓨필 표현은 타겟의 인스턴스에 대응한다. 그러면, 입력(1310 및 1320)이 데이터 구동 기법으로 처리되어 가중치(1330)에 도달한다. 이러한 데이터 구동 기법의 예가 이후에 설명된다.
실시예에서, 가중치(
Figure 112020024084981-pct00071
)의 벡터를 찾기 위한 데이터 구동 기법의 예는 다음의 목적 함수 또는 메리트 함수(merit function)를 최소화하여 가중치(
Figure 112020024084981-pct00072
)에 이르게 하는 것이다.
Figure 112020024084981-pct00073
여기서,
Figure 112020024084981-pct00074
는 패터닝 공정 매개변수 (예를 들어, 오버레이)를 결정하기 위해, 측정된 광학 특성 (예를 들어, 세기)의 값과의 조합을 위한 가중치의 벡터로서, 가중치는 퓨필의 픽셀 값에 대응하며,
Figure 112020024084981-pct00075
은 패터닝 공정 매개변수의 특정 설정 값을 획득하도록 패터닝된 기판(
Figure 112020024084981-pct00076
)으로부터 획득된 타겟의 인스턴스의 측정된 퓨필로부터의 측정된 광학 특성의 픽셀 값을 포함하는 각 열을 갖는 매트릭스이며 (이 매트릭스는 그러면 열이 퓨필의 픽셀이 되고 행은 기판 상의 타겟의 하나 이상의 인스턴스가 되도록 전치되고, 매트릭스의 값은 각각의 픽셀에서의 측정된 광학 특성의 값이다),
Figure 112020024084981-pct00077
 은 하나 이상의 기판(
Figure 112020024084981-pct00078
) 상의 타겟의 하나 이상의 인스턴스에 대한 패터닝 공정 매개변수의 대응 설정 값을 포함하는 벡터로서, 각 설정 값은 패터닝 공정 매개변수 값에 대응하며,
Figure 112020024084981-pct00079
은 설정 값의 개수의 크기의 단위 벡터이며,
Figure 112020024084981-pct00080
 은 각 기판에 대한 패터닝 공정 매개변수의 설정 값과 패터닝 공정 매개변수의 추론된 값(
Figure 112020024084981-pct00081
) 사이의 오프셋 차이이고, D는 측정된 기판의 개수이다. 매트릭스(
Figure 112020024084981-pct00082
)는 타겟의 각 인스턴스에 대한 상이한 결과의 조합일 수 있다. 예를 들어, 타겟은 상이한 파장, 상이한 편광 등으로 측정될 수 있다. 따라서, 이 결과는 각 열에 연쇄될 수 있어, 예를 들어 단일 열은 제1 파장 및 제1 편광으로 측정된 타겟의 퓨필의 픽셀에 대한 값을 가질 수 있으며, 그 뒤로 제2 의 상이한 파장으로 측정된 타겟의 퓨필의 픽셀에 대한 열 내의 값이 뒤따르거나, 제2 의 상이한 편광으로 측정된 타겟의 퓨필의 픽셀에 대한 열 내의 값이 뒤따르며 (그후 하나 이상의 상이한 편광 및/또는 파장에서의 추가적인 값이 뒤따를 수 있다).
따라서 실제로, 이 함수는 가중치 벡터(
Figure 112020024084981-pct00083
)를 찾으며, 따라서 각 기판에 대한 추론된 값(
Figure 112020024084981-pct00084
)은 오프셋(
Figure 112020024084981-pct00085
 )과는 별도로 설정 값(
Figure 112020024084981-pct00086
)과 가능한 한 (L2 정규화 표준 의미로) 유사하게 보인다. 원칙적으로, 최적의 가중치 및 오프셋은 매트릭스 반전에 의해 계산될 수 있다. 측정된 광학 특성의 픽셀 값이 하나 이상의 특정 계측 장치로 취해지기 때문에, 획득된 가중치는 교정 데이터에 의해 정규화되어 결과에 대한 특정 계측 장치 자체의 영향을 감소시킬 수 있다.
위에서 설명된 바와 같이 데이터 구동 기법과 같이 목적 함수 또는 메리트 함수를 사용하여 가중치를 찾아내는 대신에 또는 이에 더하여, 데이터 구동 기법은 신경망과 같은 기계 학습 알고리즘, 또는 비선형 방법을 사용하여 관심 대상 패터닝 공정 매개변수 (예를 들어, 오버레이) 내의 의도적으로 제공된 차이와 함께 타겟의 측정된 퓨필에 기초하여 가중치를 결정할 수 있다.
실시예에서, 트레이닝(training) 후 (즉, 목적 함수 또는 메리트 함수 또는 기계 학습 알고리즘을 사용한) 후, 가중치는 다른 데이터를 사용하여 확인할 수 있다. 트레이닝이 오버핏(overfit)을 야기할 가능성이 있다; 데이터 기반 접근 방식은 "단지" 데이터를 설정된 값에 맞춘다. 따라서 교차 검증이 이루어진다. 공지된 설정 값을 가진 새로운 데이터가 가중치를 확인하기 위해 사용된다. 이 새로운 데이터는 또한 다루어지는(at hand) 기판의 서브세트(subset)일 수 있다. 따라서, 실시예에서, 트레이닝은 기판의 서브 세트에서 이루어지고, 검증은 기판의 다른 (분리된) 서브세트에서 이루어진다.
도 14는 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 실시예의 고-레벨 플로우를 도시하고 있다. 이 실시예에서, 도 13과 관련하여 설명된 것과 같이 데이터 구동 기법이 가중치를 유도하기 위하여 사용될 수 있으며, 이 가중치는 물리적 기하학적 모델 (예를 들어, 물리적 기하학적 모델의 야코비안(의 무어-펜로즈 의사 역행렬))로부터 획득된 가중치가 (예를 들어, 값으로, 통계적으로 등) 데이터 구동 기법에 의해 결정된 가중치와 동일하거나 유사하도록 (예를 들어, 더 양호한 모델 공칭 값을 얻기 위해 헤시안을 사용함으로써, 모델 공칭 값을 변경함으로써, 등) 물리적 기하학적 모델을 조정하기 위하여 사용된다. 따라서, 실시예에서, (스케일된(scaled)) 가중치 벡터(
Figure 112020024084981-pct00087
)는 물리적 기하학적 모델을 미세 조정하기 위해서 사용될 수 있으며, 따라서 야코비안(의 무어-펜로즈 의사 역행렬)이 (스케일된) 가중치 벡터(
Figure 112020024084981-pct00088
)와 유사하도록 물리적 기하학적 모델이 조정된다.
따라서, 실시예에서, 1400에서, 위에서 논의된 바와 같이 가중치를 유도하기 위해 데이터 구동 기법 (이의 예가 위에서 설명되어 있다)이 수행된다. 계산은 여러 입력을 이용한다. 입력 중 하나는 관심 대상 단위 셀의 물리적 인스턴스를 갖는 타겟에 대한 세트-겟 공정의 설정 값(1420)이다. 위에서 언급된 바와 같이, 타겟의 복수의 인스턴스는 하나 이상의 기판에 걸쳐 측정될 수 있으며, 여기서 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는 상이한, 패터닝 공정 매개변수의 의도적인 설정 값의 값을 갖고 있다. 추가 입력은 상이한 설정 값에서의 타겟의 이들 인스턴스에 대한 측정된 광학 정보(1410)이다. 실시예에서, 광학 정보(1410)는 복수의 퓨필 표현이며, 각 퓨필 표현은 타겟의 인스턴스에 대응한다. 이후, 입력(1410 및 1420)은 데이터 구동 기법으로 처리되어 가중치(1430)에 도달한다.
가중치(1430)를 사용하여 물리적 기하학적 모델을 미세 조정하도록 가중치(1430)가 공정(1440)에 입력된다. 공정(1440)은 단위 셀에 대한 물리적 프로파일(1450)을 획득하거나 (이 공정(1440)은 물리적 프로파일 모델을 유도하기 위해서 사용됨) 단위 셀에 대한 물리적 프로파일 모델(1450)을 획득한다 (이 공정(1440)이 사용됨). 실시예에서, 물리적 프로파일은 위에서 논의된 바와 같이 단위 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.
공정(1440)은 가중치(1430)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그후 이 가중치는 가중치(1430)와 비교된다. 이 비교는 크기의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 포함할 수 있다. (예를 들어, 임계치에 대한 비교의 평가에 의하여) 상당한 차이가 존재한다면, 물리적 프로파일의 하나 이상의 매개변수가 조정될 수 있다. 예를 들어, 비교의 결과가, 예를 들어 특정 임계치보다 더 가까워지거나 특정 임계치와 동일하도록 하나 이상의 물리적 프로파일 매개변수 (예를 들어, CD, 측벽 각도, 물질 높이 등)가 조정될 수 있다. 실시예에서, 헤시안은 이 미세 조정을 이루기 위해 사용될 수 있거나 (하나 이상의 순방향 콜(forward calls) (예를 들어, 맥스웰 솔버)을 포함하는 비선형 솔버를 사용하여 수행될 수 있다. 임계치가 충족되거나 교차될 때까지 조정 및 비교가 반복될 수 있다. 그러면, 조정된 물리적 기하학적 모델은 관심 대상 타겟의 측정된 광학적 정보와 조합하여 사용하기 위해 업데이트된 가중치(1460)를 출력하여, 패터닝 공정 매개변수 값을 유도할 수 있다.
도 19는 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 추가 실시예의 고-레벨 플로우를 도시하고 있다. 물리적 기하학적 모델이 측정된 데이터와 유사한 거동을 보이는 경우, 물리적 기하학적 모델은 공정 변화의 영향을 예측하기 위하여 사용될 수 있다. 따라서, 실시예에서, 물리적 기하학적 모델의 헤시안은 가중치를 조정하기 위해 사용될 수 있으며 따라서 물리적 기하학적 모델을 조정하기 위해 사용된 가중치를 획득하기 위해 데이터 구동 기법에서 사용된 데이터에는 존재하지 않았던 공정 변화에 가중치가 (더) 직교(orthogonal)된다.
가중치를 조정하기 위해 헤시안을 이용하는 접근법은 또한 데이터 구동 기법없이 수행될 수 있다. 즉, 가중치를 업데이트하기 위하여 헤시안을 사용하는 이 기법은 도 11과 연관되어 설명된 물리적 기하학적 모델 접근법과 함께 수행될 수 있다. 이 경우, 예를 들어 가중치는, 위에서 논의된 바와 같이 단위 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델을 얻기 위해서 사용된 데이터에는 존재하지 않았던 공정 변화에 가중치가 (더) 직교하도록 조정될 수 있다. 이러한 조정을 통하여, 가중치는 물리적 기하학적 모델을 생성하도록 사용된 측정된 데이터에서는 관찰되지 않는 공정 변화에 대하여 더욱 견실해진다.
따라서, 실시예에서는, 1500에서 위에서 논의된 바와 같이 데이터 구동 기법 (이 기법의 예는 위에서 설명되어 있다)이 수행되어 가중치를 유도한다. 계산은 여러 개의 입력을 이용한다. 입력들 중 하나는 관심 대상 단위 셀의 물리적 인스턴스를 갖는 타겟에 대한 세트-겟 공정의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수의 인스턴스는 하나 이상의 기판에 걸쳐 측정될 수 있으며, 여기서 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는 상이한, 패터닝 공정 매개변수의 의도적인 설정 값의 값을 갖고 있다. 추가 입력은 상이한 설정 값에서의 타겟의 이 인스턴스에 대한 측정된 광학 정보(1505)이다. 실시예에서, 광학 정보(1505)는 복수의 퓨필 표현이며, 각 퓨필 표현은 타겟의 인스턴스에 대응한다. 이후, 입력(1505 및 1510)은 데이터 구동 기법으로로 처리되어 가중치(1515)에 도달한다.
가중치(1515)를 이용하여 물리적 기하학적 모델을 미세 조정하기 위해 가중치(1515)가 공정(1520)에 입력된다. 공정(1520)은 단위 셀에 대한 물리적 프로파일(1525)을 획득하거나 (이 공정(1520)은 물리적 프로파일 모델을 유도하기 위해서 사용됨) 단위 셀에 대한 물리적 프로파일 모델(1525)을 획득한다 (이 공정(1520)이 사용됨). 실시예에서, 물리적 프로파일은 위에서 논의된 바와 같이 단위 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.
공정(1520)은 물리적 기하학적 모델을 이용하여 가중치(1515)에 대응하는 가중치를 유도한다. 이 가중치는 그후 가중치(1515)와 비교된다. 비교는 크기의 일치, 통계 분석, 피팅(fitting) 평가 등을 포함할 수 있다. (예를 들어, 임계치에 대한 비교의 평가에 의해) 상당한 차이가 있는 경우, 물리적 프로파일의 하나 이상의 매개변수가 조정될 수 있다. 예를 들어, 비교의 결과가, 예를 들어 특정 임계치보다 더 가까워지거나 특정 임계치와 동일하도록 하나 이상의 물리적 프로파일 매개변수 (예를 들어, CD, 측벽 각도, 물질 높이 등)가 조정될 수 있다. 실시예에서, 헤시안은 이 미세 조정을 이루기 위해 사용될 수 있거나 (하나 이상의 순방향 콜(forward calls) (예를 들어, 맥스웰 솔버)을 포함하는 비선형 솔버를 사용하여 수행될 수 있다. 임계치가 충족되거나 교차될 때까지 조정 및 비교가 반복될 수 있다.
그러나, 인식될 바와 같이, 패터닝 공정은 실행 동안 변화할 수 있으며 그리고 패터닝 공정의 상이한 실행에 대하여 상이하게 변화할 수 있다. 따라서, 데이터 구동 기법을 위해 획득된 데이터는 모든 가능한 패터닝 공정 변화를 설명하지는 않는다. 그러나 측정 데이터와 유사하게 거동하도록 물리적 기하학적 모델의 조정이 이루어질 때, 물리적 기하학적 모델이 사용되어 공정 변화의 영향을 예측하고 그에 따라 가중치를 조정할 수 있다.
따라서, 실시예에서, 조정된 물리적 기하학적 모델(1530)은 1535에서 조정된 물리적 기하학적 모델의 헤시안을 계산하기 위해 사용된다. 그러면, 헤시안(1540)은 1545에서 가중치를 조정하기 위해 사용되며 따라서 가중치가 (더) 직교(즉, 견실)되어 물리적 기하학적 모델을 조정하기 위해 사용되는 가중치를 얻기 위해 데이터 구동 기법에서 사용된 데이터에 존재하지 않은 변화를 처리한다. 다시 말해서, 기판이 공정 변화를 겪을 때에도 기판으로부터의 측정 데이터와 조합될 때 가중치는 정확한 결과를 더욱 산출할 가능성이 많도록 조정된다.
헤시안이 가중치를 미세 조정하기 위해 어떻게 사용될 수 있는지에 대한 비제한적인 예가 오버레이와 관련하여 여기에서 설명된다; 상이한 패터닝 공정 매개변수가 적절하게 사용될 수 있다. 이 예에서, 하나의 오버레이 유형 (예를 들어, X 방향의 오버레이)만이 평가된다고 가정된다. 다수의 오버레이 유형을 갖는 미세 조정 또한 가능하다.
가중치를 미세 조정하기 위하여 헤시안을 사용하는 이 실시예에서, 오버레이 응답은 데이터에 단일 값 분해(decomposition)를 적용함으로써 하나 이상의 세트-겟 기판으로부터의 측정된 데이터로부터 추정된다. (길이 1을 갖는) 고유 벡터(
Figure 112020024084981-pct00089
)가 오버레이 응답에 대응한다고 가정된다. 그러면 벡터(
Figure 112020024084981-pct00090
)를 찾기 위해서 다음 방정식이 풀이된다:
Figure 112020024084981-pct00091
여기서,
Figure 112020024084981-pct00092
는 오버레이 매개변수에 대한 야코비안이며, 헤시안(
Figure 112020024084981-pct00093
)은 열이 공정 변화 (예를 들어, CD, 물질 높이 등의 변화) 및 오버레이 매개변수에 대한 편도함수를 포함하는 매트릭스이다 (야코비안 및 헤시안 모두는 위에서 설명된 바와 같은 모델로부터 획득된다). 결정된 벡터(
Figure 112020024084981-pct00094
)는 그러면 업데이트된(예를 들어, 더 양호한) 모델을 얻기 위해서 모델 내의 비-오버레이 매개변수에 적용될 델타 매개변수에 대응한다.
가중치가 공정 변화에 견실 (즉, 공정 변화에 직교) 하도록 하기 위하여, 다음 기법이 사용될 수 있다. 퓨필(
Figure 112020024084981-pct00095
)은 다음의 2차 테일러 전개식에 의해 한정될 수 있다.
Figure 112020024084981-pct00096
이제, 공정 변화의 작다면, 가중치는 야코비안(
Figure 112020024084981-pct00097
)의 펜로즈-무어 역행렬을 사용하여 계산된다. 오직 하나의 오버레이 매개변수의 경우에, 가중치는
Figure 112020024084981-pct00098
과 동일하다. 그리고 사실상, 퓨필과의 가중된 평균 (내적)은 오버레이 값(0) (
Figure 112020024084981-pct00099
)을 야기한다. 즉,
Figure 112020024084981-pct00100
그러나 공정 변화가 큰 영향을 미치면 오버레이 응답은 다음과 같이 변경된다.
Figure 112020024084981-pct00101
가중치가 이 변화에 대해 견실해지도록 하기 위하여,
Figure 112020024084981-pct00102
이는 가중치(
Figure 112020024084981-pct00103
Figure 112020024084981-pct00104
)를 매트릭스(
Figure 112020024084981-pct00105
)의 의사 역행렬의 제1 행과 동일하게 함으로써 달성될 수 있다. 즉, 헤시안 매트릭스(
Figure 112020024084981-pct00106
)은 반전되기 전에 야코비안에 연쇄된다. 이러한 방식으로, 가중치는 공정 변화와 직교하게 된다 (그러나 정밀도 일부가 희생된다).
따라서, 조정(1545)으로부터, 조정된 가중치(1550)는 패터닝 공정 매개변수 값을 유도하기 위해 관심 대상 타겟의 측정된 광학 정보와 조합하는 데 사용하기 위해 출력된다.
도 16은 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 추가 실시예의 고-레벨 플로우를 도시하고 있다. 이 실시예에서, 데이터 구동 기법에 입력된 데이터는 패터닝 공정에 대한 공정 변화를 포함하는 합성 광학 정보 (예를 들어, 퓨필 표현)를 포함함으로써 확장된다 (예를 들어, 패터닝 공정 변화는 CD 측정으로부터 획득될 수 있다). 합성 광학 정보만이 또는 측정된 광학 정보와 조합된 합성 광학 정보가 데이터 구동 기법을 사용하여 새로운 가중치를 찾는 데 사용될 수 있다.
따라서, 실시예에서, 1500에서, 데이터 구동 기법 (이의 예가 위에서 설명되어 있다)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 계산은 여러 입력을 이용한다. 입력들 중 하나는 관심 대상 단위 셀의 물리적 인스턴스를 갖는 타겟에 대한 세트-겟 공정의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수의 인스턴스는 하나 이상의 기판에 걸쳐 측정될 수 있으며, 여기서 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는 상이한, 패터닝 공정 매개변수의 의도적인 설정 값의 값을 갖고 있다. 추가 입력은 상이한 설정 값에서의 타겟의 이 인스턴스에 대한 측정된 광학 정보(1505)이다. 실시예에서, 광학 정보(1505)는 복수의 퓨필 표현이며, 각 퓨필 표현은 타겟의 인스턴스에 대응한다. 그후, 입력(1505 및 1510)이 데이터 구동 기법으로 처리되어 가중치(1515)에 도달한다.
가중치(1515)는 가중치(1515)를 이용하여 물리적 기하학적 모델을 미세 조정하기 위해 공정(1520)으로 입력된다. 공정(1520)은 단위 셀에 대한 물리적 프로파일(1525)을 획득하거나 (이 공정(1520)은 물리적 프로파일 모델을 유도하기 위해서 사용됨) 단위 셀에 대한 물리적 프로파일 모델(1525)을 획득한다 (이 공정(1520)이 사용됨). 실시예에서, 물리적 프로파일은 위에서 논의된 바와 같이 단위 셀의 유도된 공칭 프로파일 및/ 또는 유도된 공칭 프로파일 모델이다.
공정(1520)은 물리적 기하학적 모델을 사용하여 가중치(1515)에 대응하는 가중치를 유도한다. 이 가중치는 그후 가중치(1515)와 비교된다. 비교는 크기의 일치, 통계 분석, 피팅 평가 등을 포함할 수 있다. (예를 들어, 임계치에 대한 비교의 평가에 의하여) 상당한 차이가 있는 경우, 물리적 프로파일의 하나 이상의 매개변수가 조정될 수 있다. 예를 들어, 비교의 결과가, 예를 들어 특정 임계치보다 더 가까워지거나 특정 임계치와 동일하도록 하나 이상의 물리적 프로파일 매개변수 (예를 들어, CD, 측벽 각도, 물질 높이 등)가 조정될 수 있다. 임계치가 충족되거나 교차될 때까지 조정 및 비교는 반복될 수 있다.
따라서, 실시예에서, 조정된 물리적 기하학적 모델(1530)은 1535에서 조정된 물리적 기하학적 모델의 헤시안을 계산하기 위해 사용된다. 그러면 헤시안(1600)이 사용되어 1610에서 합성 광학 정보 (예를 들어, 하나 이상의 퓨필 표현)를 생성한다. 합성 광학 정보는 시뮬레이션된 광학 정보이다. 합성 광학 정보는 패터닝 공정에서 하나 이상의 예상 공정 변화를 모방하기 위한 것이다. 실시예에서, 패터닝 공정에서의 하나 이상의 공정 변화에 관한 데이터(1620)는 헤시안(1600)과 조합하여 사용되어 합성 광학 정보를 유도할 수 있다. 실시예에서, 합성 퓨필(
Figure 112020024084981-pct00107
Figure 112020024084981-pct00108
)은 수학식 8에서 상이한 오버레이 값(o)과 상이한 매개변수 변화(
Figure 112020024084981-pct00109
)를 대체함으로써 생성될 수 있으며, 여기서 가중치는
Figure 112020024084981-pct00110
에 대응한다. 위에서 설명된 수학식 8은 단일 오버레이 매개변수에 관한 것이지만, 이러한 기여분을 또한 부가함으로써 본 기법은 더 많은 오버레이 매개변수로 확장될 수 있다. 또한, 테일러 전개식(Taylor expansion)에서의 더 높은 차수가 무시되기 때문에 수학식 8을 사용한 기법은 어림셈이다. 데이터(1620)는, 예를 들어 공정 변화의 종류 및 범위를 설명하는 정보 (예를 들어, 오버레이, CD 등이 특정 비율로 변할 수 있다는 표시)를 포함할 수 있다. 데이터(1620)는 패터닝 공정에서의 측정, 예를 들어 오버레이, CD 등의 측정에 의해 획득될 수 있다. 따라서 데이터(1620)는 예상 공정 변화를 포함하는 시뮬레이션된 광학 정보(1630)를 생성하기 위해 헤시안(1600)과 함께 사용된다. 합성 광학 정보(1630)는 또한 합성 광학 정보(1630)와 관련된 하나 이상의 관련 추정 설정 값을 포함할 수 있다. 합성 광학 정보(1630) (및 임의의 관련 설정 값)는 그후 단독으로 또는 측정된 광학 정보와 조합하여 분석을 위해 데이터 구동 기법(1500)에 입력되어 데이터 구동 기법을 이용하여 새로운 가중치를 찾는다.
도 17은 물리적 기하학적 모델과 조합된 상태의 데이터 구동 기법의 추가 실시예의 고-레벨 플로우를 도시하고 있다. 이 실시예는 헤시안을 계산하는 대신 합성 광학 정보를 획득하기 위해 모든 공정 변화에 대해 비선형 솔버 (예를 들어, 맥스웰 솔버)로 순방향 콜이 이루어진다는 점을 제외하고는 도 16의 실시예와 유사하다.
따라서, 실시예에서, 1500에서, 위에서 논의된 바와 같이 가중치를 유도하기 위해 데이터 구동 기법 (이 기법의 예가 위에서 설명되어 있다)이 수행된다. 계산은 여러 입력을 이용한다. 입력 중 하나는 관심 대상 단위 셀의 물리적 인스턴스를 갖는 타겟에 대한 세트-겟 공정의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수의 인스턴스는 하나 이상의 기판에 걸쳐 측정될 수 있으며, 여기서 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는 상이한, 패터닝 공정 매개변수의 의도적인 설정 값의 값을 갖고 있다. 추가 입력은 상이한 설정 값에서의 타겟의 그 인스턴스에 대한 측정된 광학 정보(1505)이다. 실시예에서, 광학 정보(1505)는 복수의 퓨필 표현이며, 각 퓨필 표현은 타겟의 인스턴스에 대응한다. 그후 입력(1505 및 1510)은 데이터 구동 기법으로 처리되어 가중치(1515)에 도달한다.
가중치(1515)는 가중치(1515)를 사용하여 물리적 기하학적 모델을 미세 조정하기 위해 공정(1520)에 입력된다. 공정(1520)은 단위 셀에 대한 물리적 프로파일(1525)을 획득하거나 (이 공정(1520)은 물리적 프로파일 모델을 유도하기 위해서 사용됨) 단위 셀에 대한 물리적 프로파일 모델(1525)을 획득한다 (이 공정(1520)이 사용됨). 실시예에서, 물리적 프로파일은 위에서 논의된 바와 같이 단위 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.
공정(1520)은 물리적 기하학적 모델을 사용하여 가중치(1515)에 대응하는 가중치를 유도한다. 이 가중치는 그후 가중치(1515)와 비교된다. 비교는 크기의 일치, 통계 분석, 피팅 평가 등을 포함할 수 있다. 상당한 차이가 있는 경우 (예를 들어, 임계치에 대한 비교의 평가에 의하여), 물리적 프로파일의 하나 이상의 매개변수가 조정될 수 있다. 예를 들어, 비교의 결과가, 예를 들어 특정 임계치보다 더 가까워지거나 특정 임계치와 동일하도록 하나 이상의 물리적 프로파일 매개변수 (예를 들어, CD, 측벽 각도, 물질 높이 등)가 조정될 수 있다. 임계치가 충족되거나 교차될 때까지 조정 및 비교가 반복될 수 있다.
따라서, 실시예에서, 조정된 물리적 기하학적 모델(1700)은 위에서 논의된 바와 같이 1720에서 합성 광학 정보를 계산하는데 사용된다. 위에서 논의된 것과 동일하게, 패터닝 공정에서의 하나 이상의 공정 변화에 관한 데이터(1710)는 조정된 물리적 기하학적 모델(1700)과 조합하여 사용되어 합성 광학 정보를 유도할 수 있다. 예를 들어, 데이터(1710)는 공정 변화의 종류 및 범위를 설명하는 정보 (예를 들어, 오버레이, CD 등이 특정 비율로 변할 수 있다는 표시)를 포함할 수 있다. 데이터(1710)는 패터닝 공정에서의 측정, 예를 들어 오버레이, CD 등의 측정에 의하여 획득될 수 있다. 위에서 언급된 바와 같이, 1720에서의 공정은 공정 변화에 대해 비선형 솔버 (예를 들어, 맥스웰 솔버)에 대한 순방향 콜을 사용하여 합성 광학 정보를 획득할 수 있다. 데이터(1710)는 따라서 조정된 물리적 기하학적 모델(1700)과 함께 사용되어 예상 공정 변화를 포함하는 시뮬레이션된 광학 정보(1730)를 생성한다. 합성 광학 정보(1730)는 또한 합성 광학 정보(1730)와 관련된 하나 이상의 관련 추정 설정 값을 포함할 수 있다. 합성 광학 정보(1730) (및 임의의 관련된 설정 값)는 그후 단독으로 또는 측정된 광학 정보와 조합하여 분석을 위해 데이터 구동 기법(1500)에 입력되어 데이터 구동 기법을 사용하여 새로운 가중치를 찾는다.
도 10a 내지 도 10c에서, 본질적으로 단지 한 방향으로의 오버레이가 단위 셀의 대칭의 변화를 야기한 단위 셀의 비교적 간단한 예가 제시되었다. 특히, 도 10a 내지 도 10c의 단위 셀에서, X 방향으로의 오버레이 변화는 단위 셀의 대칭/비대칭의 변화를 초래하였던 반면, Y 방향으로의 오버레이 변화는 단위 셀의 대칭 변화를 야기하지 않았다. 이는 본질적으로 단지 한 방향으로의 오버레이가 단위 셀의 대칭의 변화를 야기하도록 특정 기하학적 방식으로 구성된 2개의 구조체(1000, 1005)를 갖는 도 10a 내지 도 10c의 단위 셀의 결과이다. 물론, 이는 구조체의 적절한 선택에 의해 이러한 방식으로 설계될 수 있다. 그러나, 기본적으로 단지 한 방향으로의 오버레이가 단위 셀의 대칭 변화를 야기하도록 특정 기하학적 구조를 갖는, 디바이스 구조체와 같은 기존 구조체가 식별될 수 있을 수 있다. 따라서, 기본적으로 단지 한 방향 (X 방향일 필요는 없음)으로의 오버레이의 결정을 가능하게 하는 다양한 단위 셀이 선택 또는 설계될 수 있다.
그러나, 유리하게는, 단위 셀의 대칭 변화가 둘 이상의 상이한 오버레이를 야기하도록 구성된 단위 셀이 식별되거나 설계될 수 있다. 실시예에서, 상이한 오버레이들은 상이한 방향들로 있을 수 있다. 구체적으로, 실시예에서, 제1 오버레이는 X 방향으로 있을 수 있으며, 제2 오버레이는 Y 방향으로 있을 수 있다. 실시예에서, 상이한 오버레이 각각은 단위 셀의 상이한 조합의 구조체들 또는 부분들 사이에 있을 수 있다. 실시예에서, 이 구조체는 타겟의 동일한 층 및/또는 상이한 층에 있을 수 있다. 구체적으로, 실시예에서, 제1 오버레이는 단위 셀의 제1 구조체와 제2 구조체 사이에 있을 수 있으며, 제2 오버레이는 단위 셀의 제1 구조체 (또는 제2 구조체)와 제3 구조체 사이 또는 단위 셀의 제3 구조체와 제4 구조체 사이에 있을 수 있다. 이 경우, 제1 오버레이와 제2 오버레이는 동일한 방향일 수 있다. 당연히, 상이한 방향으로의 상이한 오버레이들의 조합 및 단위 셀의 구조체들의 조합으로부터의 상이한 오버레이가 존재할 수 있다. 예를 들어, 제1 오버레이는 제1 층 내의 제1 구조체 및 제2 하부 층 내의 제2 구조체에 대해 X 방향으로 있을 수 있으며, 제2 오버레이는 제1 층 내의 제1 구조체 및 제2 층보다 하부의 제3 층 내의 제3 구조체에 대해 Y 방향으로 있을 수 있다. 따라서, 단위 셀 (및 따라서 타겟)의 적절한 식별 또는 설계를 통해 오버레이의 많은 조합이 결정될 수 있다.
더욱이, 인식될 바와 같이, X 방향 및 Y 방향으로의 오버레이의 결정은 적절한 조합을 통하여 (X 및 Y 방향으로의) 전체의 오버레이를 결정하는 것을 가능하게 할 수 있다. 마찬가지로, 사이에서 오버레이가 발생할 수 있는 복수의 상이한 구조체에 대하여 전체의 오버레이의 결정을 가능하게 하기 위해, 이 구조들 각각에 대한 오버레이가 결정될 필요가 있다. 따라서, 예로서, 사이에서 오버레이가 발생할 수 있는 4개 층 내의 4개의 개별 구조체를 갖는 단위 셀에 대하여 (층들 중 하나는 기준 층임), 6개의 오버레이 (각 층에 대해 X 및 Y)가 그러면 결정되어 단위 셀에 대한 전체의 오버레이의 결정을 가능하게 하도록 할 수 있다. 물론, 서브-조합은 원하는 대로 결정되어 4개의 층 사이의 하나 이상의 상이한 관심 대상 오버레이에 도달할 수 있다.
도 18은 타겟의 다중 오버레이 단위 셀의 예시적인 실시예를 도시하고 있다. 도 10a 내지 도 10c의 단위 셀과 같이, 이 단위 셀은 제1 구조체(1000) 및 제2 구조체(1005)를 포함하고 있다. 부가적으로, 이 단위 셀은 이 실시예에서 Z 방향으로 제1 및 제2 구조체(1000, 1005) 위의 층에 있는 제3 구조체(1800)를 갖고 있다. 이 실시예에서, 이 단위 셀의 비대칭은 하나 이상의 상이한 오버레이에 의해 생성될 수 있다. 예를 들어, X 방향으로의 구조체(1005)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 야기하는 X 방향으로의 오버레이를 산출할 수 있다. 다른 예로서, Y 방향으로의 구조체(1005)와 구조체(1000) 사이의 상대적인 시프트는 비대칭을 야기하는 Y 방향으로의 오버레이를 산출할 수 있다. 또 다른 예로서, Y 방향으로의 구조체(1000)와 구조체(1800) 사이의 상대적 시프트는 비대칭을 야기하는 Y 방향으로의 추가 오버레이를 산출할 수 있다.
도 19는 타겟의 다중 오버레이 단위 셀의 다른 예를 도시하고 있다. 도 10a 내지 도 10c의 단위 셀과 같이, 이 단위 셀은 제1 구조체(1000) 및 제2 구조체(1005)를 포함하고 있다. 부가적으로, 도 18의 단위 셀과 같이, 이 단위 셀은 이 실시예에서 Z 방향으로 제1 및 제2 구조체(1000, 1005) 위의 층에 있는 제3 구조체(1800)를 갖고 있다. 또한, 이 단위 셀은 이 실시예에서 Z 방향으로 제1, 제2 및 제3 구조체(1000, 1005, 1800) 위의 층에 있는 제4 구조체(1900)를 갖고 있다. 도 18의 단위 셀과 같이, 이 실시예에서, 이 단위 셀의 비대칭은 하나 이상의 상이한 오버레이에 의해 생성될 수 있다. 예를 들어, X 방향으로의 구조체(1005)와 구조체(1800) 간의 상대적인 시프트는 비대칭을 야기하는 X 방향으로의 오버레이를 산출할 수 있다. 다른 예로서, X 방향으로의 구조체(1005)와 구조체(1900) 간의 상대적인 시프트는 비대칭을 야기하는 X 방향으로의 오버레이를 산출할 수 있다. 다른 예로서, Y 방향으로의 구조체(1005)와 구조체(1000) 간의 상대적인 시프트는 비대칭을 야기하는 Y 방향으로의 오버레이를 산출할 수 있다. 또 다른 예로서, Y 방향으로의 구조체(1000)와 구조체(1800) 간의 상대적 시프트는 비대칭을 야기하는 Y 방향으로의 추가 오버레이를 산출할 수 있다.
따라서, 실시예에서, 도 18 또는 도 19의 단위 셀의 조명된 물리적 인스턴스의 측정은, 실제로 복수의 상이한 오버레이가 있는 경우 복수의 상이한 오버레이를 잠재적으로 포함할 수 있는 광학 정보를 산출할 것이다. 예를 들어, 도 18을 참조하면, 도 18의 단위 셀의 대칭이 0(zero)의 오버레이를 나타내고 오버라잉 구조체(overlying structure)에 대한 0의 오버레이 위치로부터의 구조체(1005)의 X 및 Y 시프트 (예를 들어, 0, 90, 180 또는 270도가 아닌 방향으로의 시프트)가 존재하는 경우, 이 시프트는 X 방향으로의 구조체(1005)와 구조체(1800) 간의 상대적인 시프트 및 Y 방향으로의 구조체(1005)와 구조체(1000) 간의 상대적인 시프트로 인하여 비대칭을 초래할 것이다. 따라서, X 및 Y 방향으로의 구조체(1005)에 대한 양 오버레이 (이 조합은 구조체(1005)의 전체의 오버레이를 산출할 것이다)를 결정하는 것이 바람직할 것이다.
이후 논의되는 바와 같이, 광학 특성 값으로부터, 단위 셀의 물리적 인스턴스에 대한 제1 오버레이의 값을 동일한 광학 특성 값으로부터 또한 획득 가능한 단위 셀의 물리적 인스턴스에 대한 제2 오버레이와 별개로 결정할 수 있는 기법이 제공되며, 여기서 제1 오버레이는 제2 오버레이와 다른 방향 (예를 들어, X 방향 오버레이 및 Y 방향 오버레이)이거나 또는 제2 오버레이와 상이한 단위 셀의 상이한 조합의 부분들 사이에 있다 (예를 들어, 구조체(1005)와 구조체(1800) 사이의 제1 오버레이 및 구조체(1005)와 구조체(1000) 사이 또는 구조체(1000)와 구조체(1800) 사이의 제2 오버레이, 여기서, 제1 오버레이와 제2 오버레이는 동일한 방향일 수 있다).
즉, 실시예에서, 광학 특성 값에 있는 제1 오버레이 정보를 동일한 광학 특성 값에 있는 제2 (또는 그 이상)의 오버레이 정보로부터 분리(decouple)하기 위해 가중치가 결정된다. 따라서, 실시예에서, 특별하게 선택된 가중치를 적용함으로써, 광학 특성 값과의 가중치의 조합은 동일한 광학 특성 값에 있는 다른 가능한 오버레이 정보와 구별되는 것과 같은 특정 관심 대상 오버레이를 산출할 것이다. 실제로 가중치는 관심 대상 오버레이를 특징으로 하며 하나 이상의 다른 오버레이를 약화시킬 것이다. 물론, 광학 특성 값이 처리되어 상이한 관심 대상 오버레이 각각에 대해 상이한 값을 산출할 수 있도록 각각의 관심 대상 오버레이에 대해 상이한 가중치 세트가 구성될 수 있다.
이 기법은 도 20의 그래프에 관해서 설명될 것이다. 도 20의 그래프는 기법의 그래픽 표현을 제공하지만 실제로 그래프를 생성할 필요없이 모든 처리가 수학적으로 수행될 수 있음에 따라 그래프가 구성될 필요는 없다. 또한, 이 기법은 도 11의 모델과 관련하여 설명된다. 그러나, 본 명세서의 다른 도면과 관련하여 설명된 모델 (및 관련 다른 기법)이 사용될 수 있다.
또한, 이 예는 모델로부터 가중치의 선형 형태(linear version)를 유도하는 관점에서 제시된다. 즉, 실시예에서, 가중치는 야코비안(의 무어-펜로즈 의사 역행렬)로부터 유도된다.
따라서 이 선형 경우 특정 방향으로 오버레이와 같은 특정 매개변수를 재구성하기 위해 야코비안이 반전(inverted)될 수 있다. 그러나 관심 대상 매개변수의 열(column)이 나머지 열과 어떻게 상관되는지가 이러한 매개변수를 재구성하는 것이 얼마나 용이해질 것인지를 결정한다.
따라서, 예를 들어 관심 대상 단위 셀 (예를 들어, 도 18의 단위 셀)에 대한 공칭 프로파일 모델을 갖는다면, 적어도 2개의 벡터가 생성될 수 있다. 제1 오버레이 벡터(
Figure 112020024084981-pct00111
)는 단위 셀 내의 제1 관심 대상 오버레이 (예를 들어, X-방향 오버레이)를 나타내며, 제2 오버레이 벡터(
Figure 112020024084981-pct00112
) 는 제2 관심 대상 오버레이 (예를 들어, Y-방향 오버레이)를 나타낸다. 인식될 바와 같이, 부가적인 관심 대상 오버레이를 위하여 추가적인 벡터가 생성될 수 있다.
더 나아가, 2개의 오버레이 벡터 각각에 대해서, 단위 셀의 물리적 인스턴스의 예상 측정에 대응하는 퓨필 표현의 하나 이상의 픽셀이 선택된다. 이 실시예에서, 픽셀의 쌍이 각 오버레이 벡터에 대해서 선택되며, 여기서 각 픽셀 쌍은 앞서 설명된 전술된 바와 같이 대칭적으로 위치된 픽셀을 포함하고 있다. 바람직하게는, 픽셀의 쌍이 위에서 논의된 바와 같이 퓨필 표현의 비대칭적 방사선 분포 부분으로부터 선택된다.
이제, 제1 오버레이 벡터(
Figure 112020024084981-pct00113
)는 제1 오버레이 벡터에 대한 제1 관심 대상 오버레이의 변화 (모든 다른 매개변수는 변하지 않게 유지되고, 즉 제2 관심 오버레이는 변화가 없음)에 대한 픽셀 쌍에서의 응답 (이 경우에, 픽셀들 간의 비대칭적 신호는 쌍을 이룸)에 대응한다. 이 응답은 공칭 프로파일 모델을 사용하여, 제1 관심 대상 오버레이의 변화 (예를 들어, 1 ㎚ 변화)를 유도함으로써 그리고 그 후 이 변화에 대한 픽셀의 쌍에서의 광학적 응답 (예를 들어, 세기)을 계산함으로써 생성될 수 있다.
마찬가지로, 제2 오버레이 벡터(
Figure 112020024084981-pct00114
)는 제2 오버레이 벡터에 대한 제2 관심 대상 오버레이의 변화 (모든 다른 매개변수는 변하지 않게 유지되고, 즉 제2 관심 대상 오버레이는 변화가 없음)에 대한 픽셀의 쌍에서의 응답 (이 경우에, 픽셀들 간의 비대칭적 신호는 쌍을 이룸)에 대응한다. 이 응답은 공칭 프로파일 모델을 사용하여, 제2 관심 대상 오버레이의 변화 (예를 들어, 1 ㎚ 변화)를 유도함으로써 그리고 그후 픽셀의 쌍에서의 광학적 응답 (예를 들어, 세기)을 계산함으로써 생성될 수 있다.
결과적으로 얻어지는 벡터가 도 20 에 도시되어 있으며, 여기서 수평 축(u)은 제1 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭적 세기(
Figure 112020024084981-pct00115
-
Figure 112020024084981-pct00116
)에 대응하며, 수직 축(v)은 제2 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭적 세기(
Figure 112020024084981-pct00117
-
Figure 112020024084981-pct00118
)에 대응한다. 따라서, 도 20 은 2개의 고도로 상관된 벡터(
Figure 112020024084981-pct00119
Figure 112020024084981-pct00120
)를 보여주고 있다.
따라서, 픽셀 쌍에 대한 제1 및 제2 관심 대상 오버레이의 기여도를 분리하고 나누기 위하여, 벡터(
Figure 112020024084981-pct00121
)는 벡터(
Figure 112020024084981-pct00122
)와 직교하는 벡터인 벡터(
Figure 112020024084981-pct00123
) 상으로 후방-투영되어 벡터(
Figure 112020024084981-pct00124
)를 형성하며, 투영된 벡터(
Figure 112020024084981-pct00125
)의 길이는 벡터(
Figure 112020024084981-pct00126
Figure 112020024084981-pct00127
) 사이의 각도(
Figure 112020024084981-pct00128
)의 코사인(cosine)에 의해 나누어진다. 그러면 이 벡터는 픽셀 쌍 (및 확장에 의한 퓨필 표현 내의 다른 픽셀 쌍)의 세기로부터 제1 관심 대상 오버레이를 격리시키는 것을 돕는다.
부가적으로 또는 대안적으로, 벡터(
Figure 112020024084981-pct00129
)는 벡터(
Figure 112020024084981-pct00130
)와 직교하는 벡터인 벡터(
Figure 112020024084981-pct00131
) 상으로 후방-투영되어 벡터(
Figure 112020024084981-pct00132
)를 형성하며, 투영된 벡터(
Figure 112020024084981-pct00133
)의 길이는 벡터(
Figure 112020024084981-pct00134
Figure 112020024084981-pct00135
) 사이의 각도(
Figure 112020024084981-pct00136
)의 코사인에 의해 나누어진다. 그러면 이 벡터는 픽셀 쌍 (및 확장에 의한 퓨필 표현 내의 다른 픽셀 쌍)의 세기로부터 제2 관심 대상 오버레이를 격리시키는 것을 돕는다.
따라서, 다시 수학식 3 및 4를 참조하면, Si는 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭적 세기(
Figure 112020024084981-pct00137
-
Figure 112020024084981-pct00138
)를 나타낸다. 따라서, 제1 오버레이 벡터(
Figure 112020024084981-pct00139
)는
Figure 112020024084981-pct00140
의 Si를 갖는 제1 픽셀 쌍 및
Figure 112020024084981-pct00141
의 Si를 갖는 제2 픽셀 쌍에서의 제1 관심 대상 오버레이의 변화에 대한 응답에 대응할 수 있다. 마찬가지로, 제2 오버레이 벡터(
Figure 112020024084981-pct00142
)는 이 제1 및 제2 픽셀 쌍에서의 제2 관심 대상 오버레이의 변화에 대한 응답에 대응할 수 있다. 따라서, 벡터(
Figure 112020024084981-pct00143
) 및/또는 벡터(
Figure 112020024084981-pct00144
)가 구성될 수 있다; 여기에서 양 벡터는 모두 예시적인 목적을 위해서 구성된다. 벡터(
Figure 112020024084981-pct00145
) 및 벡터(
Figure 112020024084981-pct00146
)는
Figure 112020024084981-pct00147
에 대응하는 제1 픽셀 쌍에 대응하는 세기(
Figure 112020024084981-pct00148
)에 관하여 그리고
Figure 112020024084981-pct00149
에 대응하는 제2 픽셀 쌍에 대응하는 세기(
Figure 112020024084981-pct00150
)에 관하여 한정된다. 따라서, 벡터(
Figure 112020024084981-pct00151
)와 벡터(
Figure 112020024084981-pct00152
)는 다음과 같이 특정될 수 있다:
Figure 112020024084981-pct00153
Figure 112020024084981-pct00154
따라서, 이제 위에서 설명된 선형 콘텍스트(linear context)에서 그리고 수학식 4를 참조하면, 그러면 제1 관심 대상 오버레이의 오버레이 값이
Figure 112020024084981-pct00155
,
Figure 112020024084981-pct00156
및 벡터(
Figure 112020024084981-pct00157
Figure 112020024084981-pct00158
)에 기초하여 다음과 같이 한정될 수 있다:
Figure 112020024084981-pct00159
부가적으로 또는 대안적으로, 그러면 제2 관심 대상 오버레이의 오버레이 값이
Figure 112020024084981-pct00160
,
Figure 112020024084981-pct00161
및 벡터(
Figure 112020024084981-pct00162
Figure 112020024084981-pct00163
)에 기초하여 다음과 같이 한정될 수 있다:
Figure 112020024084981-pct00164
따라서, 수학식 14로부터, 제1 관심 오버레이를 결정하기 위한 가중치는
Figure 112020024084981-pct00165
Figure 112020024084981-pct00166
각각에 대하여 다음과 같다:
Figure 112020024084981-pct00167
더 나아가, 수학식 15로부터, 제2 관심 오버레이를 결정하기 위한 가중치는
Figure 112020024084981-pct00168
Figure 112020024084981-pct00169
각각에 대하여 다음과 같다:
Figure 112020024084981-pct00170
따라서, 인식될 바와 같이, 제1 관심 대상 오버레이(
Figure 112020024084981-pct00171
)에 대한 가중치의 세트(
Figure 112020024084981-pct00172
)에 도달하고 및/또는 제2 관심 대상 오버레이(
Figure 112020024084981-pct00173
)에 대한 가중치의 세트(
Figure 112020024084981-pct00174
)에 도달하기 위하여, 이것은 퓨필 표현 내의 모든 또는 실질적으로 모든 픽셀 쌍에 대해서 반복될 수 있다. 그러면, 이들 중 하나 또는 양자 모두가 수학식 4 에 따라, 측정된 광학 특성 값에 적용되어 각각의 관심 대상 오버레이에 대한 오버레이 값에 도달할 수 있다. 물론, 하나 이상의 추가적인 관심 대상 오버레이가 평가될 수 있으며 그들에 대하여 하나 이상의 적절한 가중치 세트가 결정될 수 있다. 인식될 바와 같이, 실시예에서, 상이한 관심 대상 오버레이 모두에 대한 민감도 (예를 들어, 야코비안)는 특정 관심 대상 오버레이에 대한 가중치 정의에 포함된다.
따라서, 예를 들어 X 및 Y 방향으로의 층들 각각의 시프트가 대칭 변화를 야기할 수 있는 (예를 들어, 비대칭을 야기할 수 있는, 또는 추가적인 비대칭을 야기할 수 있는, 또는 비대칭적 단위 셀이 대칭적이 되게 할 수 있는) 4개의 층 (층들 중 하나는 기준 층임)을 갖는 단위 셀에 대하여, 그러면 6개의 벡터가 생성될 수 있으며 (각각은 상이한 픽셀 쌍과 관련됨), 6개의 벡터는 층들 각각에 대한 X-방향 오버레이 벡터 및 층들 각각에 대한 Y-방향 오버레이 벡터를 포함하고 있다. 따라서, 각각의 오버레이를 유도하기 위해서 6개 세트의 가중치가 있을 수 있다. 물론, 벡터들 중 하나가 관심 대상이 아니면, 모든 가중치 세트가 유도될 필요는 없다 (그러나, 실시예에서, 상이한 관심 대상 오버레이 모두에 대한 민감도 (예를 들어, 야코비안)는 특정 관심 대상 오버레이에 대한 가중치 정의에 포함된다). 그러면, 이 오버레이들 중 2개 이상 오버레이의 적절한 수학적 조합에 의하여 임의의 다른 오버레이가 결정될 수 있다.
이해될 바와 같이, 단위 셀 내에서의 층의 일부 시프트가 대칭 변화를 야기하지 않을 것이며, 따라서 이 시프트에 대응하는 오버레이는 단위 셀로부터 결정될 수 없다. 따라서, 명백하게는 이러한 시프트에 대해서 어떠한 벡터도 한정되지 않을 것이다. 따라서, 도 18을 예로 들면, 3개의 벡터가 이 단위 셀에 대해서 한정될 수 있다 -하나는 X-방향 오버레이에 대한 것이며, 2개는 상이한 Y-방향 오버레이에 대한 것이다. 따라서, 측정된 광학 특성 값과 조합될 때 X-방향의 오버레이를 제공할 한 세트의 가중치가 결정될 수 있다. 또는, 측정된 광학 특성 값과 조합될 때 Y-방향의 오버레이 중 하나를 제공할 한 세트의 가중치가 결정될 수 있으며 및/또는 측정된 광학 특성 값과 조합될 때 Y-방향의 오버레이 중 다른 것을 제공할 한 세트의 가중치가 결정될 수 있다. 물론, 3개 세트의 모든 가중치 또는 2개 세트의 가중치만이 결정될 수 있다.
위의 논의는 디바이스의 구조체로 구성된 대칭적 단위 셀의 하나 이상의 인스턴스에 의해 형성된 타겟에 중점을 두고 있다. 이러한 타겟은, 온-제품(on-product) 타겟에 의해 재지향된 방사선의 온-제품 측정을 통하여, 패터닝 공정 매개변수의 온-제품 값의 결정을 가능하게 할 수 있다. 그러나, 위에서 설명된 바와 같이, 타겟은 디바이스 구조체로만 구성될 필요는 없다. 즉, 구조체는 오로지 디바이스 구조체를 포함하지 않는 비-제품 타겟이 제공될 수 있다. 예를 들어, 실시예에서, 타겟은 디바이스를 형성하기 위해 사용되는 것이 아니라 오히려 측정만을 위하여 사용되는 구조체로 특별하게 생성될 수 있다. 이러한 타겟은, 예를 들어 디바이스로부터 떨어져 있는 스크라이브 레인 내에 제공될 수 있다 (따라서 디바이스 패턴으로부터 떨어진 디바이스 패터닝 패턴의 일부에 제공될 수 있다). 실시예에서, 타겟은 디바이스 패턴들 사이에 제공될 수 있다 (따라서 패터닝 디바이스 패턴의 디바이스 패턴의 피처들 사이에 제공된다). 적절한 경우, 비-제품 타겟은 하나 이상의 디바이스 구조체 및 디바이스를 형성하기 위해 사용되지 않고 오히려 측정만을 위해 사용되는 하나 이상의 특별하게 생성된 구조체를 포함할 수 있다.
비-제품 타겟은, 예를 들어 패터닝 공정 매개변수가 대칭적 단위 셀 인스턴스를 제공할 수 없는 디바이스 패턴에 대해서 결정되고 있다면 유용할 수 있다. 다른 예로서, 비-제품 타겟은, 예를 들어 패터닝 공정 매개변수가 그 패터닝 공정 매개변수의 측정을 제공할 수 있는 위에서 설명된 바와 같은 대칭적 단위 셀을 갖지 않은 디바이스 패턴의 일부분에 대해서 결정되고 있는 경우에, 유용할 수 있다. 예를 들어, 에칭 후의 오버레이에 대한 구조체가, 위에서 설명된 대칭적 단위 셀 방법을 사용하여 결정되는 것이 바람직하지만 대칭을 가지지 않는 경우가 있을 수 있다. 예를 들어, 논리 회로 또는 구조체는, 구조체의 대칭을 깰 수 있는 상이한 오버레이 성분을 각각 도입할 수 있는 많은 공정 층/스텝을 갖고 있다. 예를 들어 논리 회로의 경우에, 디바이스 패턴에 대한 측정은 통상적으로 논리 회로 구조체의 대칭적 단위 셀의 부족으로 인하여 수행될 수 없다.
추가적인 예로서, 대칭적 단위 셀 인스턴스를 제공할 수 있는 디바이스 패턴과 연관되어 (그리고 단위 셀이 모든 관심 대상 패터닝 공정 매개변수의 측정을 제공할 수 있을지라도) 비-제품 타겟은 사용될 수 있다. 예를 들어 디바이스 패턴이 복잡한 경우 이럴 수 있으며 이는 상당한 계산 시간이 필요할 수 있다. 더 나아가, 디바이스 패턴은 관심 대상이 아닌 패터닝 공정 매개변수의 신호와의 잠재적인 크로스토크(crosstalk)를 제공할 수 있다. 예로서, 상이한 오버레이 성분의 퓨필 상관관계가 너무 커서 상이한 오버레이 오차들을 분리하는 것이 불가능하다.
따라서, 비-제품 타겟은 빔 스폿을 위한 대칭적 단위 셀의 인스턴스를 갖는 디바이스 패턴 또는 빔 스폿을 위한 대칭적 단위 셀의 인스턴스를 제공할 수 없는 디바이스 패턴과 함께 사용될 수 있다. 비-제품 타겟의 구성, 디자인, 측정 및 사용의 세부 사항은 2017년 2월 28일에 출원된 미국 특허 출원 제15/445,612호에 상세하게 설명되어 있으며, 이는 전체적으로 본 명세서에서 인용 참조된다.
타겟의 측정 정확도 및/또는 민감도는 (제품 타겟인지 또는 비제품 타겟인지 여부 및 이 타겟이 특정 매개변수 (예를 들어, 오버레이)로 표현되는 특정 물리적 현상에 의해 본 명세서에서 논의된 바와 같이 파괴되는 대칭을 갖는지 여부에 관계없이) 타겟 자체의 하나 이상의 속성 및/또는 타겟 상으로 제공되는 측정 방사선의 하나 이상의 속성, 예를 들어 방사선의 파장, 방사선의 편광, 방사선의 세기 분포 (예를 들어, 각도 또는 공간 세기 분포) 및/또는 측정 방사선의 주광선의 입사각에 관하여 달라질 수 있다. 실시예에서, 방사선의 파장 범위는 범위에서 선택된 (예를 들어, 약 400 ㎚ 내지 900 ㎚ 범위에서 선택된) 하나 이상의 파장으로 제한된다. 또한, 방사선 빔의 상이한 편광의 선택 (예를 들어, TE 편광 방사선, TM 편광 방사선, 수직 선형 편광, 수평 선형 편광 등)이 제공될 수 있으며, 예를 들어, 복수의 상이한 개구를 이용하여 다양한 조명 분포 및/또는 각도가 제공될 수 있다.
따라서, 이러한 선택 및 측정을 가능하게 하기 위해, 측정 시스템을 사용하여 하나 이상의 측정 매개변수를 특정하는 계측 레시피가 사용될 수 있다. 실시예에서, 용어 "계측 레시피(metrology recipe)"는 측정 자체의 하나 이상의 매개변수, 측정된 타겟의 패턴의 하나 이상의 매개변수, 또는 양 매개변수 모두를 포함하고 있다.
이와 관련하여, 측정된 타겟의 패턴 ("타겟 구조체"로도 지칭됨)은 광학적으로 측정된 패턴, 예를 들어 그의 회절이 측정된 패턴일 수 있다. 측정된 타겟 패턴은 (비제품 타겟과 같은) 측정 목적을 위하여 특별히 설계 또는 선택된 패턴일 수 있다. 타겟의 복수의 복제품(copies)은 기판에 걸쳐 (예를 들어, 기판에 걸쳐 복수의 다이 내 또는 근처의) 많은 부위에 배치될 수 있다.
실시예에서, 계측 레시피가 측정 자체의 하나 이상의 매개변수를 포함하는 경우, 측정 자체의 하나 이상의 매개변수는 측정 빔 및/또는 측정을 수행하는데 사용되는 측정 장치와 관련된 하나 이상의 매개변수를 포함할 수 있다. 예를 들어, 계측 레시피에 사용된 측정이 회절 기반 광학 측정인 경우, 측정 자체의 하나 이상의 매개변수는 측정 방사선의 파장, 및/또는 측정 방사선의 편광, 및/또는 측정 방사선 세기 분포, 및/또는 측정 방사선의 기판에 대한 조명 각도 (예를 들어, 입사각, 방위각 등), 및/또는 회절 측정 방사선의 기판 상의 패턴에 대한 상대 배향, 및/또는 타겟의 다수의 측정 포인트 또는 인스턴스, 및 또는 기판 상에서 측정된 타겟의 인스턴스의 위치를 포함할 수 있다. 측정 자체의 하나 이상의 매개변수는 측정에 사용된 계측 장치의 하나 이상의 매개변수를 포함할 수 있으며, 이는 검출기 민감도, 개구수 등을 포함할 수 있다.
실시예에서, 계측 레시피가 측정된 패턴의 하나 이상의 매개변수를 포함하는 경우, 측정된 패턴의 하나 이상의 매개변수는 하나 이상의 기하학적 특성, 예를 들어 패턴의 적어도 일부의 형상, 및/또는 패턴의 적어도 일부의 배향, 및/또는 패턴의 적어도 일부의 피치 (예를 들어, 하부 주기적 구조체의 층 위의 층 내의 상부 주기적 구조체의 피치 및/또는 하부 주기적 구조체의 피치를 포함하는 주기적 구조체의 피치), 및/또는 패턴의 적어도 일부의 크기 (예를 들어, CD) (예를 들어, 상부 주기적 구조체 및/또는 하부 주기적 구조체의 피처의 CD를 포함하는, 주기적 구조체의 피처의 CD), 및/또는 패턴의 피처의 세그먼트 (예를 들어, 서브-구조체로의 주기적 구조체의 피처의 분할), 및/또는 주기적 구조체의 또는 주기적 구조체의 피처의 길이, 및/또는 패턴의 적어도 일부의 물질 특성 (예를 들어, 굴절률, 흡광 계수, 물질 유형 등), 및/또는 패턴의 식별 (예를 들어, 패턴을 다른 패턴과 구별하는 것) 등을 포함할 수 있다.
계측 레시피는 (
Figure 112020024084981-pct00175
Figure 112020024084981-pct00176
)와 같은 형태로 표현될 수 있으며, 여기서
Figure 112020024084981-pct00177
Figure 112020024084981-pct00178
은 측정의 하나 이상의 매개변수이며,
Figure 112020024084981-pct00179
 는 측정된 하나 이상의 패턴의 하나 이상의 매개변수이다. 인식될 바와 같이, n과 m은 1일 수 있다. 또한, 계측 레시피는 측정의 하나 이상의 매개변수 및 측정된 하나 이상의 패턴의 하나 이상의 매개변수 모두를 가질 필요는 없다; 그것은 측정의 하나 이상의 매개변수만을 가질 수 있거나, 측정된 하나 이상의 패턴의 하나 이상의 매개변수만을 가질 수 있다.
타겟은 2개의 계측 레시피(A 및 B), 예를 들어 타겟이 측정되는 단계 면에서 상이하고 (예를 들어, A는 타겟이 잠상 구조체를 포함할 때 타겟을 측정하고, B는 타겟이 잠상 구조체를 포함하지 않을 때 타겟을 측정한다) 및/또는 그의 측정의 매개변수 면에서 상이한 2개의 계측 레시피(A 및 B)를 이용하여 측정될 수 있다. 계측 레시피(A와 B)는 측정된 타겟 면에서 적어도 다를 수 있다 (예를 들어, A는 제1 타겟을 측정하며, B는 제2의 상이한 타겟을 측정한다). 계측 레시피(A 및 B)는 그들의 측정의 매개변수 및 측정된 타겟 면에서 상이할 수 있다. 계측 레시피(A와 B)는 동일한 측정 기법을 기반으로 하지 않을 수도 있다. 예를 들어, 레시피(A)는 회절 기반 측정에 기초할 수 있으며, 레시피(B)는 주사 전자 현미경(SEM) 또는 원자력 현미경(AFM) 측정에 기초할 수 있다.
언급된 바와 같이, 특정 기판은 타겟의 복수의 인스턴스를 가질 것이다. 따라서, 실시예에서, 예를 들어 측정 공정의 처리량을 촉진하기 위해 기판 상에서의 측정을 위하여 기판 상의 타겟 인스턴스의 서브세트(subset)를 선택하는 샘플링 방식(sampling scheme)이 전형적으로 존재할 것이다.
위에서 논의된 바와 같이, (예를 들어, CD 및/또는 오버레이와 같은) 하나 이상의 관심 대상 매개변수는, 타겟을 조명하고, 대물렌즈를 이용하여 구조체로부터의 재지향된 방사선을 집광하고, 대물렌즈의 푸리에 평면(Fourier plane) 내의 퓨필 검출기에 의하여 재지향된 방사선을 검출함으로써 타겟(예를 들어, 제품 패턴 내의 회절 타겟 또는 측정을 위해 특별히 설계되고 디바이스 패턴와 분리된 회절 타겟)의 구조체로부터 결정될 수 있다. 이러한 측정된 퓨필은, 예를 들어 그로부터 신호 성분을 획득하는, 본 명세서에서 설명된 추론 방법을 사용하여 처리될 수 있으며, 이 신호 성분은 적절한 가중치 세트와 조합되어, 예를 들어 오버레이 값을 산출한다. 추가로 또는 대안적으로, 도 9에 대하여 설명된 바와 같은 방법은, 예를 들어 CD를 결정하기 위해 이러한 측정된 퓨필을 사용할 수 있다.
실시예에서, 타겟을 조명하기 위해 사용되는 방사선은 선형적으로 편광된 전자기 방사선이다. 선형 편광은 전파의 방향을 따라서 단일 평면에 제한된 전자기 방사선의 전기장을 갖는다. 실시예에서, 전파 방향에 수직인 제1 방향으로의 제1 유형의 선형 편광은 본 명세서에서 편의상 "H" 또는 수평 선형 편광으로 표시되며, 제1 방향에 직교하고 전파 방향에 수직인 제2 방향으로의 제2 유형의 선형 편광은 본 명세서에서 편의상 "V" 또는 수직 선형 편광으로 표시된다. 물론, 방사선은 수직 또는 수평일 필요는 없다. 제1 선형 편광은 p 편광일 수 있으며, 제2 선형 편광은 s 편광일 수 있다. 물론, 제1 및 제2 선형 편광은 다른 명칭으로 표시(labeled)될 수 있다.
회절 구조체 (예를 들어, 회절 격자) 및 다른 유사하게 복잡한 구조체는 조명 방사선의 편광 상태를 변화시킨다. 따라서, 실시예에서, 연구 중인 구조체를 포함하는 타겟의 광학 특성은 다음과 같이 반사율 매트릭스(reflectivity matrix)로 특징지어질 수 있다:
Figure 112020024084981-pct00180
여기서 R은 반사율이며, 아래 첨자는 적용 가능한 선형 편광에 대응한다. 특히, 아래 첨자의 제1 인덱스(index)는 타겟으로부터의 나가는 방사선의 편광 상태를 지칭하며, 아래 첨자의 제2 인덱스는 타겟으로의 조명 방사선의 편광 상태를 나타낸다. 예를 들어, RHV는 V-편광 조명으로부터의 H 편광에 대한 반사율을 의미한다. 이 매트릭스의 각 요소는 파장, 그리고 입사의 극 및 방위각에 좌우된다. 물론, 구조체는 s 및 p 편광 기준 또는 다른 기준으로 반사율 매트릭스로 특징지어질 수 있다. 또한, 실시예가 본 명세서에서 반사율에 관하여 설명되었지만, 반사율보다는 상이한 또는 부가적인 광학 특성이 사용될 수 있으며, 상이한 또는 부가적인 광학 특성은 상이한 다른 조명 편광 및 나가는 편광에서 유사한 측면에서 결정될 수 있다. 또한, 본 명세서에서는 2가지 상이한 유형의 선형 편광의 관점에서 실시예가 설명되지만, 2가지 이상의 유형의 선형 편광이 사용될 수 있다.
계측 장치에서, 검출기에 의한 관측 가능한 양은 전체의 반사 세기일 수 있다. 즉, 검출은 편광-민감성이 아니다. 따라서 조명이 H-편광된 경우, 그러면 검출된 세기는 다음에 정비례한다:
Figure 112020024084981-pct00181
그리고, 조명이 V-편광되면, 검출된 세기는 다음에 비례한다:
Figure 112020024084981-pct00182
그러나, 상이한 편광 채널들 (즉, 반사율 매트릭스의 상이한 요소)은 CD 및/또는 오버레이와 같은 관심 대상 매개변수에 대한 상이한 정보를 전달할 것으로 예상된다. 따라서, 실시예에서, 타겟으로부터의 나가는 H 선형 편광 및 V 선형 편광 방사선을 검출하여, 예를 들어 (예를 들어, 추론 방법의 일부로서) 더 많은 프로파일 매개변수를 별도로 비상관화시키고 이들에 대한 민감도를 증가시키는 것이 유리하다. 따라서, 실시예에서, RHH, RVH, RVV 및 RHV (또는 위에서 설명된 바와 같은 부가적인 또는 상이한 광학 특성)로부터 선택된 적어도 2개와 관련된 값의 조합은 관심 대상 매개변수 (예를 들어, 오버레이, CD 등)를 유도하는데 사용된다. 인식될 바와 같이, 이 조합은 관심 대상 매개변수의 단일 값을 유도하기 위해 사용될 수 있다. 실시예에서, RHH, RVH, RVV 및 RHV에 대응하는 값들이 관심 대상 매개변수를 유도하기 위해 함께 사용된다. 대안적인 실시예에서, RVH 및 RHV에 대응하는 값들만이 관심 대상 매개변수를 유도하는데 사용된다.
도 21은 타겟으로부터의 나가는 H-편광 및 V-편광의 개별적인 검출을 가능하게 하기 위한, 실시예에 따른 예시적인 계측 장치를 개략적으로 도시하고 있다. 이 실시예는 일부 변경을 갖는 도 7의 계측과 유사하다. 특히, 실시예에서, 계측 장치는 방사선의 나가는 편광 상태를 제1 선형 편광을 갖는 제1 부분(196)과 제2의 상이한 선형 편광을 갖는 제2 부분(198)으로 분리하기 위해 퓨필 센서(190) 앞에 교차-편광 요소(192)를 포함하고 있다. 이는 수평 선형 편광 조명 방사선을 타겟에 적용하고 수직 선형 편광 조명 방사선을 동일한 타겟에 별도로 적용함으로써, 각각
Figure 112020024126797-pct00183
,
Figure 112020024126797-pct00184
,
Figure 112020024126797-pct00185
Figure 112020024126797-pct00186
와 같은, RHH, RVH, RVV 및 RHV와 관련된 값을 별도로 측정하는 능력을 의미한다. 따라서, H 편광에 대해, 교차-편광 요소(192)는 나가는 V 및 H 편광을 별도로 측정되는 것을 가능하게 하여
Figure 112020024126797-pct00187
Figure 112020024126797-pct00229
를 각각 획득한다.  마찬가지로, V 편광에 대해, 교차-편광 요소(192)는 나가는 V 및 H 편광을 별도로 측정되는 것을 가능하게 하여
Figure 112020024126797-pct00189
Figure 112020024126797-pct00190
를 각각 획득한다.
실시예에서, 상이한 편광들이 타겟에 교대로 제공될 수 있다. 예를 들어, 실시예에서, 소스(100)는 제시간에 H 및 V 편광을 교대로 제공할 수 있다. 실시예에서, 소스(110)와 타겟 사이의 광 경로 내의 편광 요소는 (예를 들어, 도 9에 도시된 바와 같은 그리고 도 7 및 도 21 내의 소스(110)와 대물렌즈(160) 사이에 유사하게 제공될 수 있는 편광기(170)를 이용하여) 제시간에 H 및 V 편광을 교대로 제공하기 위해 사용될 수 있다.
실시예에서, 복수의 조명 스폿이 평행하게 제공될 수 있으며, 이 조명 스폿들 중 하나 이상은 H 편광을 갖고, 이 조명 스폿들의 하나 이상의 다른 것은 V 편광을 갖고 있다. 따라서, 하나의 스폿이 V 편광을 갖고 다른 스폿이 H 편광을 갖는 2개의 조명 스폿을 갖는 실시예에서, 교차-편광 요소(192)는 각각의 스폿으로부터의 편광들을 별도로 분할하여 4개 세트의 선형 편광 - V 조명에 대한 타겟으로부터의 V 편광된 나가는 방사선(outgoing radiation), V 조명에 대한 타겟으로부터의 H 편광된 나가는 방사선, H 조명에 대한 타겟으로부터의 V 편광된 나가는 방사선, 및 H 조명에 대한 타겟으로부터의 H 편광된 나가는 방사선을 측정할 수 있다.
실시예에서, 교차-편광 요소(12)가 상이하게 배치될 수 있다. 예를 들어, 이는 특정 선형 편광이 제1 방향으로 하나의 센서(190)를 향해 제1 방향으로 빔 분할 표면을 통과하고 직교 선형 편광은 제2 방향으로 다른 센서(190)로 빔 분할 표면으로부터 제1 방향과 실질적으로 직교하는 제2 방향으로 반사되는 편광 빔 스플리터-유형의 배열체일 수 있다. 다른 빔 지향 구성 요소를 포함하는 다른 배열체가 가능하다.
그러나 반사율 계수의 위상의 절대값만이 측정됨에 따라 교차-편광 검출만은 반사율 계수의 위상에 민감하지 않다. 적어도 일부 상대 위상을 측정할 수 있도록 하기 위하여, 리타더(retarder; 194) (예를 들어, 1/4 파장 플레이트)가 교차-편광 요소(192) 앞에 위치되어 있다. 이러한 리타더(194) 및 교차-편광 요소(192) 구성에서, 타겟의 H 편광 조명에 대한 2개의 출력 세기 채널은 다음과 같다:
Figure 112020024084981-pct00191
또한, 타겟의 H 편광 조명에 대한 것은 다음과 같다:
Figure 112020024084981-pct00192
간섭항(interference terms)은 반사율 매트릭스의 대각 채널과 비대각(off-diagonal) 채널 간의 상대 위상에 대한 정보를 전달한다. 따라서, 리타더(194)를 갖지 않는 (예를 들어, 교차-편광 요소(192)를 갖지만, 리타더(194)를 갖지 않는) 구성과 비교하여 관심 대상 매개변수(예를 들어, 오버레이) 신호의 에너지를 퓨필의 비교적 넓은 영역에 걸쳐 확산시키기 때문에, 리타더(194) 및 교차-편광 요소(192) 구성은 전형적으로 편도 영역(tonsil area; 예를 들어, 1075 및 1080)에서만 발견되는 비교적 강한 신호를 이용하는, 본 명세서에서 설명된 계측 기법 (예를 들어, 가중치와 조합된 퓨필로부터의 신호 성분에 기초한 오버레이 추론 방법)에서 특히 유용하다.
도 22는 교차-편광 요소를 사용하여, 타겟으로부터의 측정된 방사선으로부터 유도된 방사선 신호 에너지 분포의 예시적인 퓨필 (예를 들어, 야코비안 퓨필)을 개략적으로 도시하고 있다 (즉, 이 퓨필은, 예를 들어 교차-편광 요소(192)를 갖지만, 리타더(194)를 갖지 않는 배열체를 위한 것이다). 도 22의 퓨필은 타겟의 2개의 구조체 사이의 X 방향으로의 오버레이와 같은, 타겟의 특정 오버레이 매개변수에 대응한다. 실시예에서, 퓨필은 본 명세서에서 설명된 바와 같은 모델로부터 계산되며, 그리고 오버레이와 같은 관심 대상 매개변수에 대한 세기 퓨필, 예를 들어 야코비안 퓨필의 파생물이다. 실시예에서, 퓨필은 위에서 설명된 오버레이 추론 방법의 야코비안에 대응하며, 퓨필에 대하여 퓨필로부터의 신호 성분에 대한 가중치가 결정되고, 퓨필로부터의 그의 신호 성분과 가중치를 조합함으로써 오버레이가 결정된다. 퓨필(2200)은 H 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 H 편광에 대응한다. 퓨필(2210)은 V 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 H 편광에 대응한다. 퓨필(2220)은 H 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 V 편광에 대응한다. 퓨필(2230)은 V 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 V 편광에 대응한다. 볼 수 있는 바와 같이, 상대적으로 높은 신호 에너지는 퓨필(2210)의 대각선 상에 위치된 비교적 작은 편도 영역(2212) 및 퓨필(2220)의 대각선 상에 위치된 비교적 작은 편도 영역(2222) 내에 위치된다.
이제, 도 23은 교차-편광 요소와 리타더를 사용하여, 타겟으로부터의 측정된 방사선으로부터 유도된 방사선 신호 에너지 분포의 예시적인 퓨필 (예를 들어, 야코비안 퓨필)을 개략적으로 도시하고 있다 (즉, 이 퓨필은 예를 들어, 교차-편광 요소(192)와 1/4 파장 플레이트 형태의 리타더(194)를 갖는 배열체에 대한 것이다).
도 23의 퓨필은 타겟 내의 2개의 구조체 사이의 X 방향으로의 오버레이와 같은, 타겟의 특별한 오버레이 매개변수에 대응한다. 실시예에서, 퓨필은 본 명세서에 설명된 바와 같은 모델로부터 계산되며 그리고 오버레이와 같은 관심 대상 매개변수에 대한 세기 퓨필, 예를 들어 야코비안 퓨필의 파생물이다. 실시예에서, 퓨필은 위에서 설명된 오버레이 추론 방법의 야코비안에 대응하며, 퓨필에 대하여 퓨필로부터의 신호 성분에 대한 가중치가 결정되고, 퓨필로부터의 그의 신호 성분과 가중치를 조합함으로써 오버레이가 결정된다. 퓨필(2300)은 H 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 H 편광에 대응한다. 퓨필(2310)은 V 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 H 편광에 대응한다. 퓨필(2320)은 H 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 V 편광에 대응한다. 퓨필(2330)은 V 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 V 편광에 대응한다. 리타더가 없는 도 22의 퓨필과 비교하여, 도 23의 퓨필에서는 관심 대상 매개변수와 관련된 에너지 (예를 들어, 오버레이 신호)가 퓨필의 더 넓은 영역에 걸쳐 확산되는 반면, 도 22의 퓨필에서는 관심 대상 매개변수와 관련된 에너지 (예를 들어, 오버레이 신호)는 상대적으로 작은 편도 영역(2212 및 2222)에 집중되어 있다. 따라서, 도 23 내의 퓨필에서, 관심 대상 매개변수와 관련된 에너지는 도 22 퓨필 내의 편도 영역(2212 및 2222)과 같은 편도 영역으로부터 퓨필 축 상의 영역 (예를 들어, 퓨필(2310 및 2330) 내)으로 또는 퓨필의 내부 (예를 들어 퓨필(2300 및 2320) 내)로 확산된다.
그러나, 이 교차-편광 요소(192)와 리타더(194) 구성은 다수의 상이한 오버레이 (예를 들어, 각각 다양한 방향으로의, 제1 층과 제2 층 사이의 오버레이 및 제2 층과 제3 층 사이의 오버레이)를 측정할 때 덜 가치가 있을 수 있으며, 이는 모든 매개변수의 신호 에너지가 퓨필에 걸쳐 보다 균일하게 확산될 때 다수의 오버레이 매개변수를 비상관화시키기 더 어려워지기 때문이다. 대조적으로, 리타더(194)가 없는 교차-편광 요소(192) 구성은 더 많은 비상관화 능력을 제공할 수 있지만 퓨필의 상대적으로 작은 영역 (보통, 교정하기 어려운 편도부(tonsils))에서의 신호 국소화(signal localization)를 희생시킬 수 있다. 다시 말해, 교차-편광 요소(192)와 리타더(194) 구성 및 리타더(194)가 없는 교차-편광 요소(192) 구성은 원하는 오버레이 신호에 대응하는 측정된 방사선의 세기와 측정된 방사선으로부터의 상이한 오버레이 신호들을 비상관화시키는 능력 사이에 상이한 밸런스를 제공한다. 따라서, 교차-편광 요소(192)와 리타더(194) 구성 및 교차-편광 요소(192) 구성은 적용 의존적이다.
따라서, 실시예에서, 리타더(194)는 상이한 시간에 상이한 위상을 제공하도록 구성되어 있다. 예를 들어, 실시예에서, 리타더는 하나의 구성에서 위상을 제공하고 다른 구성에서는 (위상을 포함하지 않는) 상이한 위상을 제공하도록 전환 가능하다. 예를 들어, 리타더는 회절 방사선의 경로 내로 그리고 밖으로 이동 가능할 수 있다. 실시예에서, 리타더는 제1 위상을 제공하는 제1 리타더 요소 및 제2 위상을 제공하는 제2 리타더 요소를 포함할 수 있으며, 제1 및 제2 리타더 요소는 (예를 들어, 제1 및 제2 리타더 요소를 갖는 구조체의 회전에 의하여) 회절 방사선의 경로 내로 그리고 밖으로 이동 가능하다. 실시예에서, 리타더는 회절 방사선의 광학 경로 내에서 회전 가능하다. 검출 분기부 내에서의 이러한 전환 가능한 또는 회전 가능한 리타더로, 특정 적용을 위하여 원하는 반사율 성분의 선형 조합을 전환하거나 미세 조정할 수 있다.
상이한 광학 요소를 사용하여 리타더의 하나 이상의 이점을 얻는 것이 바람직할 수 있다. 예를 들어, 리타더가 사용 가능하지 않을 수 있다. 따라서, 예를 들어, 단일 오버레이 적용에서, 리타더없이 신호 대 잡음비 면에서 더 잘 수행하는, 교차-편광 요소와 리타더 구성의 하나 이상의 장점을 실현하는 것이 바람직할 수 있다. 또는, 리타더와의 조합하여 이용하여 상이한 광학 요소를 갖는 리타더의 기능의 일부를 얻는 것이 바람직할 수 있다.
따라서, 실시예에서, 교차-편광 요소는 리타더의 기능의 전부 또는 일부를 제공한다. 실시예에서, 교차-편광 요소의 편광 분할 축은 H 및 V 축과 각도를 이룬다. 실시예에서, 이 각도는 0도보다 크고 90 미만의 범위에서 선택, 예를 들어, 10 내지 80도의 범위에서, 20 내지 70도의 범위에서, 30 내지 60도 범위에서, 또는 40 내지 50도의 범위에서 선택된다. 실시예에서, 이 각도는 약 45도이다.
도 24a는 H 및 V 축과 각도를 이루는 편광 분할 축을 갖는 교차-편광 요소(199)를 포함하는 실시예에 따른 예시적인 계측 장치를 개략적으로 도시하고 있다. 선택적으로, 리타더(194)가 또한 제공될 수 있다. 실시예에서, 교차-편광 요소(199)는 H 및 V 축과 각도를 이루는 그의 편광 분할 축을 갖거나 갖도록 구성될 수 있다는 점을 제외하고 교차-편광 요소(192)와 동일하다. 실시예에서, 교차-편광 요소(199)는 특정 각도로 고정된 그의 편광 분할 축을 가질 수 있다. 실시예에서, 각도는 가변적이다. 예를 들어, 실시예에서, 교차-편광 요소(199)는 상이한 시간에 상이한 각도를 제공하도록 구성되어 있다. 예를 들어, 실시예에서, 교차-편광 요소(199)는 한 구성에서는 특정 각도를 제공하고 다른 구성에서는 (각도가 없는 것을 포함하는) 상이한 각도를 제공하도록 전환 가능하다. 예를 들어, 교차-편광 요소(199)는 회절 방사선의 경로 내로 그리고 밖으로 이동 가능할 수 있다. 실시예에서, 교차-편광 요소(199)는 제1 각도를 제공하는 제1 교차-편광 요소부 및 제2 각도를 제공하는 제2 교차-편광 요소부를 포함할 수 있으며, 제1 및 제2 교차-편광 요소부는 (예를 들어, 제1 및 제2 교차-편광 요소부를 갖는 구조체의 회전에 의하여) 회절 방사선의 경로를 내로 그리고 밖으로 이동 가능하다. 실시예에서, 교차-편광 요소(199)는 회절 방사선의 광학 경로 내에서 회전 가능하다. 검출 분기부에서의 이러한 전환 가능한 또는 회전 가능한 교차-편광 요소(199)로, 특정 적용을 위하여 반사율 성분의 원하는 선형 조합을 전환 또는 미세 조정하는 것이 가능하다. 즉, 실시예에서, 반사 계수의 선형 중첩을 원하는 적용으로 조정할 수 있도록 상이한 시간에 상이한 각도를 제공하기 위하여, 전환 가능한 또는 회전 가능한 교차-편광 요소(199)를 갖는 것이 유리할 것이다.
실시예에 따른 조명 방사선의 편광 축과 각도를 이루는 편광 축을 갖는 교차-편광 요소의 효과가 도 24b에 매우 개략적으로 도시되어있다. 도 24b에서 보여지는 바와 같이, H 및 V 축에 따라 한정된 편광을 갖는 조명 방사선(170, 172)이 타겟(T)에 제공된다. 위에서 언급된 바와 같이, 방사선은 H 축을 따라는 선형 편광 또는 V 축을 따르는 선형 편광을 가질 수 있다. 실시예에서, H 축을 따르는 선형 편광은 제1 조명에 제공될 수 있고 V 축을 따르는 선형 편광은 그 다음의 제2 조명에 제공될 수 있으며, 그 역도 마찬가지이다.
이 조명 방사선은 방사선(174, 176)이 되도록 타겟에 의해 재지향되고 H 및 V축과 각도(θ)를 이루는 편광 분할 축을 갖는 교차-편광 요소(199)로 나아간다. 교차-편광 요소(199)의 효과는 편광의 좌표계를 도시된 직교 축(1 및 2)을 갖는 좌표계로 효과적으로 회전시키는 것이다. 1 및 2 축을 가진 좌표계는 H 및 V 축에 대하여 각도(θ)만큼 회전된다. 따라서, 선형 H 또는 V 편광 입력 및, 2개의 직교 선형 편광 출력을 제공하는, 각도(θ)를 이루는 그의 편광 분할 축을 갖는 교차-편광 요소(199)를 사용하여, 검출기(190)는 1 축을 따르는 선형 편광 및 2 축을 따르는 선형 편광을 효과적으로 측정하며, 여기서 1 및 2 축은 H 및 V 축에 대해 각도(θ)만큼 회전된다.
따라서, 교차-편광 요소의 편광 분할 축의 각도가 센서의 광학 축 주위에서 45도 (그리고 리타더를 사용하지 않고)이면, 검출된 세기는 다음에 비례하게 된다:
Figure 112020024084981-pct00193
Figure 112020024084981-pct00194
위 수학식은 타겟의 H 선형 편광 조명을 위한 것이며, 여기서 R1은 1 축을 따른 편광을 갖는 교차-편광 요소(199)로부터의 측정된 방사선에 대응하며 (도 24b 참조) R2는 2축을 따른 편광을 갖는 교차-편광 요소(199)로부터의 측정 방사선에 대응하며, 1 및 2 축은 H 및 V 축과 45도를 이루고,
Figure 112020024084981-pct00195
Figure 112020024084981-pct00196
위 수학식은 타겟의 V 선형 편광 조명을 위한 것이다. 물론, 공식은 45도가 아닌 상이한 각도에 대한 적절한 삼각 함수를 사용하여 필요에 따라 조정될 것이다. 이 경우, 대각 및 비대각 반사율 계수는 비록 대각 편광 요소(192) 및 리타더(194) 구성에서와는 상이할지라도, 교차-편광 요소(192) 및 리타더(194) 구성과 유사한 방식으로 간섭한다. 그러나 이 간섭항은 유사한 역할을 한다. 즉, 이들은 퓨필 내부에 신호 에너지를 확산시킨다.
도 25는 H 및 V축과 45도의 각도를 이루는 교차-편광 요소의 편광 분할 축을 갖는 교차-편광 요소를 사용하여 타겟으로부터 측정된 방사선으로부터 유도된 방사선 신호 에너지 분포의 예시적인 퓨필 (예를 들어, 야코비안 퓨필)을 개략적으로 도시하고 있다 (즉, 이 퓨필은, 예를 들어 교차-편광 요소(199)를 갖는 배열체를 위한 것이다). 도 25의 퓨필은 타겟 내의 2개의 구조체 사이의 X 방향으로의 오버레이와 같은, 타겟의 특별한 오버레이 매개변수에 대응한다. 실시예에서, 퓨필은 본 명세서에 설명된 바와 같은 모델로부터 계산되며 그리고 오버레이와 같은 관심 대상 매개변수에 대한 세기 퓨필, 예를 들어 야코비안 퓨필의 파생물이다. 실시예에서, 퓨필은 위에서 설명된 오버레이 추론 방법의 야코비안에 대응하며, 퓨필에 대하여 퓨필로부터의 신호 성분에 대한 가중치가 결정되고, 퓨필로부터의 그의 신호 성분과 가중치를 조합함으로써 오버레이가 결정된다. 퓨필(2500)은 H 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 1축 편광에 대응한다. 퓨필(2510)은 V 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 1축 편광에 대응한다. 퓨필(2520)은 H 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 2축 편광에 대응한다. 퓨필(2520)은 V 편광 조명 방사선 및 타겟으로부터의 나가는 방사선의 측정된 2축 편광에 대응한다. 위에서 설명된 바와 같이, 1축 및 2축은 H축 및 V축과 각도(이 경우, 45도)를 이룬다.
조명 방사선의 편광 방향과 0도의 각도를 이루고 리타더가 없는 교차-편광 요소를 갖는 도 22의 퓨필과 비교하여, 비교적 높은 신호 에너지는 퓨필(2510)에 대한 상당히 큰 영역(2512), 퓨필(2520)에 대한 상당히 큰 영역(2522) 그리고 퓨필(2530)에 대한 상당히 큰 영역(2532)과 같은 상당히 큰 영역에 위치된다. 또한, 퓨필(2500)에 대한 영역(2502) 내의 신호 에너지는 일반적으로 낮지만 여전히 일반적으로 잘 분포되어 있다. 또한, 신호 에너지는 일반적으로 도 22의 퓨필과 비교하여 퓨필의 내부 영역에서 더 크다. 또한, 도 23의 퓨필과 비교하여, 퓨필(2510 및 2530)에 대해 신호 에너지가 대체로 더 크고 편도 영역 더 밖으로 분포된다는 것을 알 수 있다. 따라서, 도 23과 비교하여, 도 25의 퓨필은 대체로 더 큰 신호 에너지를 생성하며 그 신호 에너지는 퓨필 내부에서 더 큰 분포를 갖는다. 퓨필(2500 및 2520)에 관하여, 신호 에너지는 대체로 도 25의 퓨필(2300 및 2320)에 비교하여 적을 수 있지만, 신호 에너지는 여전히 퓨필을 통해 상당히 잘 분포되어 있다.
실시예에서, H 및 V 축과 각도를 이루는 편광 분할 축을 갖는 교차-편광 요소(199)를 이용하는 측정 방법은 조명 방사선의 적용 가능한 H 또는 V 축과 각도를 이루지 않는 편광 분할 축을 갖는 교차-편광 요소(199)를 이용하는 갖는 것보다 교정하기가 더 쉬울 수 있다. 이는, 예를 들어 신호 성분 및 가중치를 사용하는 추론 방법을 사용하는 실시예에서, 추론은 대각 및 비대각 반사율을 기초로 하기 때문이며, 따라서 세기 면에서 출력 채널을 상쇄(balancing)한다. 실시예에서, 이는 대각 및 비대각 반사율 성분들 사이의 상대 위상에 민감하기 때문에, H 및 V 축과 각도를 이루는 편광 분할 축을 갖는 교차-편광 요소(199)는 조명 방사선의 적용 가능한 H 또는 V 축과 각도를 이루지 않는 편광 분할 축을 갖는 교차 편광 요소(199)보다 다양한 CD, 오버레이 등의 매개변수에 대한 더 우수한 민감도를 제공할 수 있다.
따라서, 실시예에서, 리타더를 이용한 교차 편광 검출의 하나 이상의 이점은 리타더와 상이한 (예를 들어, 리타더 자체의 필요성이 없는) 광학 구성 요소, 즉 H 및 V 축과 각도를 이루는 편광 분할 축을 갖는 교차-편광 요소(199)를 사용하여 획득될 수 있다. 선택적으로, 교차-편광 요소(199)는 H 및 V 축으로부터 가변적인 편광 분할 축 각도를 제공하여 반사율 성분의 적용 의존적 선형 조합을 가능하게 할 수 있다. 실시예에서, H 및 V 축과 각도를 이루는 편광 분할 축을 갖는 교차-편광 요소(199)는 디바이스 패턴 자체의 일부인 타겟을 측정 (즉, 인-디바이스(in-device) 계측)하는데 사용된다.
결정된 패터닝 공정 매개변수 값 (예를 들어, 오버레이 값) 및 본 명세서 내의 기법은 많은 목적을 위하여 사용될 수 있다. 예를 들어, 패터닝 공정을 가능하게 하는 중요한 측면은 공정 자체를 개발하는 것, 모니터링 및 제어를 위하여 공정을 설정하는 것 및 그후 공정 자체를 실제로 모니터링하고 제어 (예를 들어, 패터닝 공정 매개변수 값을 기반으로 결함의 가능성 예측)하는 것을 포함할 수 있다. 패터닝 공정 매개변수 값 및 본 명세서 내의 기법은 이 측면들 중 임의의 것에서 사용될 수 있다. 또한, 패터닝 디바이스 패턴(들), 레지스트 유형(들), 리소그래피 공정 후 단계 (예를 들어, 현상, 에칭 등)와 같은 패터닝 공정의 기본 사항의 구성을 가정하면, 패턴을 기판 상으로 전사하기 위하여 장치를 패터닝 공정에 셋업(setup)하고, 공정을 모니터링하기 위해 하나 이상의 계측 타겟을 현상하고, 계측 타겟을 측정하고 측정을 기반으로 공정을 모니터링 및/또는 제어하는 과정을 구현하기 위해 계측 공정을 셋업하는 것이 바람직하다. 본 명세서 내에서의 패터닝 공정 매개변수 값 및 기법은 이 공정들 중 임의의 것에서 사용될 수 있다.
본 출원에서의 논의는 기판 상에 형성되는 디바이스의 오버레이를 측정하도록 설계된 계측 공정 및 계측 타겟의 실시예를 고려하지만, 본 명세서의 실시예는 대칭적 구조체 내의, 측벽 각도 비대칭, 최하부 플로어 경사 각도의 비대칭, CD의 비대칭 등과 같은 다양한 다른 비대칭성들을 측정하기 위한 공정 및 타겟과 같은 다른 계측 공정 및 타겟에 동일하게 적용될 수 있다. 따라서, 오버레이 계측 타겟, 오버레이 데이터 등에 대한 본 명세서에서의 참조는 다른 종류의 계측 공정 및 타겟이 상이한 종류의 관심 대상 매개변수 (예를 들어, CD, 에지 배치 오차 등)을 결정할 수 있도록 적절하게 변형된 것으로 간주되어야 한다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것; 구조체로부터 교차-편광 요소로 재지향된 방사선을 받아들이는 것 -교차-편광 요소는 제1 방향으로의 선형 편광을 갖는 회절 방사선을 제1 방향과 실질적으로 직교하는 제2 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하며, 교차-편광 요소는 제1 및 제2 방향과 각도를 이루는 편광 분할 축을 가짐-; 및 센서 시스템을 이용하여, 제1 방향으로의 선형 편광을 갖는 재지향된 방사선 및 제2 방향으로의 선형 편광을 갖는 재지향된 방사선의 광학 특성을 측정하는 것을 포함하는 방법이 제공된다.
실시예에서 본 방법은 제2 방향으로의 선형 편광을 갖는 방사선으로 구조체를 별도로 조명하여 재지향된 방사선을 산출하는 것, 교차-편광 요소를 이용하여, 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로, 제1 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 센서 시스템으로 제공하는 것, 및 센서 시스템을 이용하여, 제1 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선 및 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하는 것을 더 포함하고 있다. 실시예에서, 본 방법은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제1 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제1 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여, 계측 타겟을 형성하는데 사용된 패터닝 공정의 관심 대상 매개변수를 결정하는 것을 더 포함하고 있다. 실시예에서, 각도는 40 내지 50도의 범위에서 선택된다. 실시예에서, 타겟은 디바이스 패턴 자체의 일부분이다. 실시예에서, 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 구조체의 상이한 물리적 구성이 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하는 것을 포함하며, 관심 대상 매개변수는 물리적 구성의 변화를 측정한다. 실시예에서, 본 방법은 제1 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성 및 제2 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만들기 위하여 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하는 것을 더 포함하고 있다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것; 구조체로부터 교차-편광 요소로 재지향된 방사선을 받아들이는 것 -교차-편광 요소는 제2 방향으로의 선형 편광을 갖는 회절 방사선을 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하며, 교차-편광 요소는 제1 방향이 제2 방향과 각도를 이루도록 편광 분할 축을 가짐-; 및 센서 시스템을 이용하여, 제2 방향으로의 선형 편광을 갖는 재지향된 방사선 및 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 광학 특성을 측정하는 것을 포함하는 방법이 제공된다.
실시예에서 본 방법은 제1 방향에 직교하는 제4 방향으로의 선형 편광을 갖는 방사선으로 구조체를 별도로 조명하여 재지향된 방사선을 산출하는 것, 교차-편광 요소를 이용하여, 제3방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로, 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 센서 시스템으로 제공하는 것 -교차-편광 요소는 제4 방향이 제3 방향과 각도를 이루도록 편광 분할 축을 가짐-, 센서 시스템을 이용하여, 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선 및 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하는 것을 더 포함하고 있다. 실시예에서, 본 방법은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여, 계측 타겟을 형성하는데 사용된 패터닝 공정의 관심 대상 매개변수를 결정하는 것을 더 포함하고 있다. 실시예에서, 각도는 40 내지 50도의 범위에서 선택된다. 실시예에서, 타겟은 디바이스 패턴 자체의 일부분이다. 실시예에서, 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 구조체의 상이한 물리적 구성이 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하는 것을 포함하며, 관심 대상 매개변수는 물리적 구성의 변화를 측정한다. 실시예에서, 본 방법은 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성 및 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만드는데 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하는 것을 더 포함하고 있다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 제공하도록 구성된 광학 요소; 구조체로부터 재지향된 방사선의 광학 특성을 측정하도록 구성된 센서 시스템; 및 구조체로부터 재지향된 방사선을 받아들이도록 그리고 제2 방향으로의 선형 편광을 갖는 재지향된 방사선을 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하도록 구성된 교차-편광 요소 -교차-편광 요소는 제1 방향이 제2 방향과 각도를 이루도록 편광 분할 축을 가짐- 를 포함하는 계측 장치가 제공된다.
실시예에서, 각도는 40 내지 50도의 범위에서 선택된다. 실시예에서, 타겟은 디바이스 패턴 자체의 일부분이다. 실시예에서, 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 구조체의 상이한 물리적 구성이 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고, 프로세서 시스템은 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하도록 구성되며, 관심 대상 매개변수는 물리적 구성 변화를 측정한다. 실시예에서, 계측 장치는 제2 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성 및/또는 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성을 이용하여, 상기 계측 타겟을 만드는데 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 구성된 프로세서 시스템을 포함하고 있다. 실시예에서, 프로세서 시스템은 제4 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 별도로 제공하여 재지향된 방사선을 산출하도록 구성되며, 교차-편광 요소는 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로 센서 시스템에 제공하도록 구성되고, 교차-편광 요소는 제4 방향이 제3 방향과 각도를 이루도록 편광 분할 축을 가지며, 센서 시스템은 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하도록 구성되고, 프로세서 시스템은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선, 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선, 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선, 및/또는 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선에서 선택된 2개 이상의 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만드는데 이용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 더 구성되어 있다. 실시예에서, 프로세서 시스템은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여 관심 대상 매개변수를 결정하도록 구성된다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 제공하도록 구성된 광학 요소; 구조체로부터 재지향된 방사선의 광학 특성을 측정하도록 구성된 센서 시스템; 구조체로부터 재지향된 방사선을 받아들이도록 그리고 위상을 제1 방향으로의 편광을 갖는 재지향된 방사선 및 제1 방향과 실질적으로 직교하는 제2 방향으로의 편광을 갖는 재지향된 방사선으로 제공하도록 구성된 리타더 시스템 -리타더 시스템은 상이한 시간에 상이한 위상을 제공하도록 구성됨-; 및 구조체로부터 재지향된 방사선을 받아들이도록 그리고 제3 방향으로의 선형 편광을 갖는 재지향된 방사선을 제3 방향과 실질적으로 직교하는 제4 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하도록 구성된 교차-편광 요소를 포함하는 계측 장치가 제공된다.
실시예에서, 리타더는 재지향된 방사선의 광학 경로 내에서 회전 가능하다. 실시예에서, 교차-편광 요소는 제1 및 제2 방향과 각도를 이루는 편광 분할 축을 갖고 있다. 실시예에서, 제3방향은 제2 방향과 각도를 이루며, 제2 방향은 제4 방향과 각도를 이룬다. 실시예에서, 타겟은 디바이스 패턴 자체의 일부분이다. 실시예에서, 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 구조체의 상이한 물리적 구성이 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고, 프로세서 시스템은 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하도록 구성되며, 관심 대상 매개변수는 물리적 구성 변화를 측정한다. 실시예에서, 계측 장치는 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성 및/또는 제4 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만들기 위하여 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 구성된 프로세서 시스템을 포함하고 있다. 실시예에서, 프로세서 시스템은 제2 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 별도로 제공하여 재지향된 방사선을 산출하도록 구성되며, 교차-편광 요소는 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 제4 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로 센서 시스템에 제공하도록 구성되고, 센서 시스템은 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 제4 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하도록 구성되며, 프로세서 시스템은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선, 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선, 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제4 방향으로의 선형 편광을 갖는 재지향된 방사선, 및/또는 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제4 방향으로의 선형 편광을 갖는 재지향된 방사선에서 선택된 2개 이상의 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만드는데 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 더 구성되어 있다.
실시예에서, 프로세서 시스템은 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제4 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여 관심 대상 매개변수를 결정하도록 구성되어 있다.
실시예에서, 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것; 구조체로부터 편광 요소로 재지향된 방사선을 받아들이는 것 -편향 요소는 제1 방향과 각도를 이루는 편광 분할 축을 가짐-; 및 센서 시스템을 사용하여, 재지향된 방사선의 광학 특성을 측정하는 것을 포함하는 방법이 제공된다.
실시예에서, 편광 요소는 제1 유형의 편광의 재지향된 방사선 및 제2 유형의 편광의 재지향된 방사선을 별도로 제공하도록 구성되어 있다. 실시예에서, 제1 유형의 편광은 제1 방향과 각도를 이루는 제2 방향으로의 선형 편광이며, 제2 편광은 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 편광이다. 실시예에서, 본 방법은 센서 시스템을 이용하여, 제1 유형의 편광 및 제2 유형의 편광의 재지향된 방사선의 광학 특성을 측정하는 것을 포함하고 있다.
도 26을 참고하면, 컴퓨터 시스템(3200)이 보여지고 있다. 컴퓨터 시스템(3200)은 정보를 전달하기 위한 버스(3202) 또는 다른 통신 메커니즘 및 정보를 처리하기 위하여 버스(3202)에 연결된 프로세서(3204) (또는 다중 프로세서(3204 및 3205))를 포함하고 있다. 컴퓨터 시스템(3200)은 또한 프로세서(3204)에 의해 실행되는 명령어 및 정보를 저장하기 위하여 버스(3202)에 연결된 랜덤 액세스 메모리(RAM) 또는 다른 동적 저장 디바이스와 같은, 메인 메모리(3206)를 포함하고 있다. 메인 메모리(3206)는 또한 프로세서(3204)에 의해 실행될 명령어의 실행 동안 임시 변수 또는 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(3200)은 또한 정적 정보 및 프로세서(3204)에 대한 명령어를 저장하기 위해 버스(3202)에 연결된 판독 전용 메모리(ROM)(3208) 또는 다른 정적 저장 디바이스를 더 포함하고 있다. 정보 및 명령어를 저장하기 위하여, 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(3210)가 제공되고 버스(3202)에 연결된다.
컴퓨터 시스템(3200)은 버스(3202)를 통하여, 컴퓨터 사용자에게 정보를 디스플레이하기 위한 음극선관(CRT) 또는 플랫 패널 또는 터치 패널 디스플레이와 같은, 디스플레이(3212)에 연결될 수 있다. 영숫자 및 다른 키를 포함하는 입력 장치(3214)는 정보 및 명령어 선택을 프로세서(3204)에 전달하기 위해 버스(3202)에 연결되어 있다. 다른 유형의 사용자 입력 디바이스는 방향 정보 및 명령어 선택을 프로세서(3204)에 전달하고 디스플레이(3212) 상에서의 커서 이동을 제어하기 위한 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 제어부(3216)이다. 이 입력 디바이스는 전형적으로 디바이스가 평면 내의 위치를 특정하게 하는 제1축(예를 들어, x) 및 제2 축(예를 들어, y)의 2개의 축에서 2 자유도를 갖고 있다. 터치 패널(스크린) 디스플레이 또한 입력 디바이스로서 사용될 수 있다.
컴퓨터 시스템(3200)은 메인 메모리(3206) 내에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 프로세서(3204)에 응답하여 본 발명에서 처리 유닛의 역할을 하기에 적합할 수 있다. 이러한 명령어는 저장 디바이스(3210)와 같은 다른 컴퓨터-판독 가능한 매체로부터 메인 메모리(3206) 내로 판독될 수 있다. 메인 메모리(3206)에 포함된 명령어의 시퀀스의 실행은 프로세서(3204)가 본 명세서에서 설명된 공정을 수행하게 한다. 다중 처리 구성에서의 하나 이상의 프로세서는 또한 메인 메모리(3206)에 포함된 명령어의 시퀀스를 실행하기 위해 사용될 수 있다. 대안적인 실시예에서, 소프트웨어 명령어 대신 또는 소프트웨어 명령어와 조합하여 하드웨어에 내장된 회로(hard-wired circuitry) 회로가 사용될 수 있다. 따라서, 실시예는 하드웨어 회로 및 소프트웨어의 임의의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 바와 같은 용어 "컴퓨터-판독 가능한 매체"는 실행을 위하여 프로세서(3204)에 명령어를 제공하는데 관여하는 임의의 매체를 지칭한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하는 복수의 형태를 취할 수 있으나, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(3210)와 같은 광학 또는 자기 디스크를 포함하고 있다. 휘발성 매체는 메인 메모리(3206)와 같은 동적 메모리를 포함하고 있다. 전송 매체는 동축 케이블, 구리 와이어 및 광섬유를 포함하며, 이들은 버스(3202)를 포함하는 와이어를 포함하고 있다. 전송 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파(acoustic wave) 또는 광파(light wave)의 형태를 취할 수 있다. 컴퓨터-판독 가능한 매체의 공통적인 형태는, 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 홀(hole)들의 패턴을 갖는 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함하고 있다.
다양한 형태의 컴퓨터 판독 가능한 매체는 실행을 위하여 하나 이상의 명령어의 하나 이상의 시퀀스를 프로세서(3204)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어는 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 명령어를 그의 동적 메모리로 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어를 전송할 수 있다. 컴퓨터 시스템(3200)에 로컬인 모뎀은 전화선 상의 데이터를 수신할 수 있으며, 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(3202)에 연결된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 데이터를 버스(3202)에 넣을 수 있다. 버스(3202)는 메인 메모리(3206)로 데이터를 전달하며, 프로세서(3204)는 메인 메모리로부터 명령어를 회수하고 실행한다. 메인 메모리(3206)에 의해 수신된 명령어는 프로세서(3204)에 의한 실행 전 또는 실행 후에 저장 디바이스(3210)에 선택적으로 저장될 수 있다.
컴퓨터 시스템(3200)은 또한 버스(3202)에 연결된 통신 인터페이스(3218)를 포함할 수 있다. 통신 인터페이스(328)는 로컬 네트워크(3222)에 연결된 네트워크 링크(3220)에 양방향 데이터 통신 커플링(two-way data communication coupling)을 제공한다. 예를 들어, 통신 인터페이스(3218)는 통합 서비스 디지털 네트워크(ISDN) 카드 또는 대응하는 유형의 전화선에 데이터 통신 연결을 제공하기 위한 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(3218)는 호환성 LAN에 데이터 통신 연결을 제공하기 위한 근거리 통신망(local area network; LAN) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 임의의 이러한 구현에서, 통신 인터페이스(3218)는 다양한 유형의 정보를 나타내는 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 송신하고 수신한다.
네트워크 링크(3220)는 전형적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(3220)는 로컬 네트워크(3222)를 통하여 호스트 컴퓨터(32124)에 또는 인터넷 서비스 제공자(ISP)(3226)에 의해 작동되는 데이터 장비로 연결을 제공할 수 있다. 결과적으로ISP(3226)는, 이제 통상적으로 "인터넷"(3228)으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(3222) 및 인터넷(3228) 모두는 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 사용한다. 컴퓨터 시스템(3200)으로 그리고 그로부터 디지털 데이터를 전달하는, 다양한 네트워크를 통한 신호 및 네트워크 링크(3220) 상의 그리고 통신 인터페이스(3218)를 통한 신호는 정보를 전송하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(3200)은 네트워크(들), 네트워크 링크(3220) 및 통신 인터페이스(3218)를 통해, 프로그램 코드를 포함하는 메시지를 송신하고 데이터를 수신할 수 있다. 인터넷 예에서, 서버(3230)는 인터넷(3228), ISP(3226), 로컬 네트워크(3222) 및 통신 인터페이스(3218)를 통하여 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나 이상의 실시예에 따르면, 예를 들어 하나의 이러한 다운로드된 어플리케이션은 본 명세서에 개시된 바와 같은 방법을 제공한다. 수신된 코드는 수신됨에 따라 프로세서(3204)에 의해 실행될 수 있으며 및/또는 이후 실행을 위하여 저장 디바이스(3210) 또는 다른 비휘발성 저장부에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(3200)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
본 발명의 실시예는 본 명세서에 개시된 바와 같은 방법을 설명하는 하나 이상의 기계-판독 가능한 명령어의 시퀀스를 포함하는 컴퓨터 프로그램 또는 내부에 저장된 이러한 컴퓨터 프로그램을 갖는 데이터 저장 매체 (예를 들어, 반도체 메모리, 자기 또는 광 디스크)의 형태를 취할 수 있다. 또한, 기계 판독 가능한 명령어는 2개 이상의 컴퓨터 프로그램으로 구현될 수 있다. 개 이상의 컴퓨터 프로그램은 하나 이상의 상이한 메모리 및/또는 데이터 저장 매체에 저장될 수 있다.
본 명세서에 설명된 임의의 컨트롤러들은 하나 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 구성 요소 내에 위치된 하나 이상의 컴퓨터 프로세서에 의해 판독될 때 각각 또는 조합하여 작동 가능할 수 있다. 컨트롤러들은 각각 또는 조합하여 신호를 수신, 처리 및 전송하기 위한 임의의 적합한 구성을 가질 수 있다. 하나 이상의 프로세서는 적어도 하나의 컨트롤러와 통신하도록 구성되어 있다. 예를 들어, 각 컨트롤러는 위에서 설명된 방법에 대한 기계 판독 가능 명령어를 포함하는 컴퓨터 프로그램을 실행하기 위한 하나 이상의 프로세서를 포함할 수 있다. 컨트롤러는 이러한 컴퓨터 프로그램을 저장하기 위한 데이터 저장 매체 및/또는 그러한 매체를 수용하기 위한 하드웨어를 포함할 수 있다. 따라서 컨트롤러(들)는 하나 이상의 컴퓨터 프로그램의 기계-판독 가능한 명령어에 따라 작동할 수 있다.
본 명세서에서는 IC의 제조에서의 계측 장치의 사용에 대해 특정한 언급이 이루어질 수 있지만, 본 명세서에 설명된 계측 장치 및 방법은, 집적 광학 시스템, 자기 도메인 메모리를 위한 안내 및 검출 패턴, 플랫-패널 디스플레이, 액정 디스플레이(LCDs), 박막 자기 헤드(thin film magnetic heads) 등의 제조와 같은 다른 적용을 가질 수 있다는 점이 이해되어야 한다. 당 업자는 이러한 대안적인 적용의 문맥에서 본 명세서에서의 용어 "웨이퍼" 또는 "다이"의 임의의 사용은 보다 일반적인 용어 "기판" 또는 "타겟 부분"과 각각 동의어로써 고려될 수 있다는 점을 인식할 것이다. 본 명세서에 언급된 기판은 노광 전 또는 후에, 예를 들어 트랙 (전형적으로 레지스트의 층을 기판에 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및/또는 하나 이상의 다양한 다른 툴에서 처리될 수 있다. 적용 가능한 경우, 본 명세서 내의 본 발명은 이러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 기판은 예를 들어 다층 IC를 생성하기 위해 한번 이상 처리될 수 있으며, 따라서 본 명세서에서 사용된 용어 기판은 또한 복수의 처리된 층을 이미 포함하고 있는 기판을 지칭할 수 있다.
본 발명에 따른 추가 실시예는 하기의 번호가 부여된 항목에 더 설명되어 있다:
1. 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것;
구조체로부터 교차-편광 요소로 재지향된 방사선을 받아들이는 것 -교차-편광 요소는 제2 방향으로의 선형 편광을 갖는 회절 방사선을 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하며, 교차-편광 요소는 제1 방향이 제2 방향과 각도를 이루도록 편광 분할 축을 가짐-; 및
센서 시스템을 이용하여, 제2 방향으로의 선형 편광을 갖는 재지향된 방사선 및 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 광학 특성을 측정하는 것을 포함하고 있다.
2. 항목 1의 방법은, 제1 방향과 직교하는 제4 방향으로의 선형 편광을 갖는 방사선으로 구조체를 별도로 조명하여 재지향된 방사선을 산출하는 것; 교차-편광 요소를 이용하여, 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로, 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 센서 시스템으로 제공하는 것 - 교차-편광 요소는 제4 방향이 제3 방향과 각도를 이루도록 편광 분할 축을 가짐-; 및 센서 시스템을 이용하여, 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선 및 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하는 것을 더 포함하고 있다.
3. 항목 2의 방법은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여, 계측 타겟을 형성하는데 사용된 패터닝 공정의 관심 대상 매개변수를 결정하는 것을 더 포함하고 있다.
4. 항목 1 내지 3 중 어느 한 항목의 방법에서, 각도는 40 내지 50도의 범위에서 선택된다.
5. 항목 1 내지 4 중 어느 한 항목의 방법에서, 타겟은 디바이스 패턴 자체의 일부분이다.
6. 항목 1 내지 5 중 어느 한 항목의 방법에서, 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 구조체의 상이한 물리적 구성이 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하는 것을 포함하며, 관심 대상 매개변수는 물리적 구성의 변화를 측정한다.
7. 항목 1, 2 또는 4 내지 6 중 어느 한 항목의 방법은 제2 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성 및 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만들기 위하여 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하는 것을 더 포함하고 있다.
8. 계측 장치는,
제1 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 제공하도록 구성된 광학 요소;
구조체로부터 재지향된 방사선의 광학 특성을 측정하도록 구성된 센서 시스템; 및
구조체로부터 재지향된 방사선을 받아들이도록 그리고 제2 방향으로의 선형 편광을 갖는 재지향된 방사선을 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하도록 구성된 교차-편광 요소 -교차-편광 요소는 제1 방향이 제2 방향과 각도를 이루도록 편광 분할 축을 가짐-를 포함하고 있다.
9. 항목 8의 계측 장치에서, 각도는 40 내지 50도의 범위에서 선택된다.
10. 항목 8 또는 항목 9의 계측 장치에서, 타겟은 디바이스 패턴 자체의 일부분이다.
11. 항목 8 내지 10항 중 어느 한 항목의 계측 장치에서, 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 구조체의 상이한 물리적 구성이 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고, 프로세서 시스템은 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하도록 구성되며, 관심 대상 매개변수는 물리적 구성 변화를 측정한다.
12. 8항목 내지 11 중 어느 한 항목의 계측 장치는 제2 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성 및/또는 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만들기 위하여 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 구성된 프로세서 시스템을 포함하고 있다.
13. 항목 12의 계측 장치에서, 프로세서 시스템은 제4 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 별도로 제공하여 재지향된 방사선을 산출하도록 구성되며, 교차-편광 요소는 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로 센서 시스템에 제공하도록 구성되고, 교차-편광 요소는 제4 방향이 제3 방향과 각도를 이루도록 편광 분할 축을 가지며, 센서 시스템은 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하도록 구성되며, 프로세서 시스템은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선, 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선, 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선, 및/또는 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선에서 선택된 2개 이상의 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만들기 위해 이용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 더 구성되어 있다.
14. 항목 13항의 계측 장치에서, 프로세서 시스템은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여 관심 대상 매개변수를 결정하도록 구성되어 있다.
15. 계측 장치는,
제1 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 제공하도록 구성된 광학 요소;
구조체로부터 재지향된 방사선의 광학 특성을 측정하도록 구성된 센서 시스템;
구조체로부터 재지향된 방사선을 받아들이도록 그리고 위상을 제1 방향으로의 편광을 갖는 재지향된 방사선 및 제1 방향과 실질적으로 직교하는 제2 방향으로의 편광을 갖는 재지향된 방사선으로 제공하도록 구성된 리타더 시스템 -리타더 시스템은 상이한 시간에 상이한 위상을 제공하도록 구성됨; 및
구조체로부터 재지향된 방사선을 받아들이도록 그리고 제3 방향으로의 선형 편광을 갖는 재지향된 방사선을 제3 방향과 실질적으로 직교하는 제4 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하도록 구성된 교차-편광 요소를 포함하고 있다.
16. 항목 15의 계측 장치에서, 리타더는 재지향된 방사선의 광학 경로 내에서 회전 가능하다.
17. 항목 15 또는 항목의 16의 계측 장치에서, 교차-편광 요소는 제1 및 제2 방향과 각도를 이루는 편광 분할 축을 갖고 있다.
18. 항목 17의 계측 장치에서, 제3 방향은 제1 방향과 각도를 이루며, 제2 방향은 제4 방향과 각도를 이룬다.
19. 항목 15 내지 18 중 어느 한 항목의 계측 장치에서, 타겟은 디바이스 패턴 자체의 일부분이다.
20. 항목 15 내지 19 중 어느 한 항목의 계측 장치에서, 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 구조체의 상이한 물리적 구성이 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고, 센서 시스템은 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하도록 구성되며, 관심 대상 매개변수는 물리적 구성의 변화를 측정한다.
21. 항목 15 내지 20 중 어느 한 항의 계측 장치는, 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성 및/또는 제4 방향으로의 선형 편광을 갖는 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만들기 위하여 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 구성된 프로세서 시스템을 포함하고 있다.
22. 항목 21의 계측 장치에서, 프로세서 시스템은 제2 방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 별도로 제공하여 재지향된 방사선을 산출하도록 구성되며, 교차-편광 요소는 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 제4 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로 센서 시스템에 제공하도록 구성되고, 센서 시스템은 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선 및 제4 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하도록 구성되며, 프로세서 시스템은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선, 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선, 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제4 방향으로의 선형 편광을 갖는 재지향된 방사선, 및/또는 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제4 방향으로의 선형 편광을 갖는 재지향된 방사선에서 선택된 2개 이상의 재지향된 방사선의 측정된 광학 특성을 이용하여, 계측 타겟을 만들기 위해 이용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 더 구성되어 있다.
23. 항목 22의 계측 장치에서, 프로세서 시스템은 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성, 및 제2 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제4 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여 관심 대상 매개변수를 결정하도록 구성되어 있다.
24. 본 방법은
제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것;
구조체로부터 편광 요소로 재지향된 방사선을 받아들이는 것 -편광 요소는 제1 방향과 각도를 이루는 편광 분할 축을 가짐- 및;
센서 시스템을 이용하여, 재지향된 방사선의 광학 특성을 측정하는 것을 포함하고 있다.
25. 항목 24의 방법에서, 편광 요소는 제1 유형의 편광의 재지향된 방사선 및 제2 유형의 편광의 재지향된 방사선을 별도로 제공하도록 구성되어 있다.
26. 항목 25의 방법에서, 제1 유형의 편광은 제1 방향과 각도를 이루는 제2 방향으로의 선형 편광이며, 제2 유형의 편광은 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 편광이다.
27. 항목 25 또는 항목 26의 방법은 센서 시스템을 이용하여 제1 유형의 편광의 그리고 제2 유형의 편광의 재지향된 방사선의 광학 특성을 특정하는 것을 포함하고 있다.
28. 컴퓨터 프로그램 제품은 자체에 기록된 명령어를 갖는 컴퓨터 비-일시적 판독 가능한 매체를 포함하며, 컴퓨터에 의하여 실행될 때 명령어는 항목 1 내지 7 또는 24 내지 27 중 어느 한 항목의 방법을 구현한다.
29. 시스템은
하드웨어 프로세서 시스템; 및
기계-판독 가능한 명령어를 저장하도록 구성된 비-일시적 컴퓨터-판독 가능한 저장 매체를 포함하며,
실행될 때 기계-판독 가능한 명령어는 하드웨어 프로시스템이 항목 1 내지 7 또는 24 내지 27 중 어느 한 항목의 방법을 수행하도록 한다.
30. 패터닝 공정의 대상물을 측정하기 위한 계측 장치에서, 계측 장치는 항목 1 내지 7 또는 24 내지 27의 어느 한 항목의 방법을 수행하도록 구성되어 있다.
31. 시스템은,
방사선의 빔을 대상물 표면 상으로 제공하도록 그리고 대상물 표면 상의 구조체에 의하여 재지향된 방사선을 검출하도록 구성된 계측 장치; 및
항목 28의 컴퓨터 프로그램 제품을 포함하고 있다.
32. 항목 31의 시스템은, 방사선 빔을 변조시키기 위해 패터닝 디바이스를 유지하도록 구성된 지지 구조체 및 변조된 방사선 빔을 방사선-민감 기판 상으로 투영하도록 배치된 투영 광학 시스템을 포함하는 리소그래피 장치를 더 포함하며, 대상물은 기판이고, 리소그래피 장치는 계측 장치와 컴퓨터 프로그램 제품을 이용하여 획득된 정보에 기초하여 리소그래피 장치의 설정(setting)을 제어하도록 구성되어 있다.
비록 위에서 광학 리소그래피의 맥락에서 본 발명의 실시예의 사용에 대해 특정 참조가 이루어질 수 있지만, 본 발명이 다른 적용, 예를 들어 나노임프린트(nanoimprint) 리소그래피에서 사용될 수도 있으며, 문맥이 허용하는 경우 광학 리소그래피로 제한되지 않는다는 점이 인식될 것이다. 나노임프린트 리소그래피의 경우, 패터닝 디바이스는 임프린트 템플릿(template) 또는 몰드(mold)이다.
본 명세서에서 사용된 용어 "방사선" 및 "빔"은 (예를 들어, 약 365, 355, 248, 193, 157, 또는 126 ㎚의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 ㎚ 범위의 파장을 갖는) 극자외(EUV) 방사선, 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 유형의 전자기 방사선을 포함하고 있다.
문맥이 허용하는 경우, 용어 "렌즈"는 굴절, 반사, 자기, 전자자 및 정전기 광학 구성 요소를 포함하는 다양한 유형의 광학 구성 요소 중 임의의 것 또는 조합을 지칭할 수 있다.
본 명세서에서 임계치를 넘거나 통과한다는 것에 대한 언급은 특정 값 미만 또는 특정한 값 이하의 값을 갖는 것, 특정 값보다 높은 또는 특정 값 이상인 것, 예를 들어 매개변수 등에 기초하여 (예를 들어, 분류를 통해) 다른 것보다 높거나 낮게 랭킹된(ranked) 것 등을 포함할 수 있다.
본 명세서에서 오차의 보정함(correcting) 또는 보정(correction)에 대한 언급은 오차를 제거하거나 오차를 공차 범위 내로 감소시키는 것을 포함한다
본 명세서에서 사용된 바와 같은 용어 "최적화하는(optimizing)" 및 "최적화(optimization)"는 리소그래피 또는 패터닝 처리의 결과 및/또는 공정이, 예를 들어 기판 상의 설계 레이아웃의 투영의 더 높은 정확도, 더 큰 공정 윈도우 등과 같은 더 양호한 특성을 갖도록 리소그래피 장치, 패터닝 공정 등을 조절하는 것을 지칭하거나 의미한다. 따라서, 본 명세서에서 사용된 바와 같이 용어 "최적화하는" 및 "최적화"는 하나 이상의 변수에 대한 하나 이상의 값의 초기 세트와 비교할 때, 적어도 하나의 관련된 메트릭(metric)에서, 개선, 예를 들어 국부적인 최적값을 제공하는 하나 이상의 변수에 대한 하나 이상의 값을 식별하는 공정을 지칭하거나 의미한다. "최적" 및 다른 관련 용어는 이에 상응하게 해석되어야 한다. 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가 개선을 제공하도록 반복적으로 적용될 수 있다.
시스템의 최적화 공정에서, 시스템 또는 공정의 성능 지수는 비용 함수로서 표현될 수 있다. 최적화 공정은 결국 비용 함수를 최적화 (예를 들어 최소화 또는 최대화)시키는 시스템 또는 공정의 한 세트의 매개변수(설계 변수)를 찾는 공정이 된다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 이러한 특성의 의도된 값 (예를 들어, 이상적인 값)에 대한 시스템 또는 공정의 특정한 특성(평가 포인트)의 편차의 가중된 평균제곱근(RMS)일 수 있다; 비용 함수는 또한 이러한 편차 중 최대값 (즉, 최악의 편차)일 수도 있다. 본 명세서에서의 용어 "평가 포인트"는 시스템 또는 공정의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 시스템의 설계 변수는 한정된 범위에 제한될 수 있으며 및/또는 시스템 또는 공정의 구현 형태의 실용성으로 인하여 상호 의존적일 수 있다. 리소그래피 장치 또는 패터닝 공정의 경우에, 이 제약은 흔히 조정 가능한 범위, 및/또는 패터닝 디바이스 제조성(manufacturability) 설계 규칙과 같은 하드웨어의 물리적 성질 및 특성과 연관되며, 평가 포인트는 기판 상의 레지스트 이미지 상의 물리적 포인트, 및 선량 및 초점과 같은 비-물리적 특성을 포함할 수 있다.
비록 본 발명의 특정 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 인식될 것이다. 예를 들어, 본 발명의 실시예는 위에서 개시된 바와 같은 방법을 설명하는 기계-판독 가능한 명령어의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 안에 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체 (예를 들어, 반도체 메모리, 자기 디스크 또는 광학 디스크)의 형태를 취할 수 있다.
블록도에서, 도시된 구성 요소들은 별개의 기능적 블록들로서 도시되어 있지만, 실시예는 본 명세서에서 설명된 기능이 도시된 바와 같이 구성되는 시스템에 제한되지 않는다. 구성 요소 각각에 의하여 제공되는 기능은 현재 도시된 것과 다르게 구성되는 소프트웨어 또는 하드웨어 모듈에 의해 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 (예를 들어 데이터 센터 내에서 또는 지리적으로) 혼합, 결합, 복제, 분할, 분산될 수 있으며, 또는 그렇지 않으면 다르게 구성될 수 있다. 본 명세서에 설명된 기능은 유형의(tangible) 비-일시적 기계-판독 가능한 매체 상에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제3 자 컨텐츠 전달 네트워크는 네트워크를 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수 있으며, 이 경우 정보 (예를 들어, 컨텐츠)가 공급되거나 달리 제공되는 범위까지, 컨텐츠 전달 네트워크로부터 그 정보를 검색하기 위한 명령어를 전송함으로써 정보는 제공될 수 있다.
달리 구체적으로 설명되지 않는 한, 논의에서 명백한 바와 같이, 본 명세서를 통하여 "처리", "컴퓨팅(computing)", "계산", "결정" 등과 같은 용어를 사용하는 논의는 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 처리/컴퓨팅 디바이스와 같은 특정 장치의 작용 또는 공정을 지칭한다
본 명세서를 읽은 자들은 본 출원이 몇몇 발명을 설명하고 있다는 것을 인식하여야 한다. 이 발명들을 복수의 개별 특허 출원으로 분리하기 보다는, 본 출원인은 이 발명들을 단일 문서로 그룹화하였으며, 이는 이들의 관련된 대상들이 출원 과정에서 비용 절감에 적합하기 때문이다. 그러나 이러한 발명의 분명한 장점 및 양태는 합쳐지지 않아야 한다. 일부 경우에, 실시예는 본 명세서에 언급된 모든 결점을 다루고 있지만, 본 발명은 독립적으로 유용하며 또한 일부 실시예는 이러한 문제들의 일부분(subset)만을 다루거나 본 발명을 검토하는 당업자에게 명백할 다른 언급되지 않은 이점을 제공한다는 점이 이해되어야 한다. 비용의 제약으로 인하여, 본 명세서에 개시된 일부 발명은 현재 청구되지 않을 수 있으며, 연속 출원과 같은 추후 출원에서 또는 본 청구항을 보정으로써 청구될 수 있다. 마찬가지로, 공간의 제약으로 인하여, 본 명세서의 초록(Abstract)이나 발명의 요약(Summary of the Invention)은 이러한 모든 발명의 포괄적인 목록 또는 이러한 발명의 모든 양태를 포함하고 있는 것으로 간주되어서는 안된다.
설명 및 도면은 본 발명을 개시된 특정 형태로 제한하기 위한 것이 아니며, 반대로 의도는 첨부된 청구범위에 의해 한정된 바와 같은 본 발명의 사상 및 범위 내에 있는 모든 변형, 균등물 및 대안을 포함하기 위한 것이라는 점이 이해되어야 한다.
본 발명의 다양한 양태의 변형 및 대안적인 실시예는 본 설명을 고려하여 당업자에게 명백할 것이다. 따라서, 본 설명 및 도면은 단지 예시적인 것으로서 해석되어야 하며, 또한 본 발명을 수행하는 전반적인 방식을 당업자에게 교시하기 위한 것이다. 본 명세서에서 보여지고 설명된 본 발명의 형태는 실시예의 예로서 취해진 것으로 이해되어야 한다. 모두가 본 발명의 이 설명의 이점을 가진 후에 당업자에게 명백할 바와 같이, 요소 및 물질이 본 명세서에서 도시되고 설명된 것을 대체할 수 있으며, 부품 및 공정은 뒤바뀌거나 생략될 수 있고, 어떠한 특징은 독립적으로 이용될 수 있으며, 실시예 및 실시예의 특징은 조합될 수 있다. 다음 청구범위에서 설명된 것과 같은 본 발명의 사상 및 범위를 벗어나지 않고 본 명세서에 설명된 요소의 변경이 이루어질 수 있다. 본 명세서에 사용된 주제(heading)는 단지 구조적인 목적을 위한 것이며, 설명의 범위를 제한하기 위하여 사용되는 것을 의미하지는 않는다.
본 명세서 전반에 걸쳐 사용된 바와 같이, 단어 "할 수 있다(may)"는 의무적인 의미 (즉, "반드시"를 의미하는 것)보다는 허용의 의미 (즉, 가능성을 갖는 의미)로 사용된다. 단어 "포함하다" 및 "포함하는" 등은 포함하지만, 이에 한정되지는 않는다는 것을 의미한다. 본 명세서 전반에 걸쳐 사용된 바와 같이, 단수 형태 ("a", "an" 및 "the")는 내용이 명백하게 달리 지시하지 않는 한 복수의 지시 대상을 포함하고 있다. 따라서, 예를 들어, "하나의 요소"에 대한 언급은 "하나 이상"과 같은 하나 이상의 요소에 대한 다른 용어 및 어구의 사용에도 불구하고 둘 이상의 요소의 조합을 포함하고 있다. 용어 "또는"은 달리 지시되지 않는 한 비배타적이며, 즉 "및"과 "또는" 모두를 포함하고 있다. 예를 들어, "X에 응답하여, Y", "X 때, Y", "X라면, Y", "X의 경우, Y" 등과 같은 조건 관계를 설명하는 용어는, 선행 조건이 필요 원인 조건이거나, 선행 조건이 충분 원인 조건이거나, 또는 선행 조건이 결과의 기여 원인 조건인 인과 관계를 포함하며, 예를 들어 "조건 Y를 얻을 때 상태 X가 발생한다"는 "X는 Y에서만 발생한다" 및 "X는 Y와 Z에서 발생한다"에 일반적이다. 이러한 조건부 관계는 일부 결과가 지연될 수 있기 때문에 선행 조건 획득을 즉시 따르는 결과에 제한되지 않으며, 또한 조건부 진술에서 선행 조건은 그 결과에 연결되고, 예를 들어 선행 조건은 결과 발생의 가능성과 관련이 있다. 복수의 속성 또는 기능이 복수의 대상물 (예를 들어, 단계 A, 단계 B, 단계 C 및 단계 D를 수행하는 하나 이상의 프로세서)에 매핑된다는 설명은, 달리 지시되지 않는 한, 이러한 모든 대상물에 매핑되는 이러한 모든 속성 또는 기능, 및 속성 또는 기능의 서브세트에 매핑되는 속성 또는 기능의 서브세트 둘 모두 (예를 들어, 단계 A 내지 단계 D를 각각 수행하는 모든 프로세서, 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 그리고 단계 C의 일부를 수행하고, 프로세서 3이 단계 C의 일부와 단계 D를 수행하는 경우 둘 모두)를 포함하고 있다. 더욱이, 달리 지시되지 않는 한, 하나의 값 또는 조치가 다른 조건 또는 값에 "기초한다"라는 설명은 조건 또는 값이 유일한 인자인 인스턴스(instance) 및 조건 또는 값이 복수의 인자들 중 하나의 인자인 인스턴스 둘 모두를 포함하고 있다. 달리 지시되지 않는 한, 일부 집합(collection)의 "각" 인스턴스가 일부 특성을 갖고 있다라는 설명은 더 큰 집합의 일부 달리 동일하거나 유사한 부재가 그 특성을 갖고 있지 않은 경우를 제외하는 것으로 이해(read)되어서는 안되며, 즉 "각각(each)"이 반드시 각각 및 모든 것(each and every)을 의미하는 것은 아니다.
특정 미국 특허, 미국 특허 출원 또는 기타 자료 (예를 들어, 논문)가 참조로 인용된 범위에 대하여, 이러한 미국 특허, 미국 특허 출원 및 기타 자료의 내용은 이러한 자료와 본 명세서에 명시된 설명 및 도면 사이에 상충이 존재하지 없는 범위까지 단지 인용 참조된다. 그러한 상충이 발생한 경우, 인용 참조된 미국 특허, 미국 특허 출원 및 기타 자료 내의 임의의 상충되는 문맥은 본 명세서에서 구체적으로 인용 참조되지 않는다.
위의 설명은 예시적인 것으로 의도되며 제한하는 것은 아니다. 따라서, 후술되는 청구범위의 범주를 벗어나지 않고 설명된 바와 같이 본 발명에 대하여 변경이 이루어질 수 있다는 것이 당업자에게 명백할 것이다.

Claims (15)

  1. 제1 방향으로의 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 것;
    상기 구조체로부터 편광 요소로 재지향된 방사선을 받아들이는 것 -상기 편광 요소는 제2 방향으로의 선형 편광을 갖는 회절 방사선을 상기 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 센서 시스템으로 제공하며, 상기 편광 요소는 상기 제1 방향이 상기 제2 방향과 각도를 이루도록 편광 분할 축을 가짐-;
    상기 센서 시스템을 이용하여, 상기 제2 방향으로의 선형 편광을 갖는 상기 재지향된 방사선 및 상기 제3 방향으로의 선형 편광을 갖는 재지향된 방사선의 광학 특성을 측정하는 것; 및
    상기 제1 방향과 직교하는 제4 방향으로의 선형 편광을 갖는 방사선으로 상기 구조체를 별도로 조명하여(separately illuminating) 재지향된 방사선을 산출하고, 상기 편광 요소를 이용하여, 상기 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로, 상기 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 상기 센서 시스템으로 제공하는 것 - 상기 편광 요소는 상기 제4 방향이 상기 제3 방향과 각도를 이루도록 편광 분할 축을 가짐-, 및 상기 센서 시스템을 이용하여, 상기 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선 및 상기 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하는 것을 포함하는 방법.
  2. 제1항에 있어서, 상기 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 상기 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 상기 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 상기 제2 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여, 상기 계측 타겟을 형성하는데 사용된 패터닝 공정의 관심 대상 매개변수를 결정하는 것을 더 포함하는 방법.
  3. 제1항에 있어서, 상기 각도는 40 내지 50도의 범위로부터 선택된 방법.
  4. 제1항에 있어서, 상기 타겟은 디바이스 패턴 자체의 일부분인 방법.
  5. 제1항에 있어서, 상기 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 상기 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 상기 구조체의 상이한 물리적 구성이 상기 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고 상기 광학 특성의 상기 검출된 표현으로부터 관심 대상 매개변수를 결정하는 것을 포함하며, 상기 관심 대상 매개변수는 상기 물리적 구성의 변화를 측정하는 방법.
  6. 제1항, 제2항, 제4항 또는 제5항 중 어느 한 항에 있어서, 상기 제2 방향으로의 선형 편광을 갖는 상기 재지향된 방사선의 측정된 광학 특성 및 상기 제3 방향으로의 선형 편광을 갖는 상기 재지향된 방사선의 측정된 광학 특성을 이용하여, 상기 계측 타겟을 만들기 위하여 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하는 것을 더 포함하는 방법.
  7. 계측 장치에 있어서,
    제1방향으로의 선형 편광을 갖는 방사선을 타겟의 구조체 상으로 제공하도록 구성된 광학 요소;
    상기 구조체로부터 재지향된 방사선의 광학 특성을 측정하도록 구성된 센서 시스템;
    상기 구조체로부터 재지향된 방사선을 받아들이도록 그리고 제2 방향으로의 선형 편광을 갖는 재지향된 방사선을 상기 제2 방향과 실질적으로 직교하는 제3 방향으로의 선형 방사선을 갖는 재지향된 방사선과 별도로 상기 센서 시스템으로 제공하도록 구성된 편광 요소 -편광 요소는 상기 제1 방향이 상기 제2 방향과 각도를 이루도록 편광 분할 축을 가짐-; 및
    제4 방향으로의 선형 편광을 갖는 방사선을 상기 타겟의 상기 구조체 상으로 별도로 제공하여 재지향된 방사선을 산출하도록 구성된 프로세서 시스템을 포함하며,
    상기 편광 요소는 상기 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선을 상기 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 별도로 상기 센서 시스템에 제공하도록 구성되고,
    상기 편광 요소는 상기 제4 방향이 상기 제3 방향과 각도를 이루도록 편광 분할 축을 가지며, 상기 센서 시스템은 상기 제2 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선과 상기 제3 방향으로의 선형 편광을 갖는 이러한 재지향된 방사선의 광학 특성을 측정하도록 구성된 계측 장치.
  8. 제7항에 있어서, 상기 각도는 40 내지 50도의 범위로부터 선택된 계측 장치.
  9. 제7항에 있어서, 상기 타겟은 디바이스 패턴 자체의 일부분인 계측 장치.
  10. 제7항에 있어서, 상기 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지며, 상기 공칭 물리적 구성보다는 패터닝 공정에 의하여 야기된 상기 구조체의 상이한 물리적 구성이 상기 광학 특성의 검출된 표현 내에 비대칭적 광학 특성 분포를 야기하고, 프로세서 시스템은 상기 광학 특성의 검출된 표현으로부터 관심 대상 매개변수를 결정하도록 구성되며, 관심 대상 매개변수는 물리적 구성 변화를 측정하는 계측 장치.
  11. 제7항에 있어서, 상기 프로세서 시스템은 상기 제2 방향으로의 선형 편광을 갖는 상기 재지향된 방사선의 측정된 광학 특성 및/또는 상기 제3 방향으로의 선형 편광을 갖는 상기 재지향된 방사선의 측정된 광학 특성을 이용하여, 상기 타겟을 만들기 위하여 사용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 구성된 계측 장치.
  12. 제11항에 있어서, 상기 프로세서 시스템은 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선, 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제2 방향으로의 선형 편광을 갖는 재지향된 방사선, 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선, 및/또는 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 제3 방향으로의 선형 편광을 갖는 재지향된 방사선에서 선택된 2개 이상의 재지향된 방사선의 측정된 광학 특성을 이용하여, 상기 타겟을 만들기 위해 이용된 패터닝 공정의 관심 대상 매개변수의 값을 결정하도록 더 구성된 계측 장치.
  13. 제7항 내지 제12항 중 어느 한 항에 있어서, 상기 프로세서 시스템은 상기 제1 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 상기 제3 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성 및 상기 제4 방향으로의 선형 편광을 갖는 조명 방사선으로 획득된 상기 제2 방향으로의 선형 편광을 갖는 재지향된 방사선으로부터 측정된 광학 특성만을 이용하여 관심 대상 매개변수를 결정하도록 구성된 계측 장치.
  14. 제1 방향으로 선형 편광을 갖는 방사선으로 계측 타겟의 구조체를 조명하는 단계;
    상기 구조체로부터 편광 요소로 재지향된 방사선을 수신하는 단계 - 상기 편광 요소는 제2 방향에 실질적으로 직교하는 제3 방향으로 선형 편광을 갖는 재지향된 방사선과는 별도로 센서 시스템에 제2 방향으로 선형 편광을 갖는 회절 방사선을 제공하고, 상기 편광 요소는 제1 방향이 제2 방향에 대해 소정 각도를 이루도록 편광 분할 축을 가짐 -;
    센서 시스템을 사용하여, 제2 방향으로 선형 편광을 갖는 재지향 방사선 및 제3 방향으로 선형 편광을 갖는 재지향 방사선의 검출된 표현을 측정하는 단계 - 상기 검출된 표현은 대칭 광학 특성 분포 부분 및 비대칭 광학 특성 분포 부분을 포함함 -; 및,
    상기 검출된 표현의 다른 부분의 가중치보다 더 높은 가중치를 갖는 검출된 표현의 비대칭 광학 특성 분포 부분으로부터의 광학 특성 값에 기초하여 패터닝 공정의 관심 파라미터의 값을 결정하는 단계 - 상기 비대칭 광학 특성 분포 부분은 구조체의 공칭 물리적 구성과는 상이한 물리적 구성으로부터 발생됨 -
    을 포함하는 방법.
  15. 계측 장치로서,
    타겟의 구조체 상으로 제1 방향으로 선형 편광을 갖는 방사선을 제공하도록 구성된 광학 요소;
    상기 구조체로부터 재지향된 방사선의 검출된 표현을 측정하도록 구성된 센서 시스템 - 상기 검출된 표현은 대칭 광학 특성 분포 부분 및 비대칭 광학 특성 분포 부분을 포함함 -;
    상기 구조체로부터 재지향된 방사선을 수신하고, 제2 방향에 실질적으로 직교하는 제3 방향으로 선형 편광을 갖는 재지향된 방사선과는 별도로 제2 방향으로 선형 편광을 갖는 재지향된 방사선을 센서 시스템에 제공하도록 구성된 편광 요소 - 상기 편광 요소는 상기 제1 방향이 상기 제2 방향과 소정 각도를 이루도록 하는 편광 분할 축을 가짐 -; 및
    명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체 - 상기 명령어는, 컴퓨터 처리 시스템에 의한 실행시, 컴퓨터 처리 시스템으로 하여금, 검출된 표현의 다른 부분의 가중치보다 더 높은 가중치를 갖는 검출된 표현의 비대칭 광학 특성 분포 부분으로부터의 광학 특성 값에 기초하여 패터닝 공정의 관심 파라미터의 값을 결정하도록 구성되고, 상기 비대칭 광학 특성 분포 부분은 상기 구조체의 공칭 물리적 구성과는 상이한 물리적 구성으로부터 발생됨 -
    을 포함하는 계측 장치.
KR1020207006737A 2017-09-07 2018-08-31 패터닝 공정 매개변수를 결정하기 위한 방법 및 계측 장치 KR102398924B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17189728.3A EP3454124A1 (en) 2017-09-07 2017-09-07 Method to determine a patterning process parameter
EP17189728.3 2017-09-07
PCT/EP2018/073413 WO2019048342A1 (en) 2017-09-07 2018-08-31 METROLOGY METHOD AND APPARATUS FOR DETERMINING A MODELING PROCESSING PARAMETER

Publications (2)

Publication Number Publication Date
KR20200033965A KR20200033965A (ko) 2020-03-30
KR102398924B1 true KR102398924B1 (ko) 2022-05-17

Family

ID=59811139

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207006737A KR102398924B1 (ko) 2017-09-07 2018-08-31 패터닝 공정 매개변수를 결정하기 위한 방법 및 계측 장치

Country Status (8)

Country Link
US (2) US10691031B2 (ko)
EP (1) EP3454124A1 (ko)
JP (1) JP6999797B2 (ko)
KR (1) KR102398924B1 (ko)
CN (1) CN111065971B (ko)
IL (1) IL273041B2 (ko)
TW (1) TWI678599B (ko)
WO (1) WO2019048342A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3454124A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
JP7431824B2 (ja) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
CN111716346B (zh) * 2019-03-20 2021-09-17 台达电子工业股份有限公司 机械手臂工具校正方法及其校正装置
EP3770682A1 (en) * 2019-07-25 2021-01-27 ASML Netherlands B.V. Method and system for determining information about a target structure
EP4002015A1 (en) * 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
CN112548790A (zh) * 2020-12-02 2021-03-26 温州兽班机械科技有限公司 一种铸件磨削设备
EP4040233A1 (en) * 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4276537A1 (en) * 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023213527A1 (en) * 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080198380A1 (en) 2007-02-21 2008-08-21 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2009156225A1 (en) 2008-06-26 2009-12-30 Asml Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
JP2013522610A (ja) * 2010-03-18 2013-06-13 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ用の検査

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
IL194839A0 (en) * 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036684A1 (nl) * 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) * 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
NL2009004A (en) * 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
WO2017009166A1 (en) * 2015-07-16 2017-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP6387952B2 (ja) * 2015-12-21 2018-09-12 横河電機株式会社 偏光検査装置
US10811323B2 (en) 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3454124A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080198380A1 (en) 2007-02-21 2008-08-21 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2009156225A1 (en) 2008-06-26 2009-12-30 Asml Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
JP2011525713A (ja) * 2008-06-26 2011-09-22 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ測定装置、リソグラフィ装置、及びそのようなオーバレイ測定装置を用いたデバイス製造方法
JP2013522610A (ja) * 2010-03-18 2013-06-13 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ用の検査

Also Published As

Publication number Publication date
KR20200033965A (ko) 2020-03-30
JP6999797B2 (ja) 2022-01-19
US20200285157A1 (en) 2020-09-10
IL273041B2 (en) 2023-08-01
EP3454124A1 (en) 2019-03-13
CN111065971B (zh) 2022-03-18
US11409204B2 (en) 2022-08-09
US20190072862A1 (en) 2019-03-07
US10691031B2 (en) 2020-06-23
IL273041A (en) 2020-04-30
TWI678599B (zh) 2019-12-01
IL273041B1 (en) 2023-04-01
CN111065971A (zh) 2020-04-24
JP2020533622A (ja) 2020-11-19
TW201921149A (zh) 2019-06-01
WO2019048342A1 (en) 2019-03-14

Similar Documents

Publication Publication Date Title
US11145557B2 (en) Method and apparatus to determine a patterning process parameter
US20220066330A1 (en) Method and apparatus to determine a patterning process parameter
KR102398924B1 (ko) 패터닝 공정 매개변수를 결정하기 위한 방법 및 계측 장치
KR102483364B1 (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 감소시키는 방법, 계측 프로세스를 교정하는 방법, 및 계측 타겟을 선택하는 방법
KR102363699B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법
CN111316168B (zh) 量测设备、测量结构的方法、器件制造方法
CN111279268B (zh) 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法
KR102549352B1 (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 줄이는 방법, 계측 프로세스를 교정하는 방법, 계측 타겟을 선택하는 방법
EP3477391A1 (en) Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
EP3477392A1 (en) Metrology apparatus, method of measuring a structure, device manufacturing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant