CN111065971A - 确定图案化过程参数的方法和量测装置 - Google Patents

确定图案化过程参数的方法和量测装置 Download PDF

Info

Publication number
CN111065971A
CN111065971A CN201880058191.3A CN201880058191A CN111065971A CN 111065971 A CN111065971 A CN 111065971A CN 201880058191 A CN201880058191 A CN 201880058191A CN 111065971 A CN111065971 A CN 111065971A
Authority
CN
China
Prior art keywords
radiation
linear polarization
target
redirected
overlap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880058191.3A
Other languages
English (en)
Other versions
CN111065971B (zh
Inventor
S·塔拉布林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN111065971A publication Critical patent/CN111065971A/zh
Application granted granted Critical
Publication of CN111065971B publication Critical patent/CN111065971B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种方法,包括:使用第一方向上具有线性偏振的辐射照射量测目标的结构;接收从该结构重定向到偏振元件的辐射,其中偏振元件具有与第一方向成一定角度的偏振分离轴;以及使用传感器系统测量重定向辐射的光学特性。

Description

确定图案化过程参数的方法和量测装置
相关申请的交叉引用
本申请要求于2017年9月7日提交的EP申请17189728.3的优先权,其全部内容通过引用并入本文。
技术领域
本说明书涉及一种确定例如用于在衬底上产生图案的过程的参数(诸如重叠)的方法和装置,并且该确定的参数可以用于设计、监测、调整等与处理有关的一个或多个变量。
背景技术
光刻装置是将期望图案施加到衬底上(通常,施加到衬底的目标部分上)的机器。光刻装置可以例如用于集成电路(IC)或被设计为具有功能性的其他设备的制造。在该实例中,图案化设备(其可替代地称为掩模或掩模版)可以用于生成要形成在被设计为具有功能性的设备的单个层上的电路图案。该图案可以传递到衬底(例如,硅晶片)上的目标部分(例如,包括一个或几个裸片的一部分)上。图案的传递通常经由到设置在衬底上的辐射敏感材料(抗蚀剂)层上的成像进行。一般而言,单个衬底将包含连续图案化的相邻目标部分的网络。已知的光刻装置包括所谓的步进器,其中通过将整个图案一次曝光到目标部分上来辐射每个目标部分;以及所谓的扫描器,其中通过辐射束沿给定方向(“扫描”方向)扫描图案,同时沿平行或反平行于该方向同步地扫描衬底来辐射每个目标部分。还可能通过将图案压印到衬底上而将图案从图案化设备传递到衬底。
发明内容
制造设备(诸如半导体设备)通常包括:使用多个制作过程来处理衬底(例如,半导体晶片)以形成各种特征,并且经常形成设备的多个层。通常,使用例如沉积、光刻、蚀刻、化学机械抛光和离子注入来制造和处理这样的层和/或特征。多个设备可以在衬底上的多个裸片上制作,然后分成各个设备。该设备制造过程可以被认为是图案化过程。图案化过程包括:在衬底上提供图案的图案传递步骤,诸如使用光刻装置的光学和/或纳米压印光刻;并且通常但可选地包括:一个或多个相关图案过程步骤,诸如通过显影装置进行抗蚀剂显影、使用烘烤工具烘烤衬底、通过蚀刻装置蚀刻图案等。进一步地,图案化过程中包括一个或多个量测过程。
在图案化过程期间的各个步骤处使用量测过程以监测和/或控制该过程。例如,量测过程用于测量衬底的一个或多个特性,诸如在图案化过程期间形成在衬底上的特征的相对位置(例如,配准、重叠、对准等)或尺寸(例如,线宽,临界尺寸(CD)、厚度等),使得例如可以根据一个或多个特性确定图案化过程的表现。如果一个或多个特性不可接受(例如,超出一个或多个特性的预先确定的范围),则可以例如基于一个或多个特性的测量来设计或更改图案化过程的一个或多个变量,使得通过图案化过程制造的衬底具有一个或多个可接受的特性。
随着光刻技术和其他图案化过程技术的发展,功能元件的尺寸不断减小,而每个设备中的功能元件(诸如晶体管)的数量在数十年内稳定增加。同时,在重叠、临界尺寸(CD)等方面对精度的要求变得越来越严格。图案化过程中不可避免地产生诸如重叠误差、CD误差等之类的误差。例如,成像误差可以由光学像差、加热图案化设备、图案化设备误差和/或加热衬底产生,并且可以在例如重叠、CD等方面进行表征。附加地或可替代地,误差可以在图案化过程的其他部分(诸如在蚀刻、显影、烘烤等)引入,同样可以在例如重叠层、CD等方面进行表征。误差可能会导致设备的功能出现问题,其包括设备无法运行或功能设备的一个或多个电气问题。因而,期望能够表征一个或多个这些误差并且采用设计、修改、控制等图案化过程以减少或最小化这些误差中的一个或多个误差的步骤。
在一个实施例中,提供了一种方法,其包括:使用第一方向上具有线性偏振的辐射照射量测目标的结构;接收从结构重定向到交叉偏振元件的辐射,该交叉偏振元件以与第三方向上具有线性偏振的重定向辐射分开地,将第二方向上具有线性偏振的衍射辐射提供至传感器系统,第三方向基本上正交于第二方向,其中交叉偏振元件具有偏振分离轴,使得第一方向与第二方向成一定角度;以及使用该传感器系统测量第二方向上具有线性偏振的重定向辐射的光学特性和第三方向上具有线性偏振的重定向辐射的光学特性。
在一个实施例中,提供了一种量测装置,包括光学元件,其被配置为将第一方向上具有线性偏振的辐射提供到目标的结构上;传感器系统,其被配置为测量从结构重定向的辐射的光学特性;以及交叉偏振元件,其被配置为接收从结构重定向的辐射并且以与第三方向上具有线性偏振的重定向辐射分开地,将第二方向上具有线性偏振的重定向辐射提供给传感器系统,第三方向基本上正交于第二方向,其中交叉偏振元件具有偏振分离轴,使得第一方向与第二方向成一定角度。
在一个实施例中,提供了一种量测装置,包括光学元件,其被配置为将第一方向上具有线性偏振的辐射提供到目标的结构上;传感器系统,其被配置为测量从结构重定向的辐射的光学特性;延迟器系统,其被配置为接收从结构重定向的辐射并且向第一方向上具有线性偏振的重定向辐射和与基本上正交于第一方向的第二方向上具有线性偏振的重定向辐射提供相位,其中延迟器系统被配置为在不同的时间提供不同的相位;交叉偏振元件,其被配置为接收从结构重定向的辐射并且以与第四方向上具有线性偏振的重定向辐射分开地,将第三方向上具有线性偏振的重定向辐射提供给传感器系统,第四方向基本上正交于第三方向。
在一个实施例中,提供了一种方法,包括:使用第一方向上具有线性偏振的辐射照射量测目标的结构;接收从结构重定向到偏振元件的辐射,其中偏振元件具有与第一方向成一定角度的偏振分离轴;以及使用传感器系统测量重定向辐射的光学特性。
在一个方面中,提供了一种非暂态计算机程序产品,其包括机器可读指令,该机器可读指令用于使得处理器系统执行本文中所描述的方法。在一个方面中,提供了一种计算机程序产品,该计算机程序产品包括其上记录有指令的计算机非暂态可读介质,该指令当由计算机执行时,实现本文中所描述的方法或一个或多个过程步骤。
在一个方面中,提供了一种用于测量图案化过程的物体的量测装置,该量测装置被配置为执行本文中所描述的方法。在一个方面中,提供了一种用于检查图案化过程的物体的检查装置,该检查装置可操作以执行本文中所描述的方法。
在一个方面,提供了一种系统,其包括量测装置,该量测装置被配置为将辐射束提供到物体表面上并且检测由物体表面上的结构重定向的辐射;以及本文中所描述的计算机程序产品。在一个实施例中,该系统还包括光刻装置,该光刻装置包括支撑结构,该支撑结构被配置为保持图案化设备以调制辐射束;以及投影光学系统,该投影光学系统被布置为将调制后的辐射束投影至辐射敏感衬底上,其中该物体是衬底。
在一个实施例中,提供了一种系统,其包括硬件处理器系统;以及非暂态计算机可读存储介质,其被配置为存储机器可读指令,其中当被执行时,机器可读指令使得硬件处理器系统执行本文中所描述的方法。
附图说明
现在,参考附图仅通过示例对实施例进行描述,其中
图1示意性地描绘了光刻装置的一个实施例;
图2示意性地描绘了光刻单元或簇的一个实施例;
图3A是根据一个实施例的用于使用提供某些照射模式的第一对照射孔测量目标的测量装置的示意图;
图3B是目标的给定照射方向的衍射光谱的示意细节;
图3C是在使用测量装置进行基于衍射的重叠测量时提供其他照射模式的第二对照射孔的示意图;
图3D是使用测量装置进行基于衍射的重叠测量时与提供其他照射模式的第一对孔和第二对孔相结合的第三对照射孔的示意图;
图4示意性地描绘了多个周期性结构(例如,多个光栅)目标的形式和衬底上的测量斑的轮廓;
图5示意性地描绘了在图3的装置中获得的图4的目标的图像;
图6示意性地描绘了示例量测装置和量测技术;
图7示意性地描绘了示例量测装置;
图8图示了量测装置的照射斑与量测目标之间的关系;
图9示意性地描绘了基于测量数据来导出一个或多个感兴趣变量的过程;
图10A示意性地描绘了示例单位单元、相关联的光瞳表示、以及相关联的导出光瞳表示;
图10B示意性地描绘了示例单位单元、相关联的光瞳表示、以及相关联的导出光瞳表示;
图10C示意性地描绘了包括单位单元的一个或多个物理实例的示例目标;
图11描绘了根据测量的辐射获得用于确定图案化过程参数的加权的高级流程;
图12描绘了根据测量的辐射确定图案化过程参数的高级流程;
图13描绘了数据驱动技术的一个实施例的高级流程;
图14描绘了结合物理几何模型的数据驱动技术的一个实施例的高级流程;
图15描绘了结合物理几何模型的数据驱动技术的一个实施例的高级流程;
图16描绘了结合物理几何模型的数据驱动技术的一个实施例的高级流程;
图17描绘了结合物理几何模型的数据驱动技术的一个实施例的高级流程;
图18示意性地描绘了目标的多个重叠单位单元的一个实施例;
图19示意性地描绘了目标的多各重叠单位单元的一个实施例;
图20描绘了与两个不同重叠相对应的两个向量的示例图示;
图21示意性地描绘了根据一个实施例的示例量测装置;
图22示意性地描绘了当使用交叉偏振元件测量时并且表示了目标的特定重叠参数的来自目标的辐射的强度光瞳;
图23示意性地描绘了当使用交叉偏振元件和延迟器测量时并且表示了目标的特定重叠参数的来自目标的辐射的强度光瞳;
图24A示意性地描绘了根据一个实施例的示例量测装置;
图24B示意性地描绘了根据一个实施例的偏振轴与照射辐射的偏振轴成一定角度的交叉偏振元件的效果;
图25示意性地描绘了当使用旋转交叉偏振元件测量时并且表示了目标的特定重叠参数的来自目标的辐射的强度光瞳;以及
图26示意性地描绘了可以实现本公开的实施例的计算机系统。
具体实施方式
在详细描述实施例之前,提出可以在其中实现实施例的示例环境具有指导意义。
图1示意性地描绘了光刻装置LA。该装置包括:
-照射系统(照射器)IL,其被配置为调节辐射束B(例如,UV辐射或DUV辐射);
-支撑结构(例如,掩模台)MT,其被构造为支撑图案化设备(例如,掩模)MA并且连接到第一定位器PM,该第一定位器PM被配置为根据某些参数精确定位图案化设备;
-衬底台(例如,晶片台)WT,其被构造为保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到第二定位器PW,该第二定位器PW被配置为根据某些参数精确定位衬底;以及
-投影系统(例如,折射投影透镜系统)PS,其被配置为将通过图案化设备MA赋予辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上,该投影系统被支撑在参考框架(RF)上。
照射系统可以包括各种类型的光学部件,诸如折射的、反射的、磁性的、电磁的、静电的、或其他类型的光学部件、或其任何组合,用于引导、整形或控制辐射。
支撑结构以取决于图案化设备的方位、光刻装置的设计以及其他条件(诸如例如,图案化设备是否被保持在真空环境中)的方式支撑图案化设备。支撑结构可以使用机械、真空、静电或其他夹持技术来保持图案化设备。支撑结构可以例如是框架或台,其可以根据需要是固定的或可移动的。支撑结构可以确保图案化设备处于例如相对于投影系统的期望位置。本文中对术语“掩模版”或“掩模”的任何使用可被认为与更通用的术语“图案化设备”同义。
本文中所使用的术语“图案化设备”应当被广义地解释为是指可以用于在衬底的目标部分中赋予图案的任何设备。在一个实施例中,图案化设备是可以用于向辐射束在其横截面赋予图案以便在衬底的目标部分中形成图案的任何设备。应当注意,例如,如果图案包括相移特征或所谓的辅助特征,则赋予到辐射束的图案可能不完全与衬底的目标部分中的期望图案相对应。通常,赋予到辐射束的图案将与在诸如集成电路之类的目标部分中产生的设备中的特定功能层相对应。
图案化设备可以是透射式的或反射式的。图案化设备的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻术中是众所周知的,并且包括诸如二元、交替相移和衰减相移之类的掩模类型,以及各种混合掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,其中每个小反射镜可以单独倾斜,以便沿不同方向反射入射辐射束。倾斜反射镜在辐射束中赋予图案,该图案由反射镜矩阵反射。
本文中所使用的术语“投影系统”应当广义地解释为涵盖任何类型的投影系统,其视正在被使用的曝光辐射或其他因素(诸如使用浸没液体或使用真空)的情况而定而包括折射、反射、折反射、磁性、电磁、以及静电光学系统、或其任何组合。本文中术语“投影透镜”的任何使用可以被认为与更通用的术语“投影系统”同义。
投影系统PS具有可能不均匀的光学传递函数,其会影响成像在衬底W上的图案。对于非偏振辐射,这种影响可以通过两个标量图而得到很好地描述,这两个标量图描述了从投影系统PS出射的辐射的透射率(变迹)和相对相位(像差)作为其光瞳平面中位置的函数。可以称为透射率图和相对相位图的这些标量图可以被表达为完整的基函数集合的线性组合。特别方便的集合是泽尼克(Zernike)多项式,其形成在单位圆上定义的一组正交多项式。每个标量图的确定可以涉及:确定这种展开式中的系数。由于泽尼克多项式在单位圆上正交,所以泽尼克系数可以通过依次使用每个泽尼克多项式计算所测量的标量图的内积并且将其除以该泽尼克多项式范数的平方来确定。
透射率图和相对相位图取决于场和系统。也就是说,一般而言,每个投影系统PS对于每个场点(即,对于其像平面中的每个空间位置)具有不同的泽尼克展开式。投影系统PS在其光瞳平面中的相对相位可以通过例如经过投影系统PS从投影系统PS的物平面(即,图案化设备MA的平面)中的点状源投影辐射并且使用用于测量波前(即,具有相同相位的点的轨迹)的剪切干涉仪来确定。剪切干涉仪是公共路径干涉仪,并且因此有利地,无需次级参考束来测量波前。剪切干涉仪可以包括在投影系统(即,衬底台WT)的图像平面中的衍射光栅(例如,二维栅格)以及被布置为检测在与投影系统PS的光瞳平面共轭的平面中的干涉图案的检测器。干涉图案与辐射的相位相对于光瞳平面中的坐标在剪切方向上的导数有关。该检测器可以包括感测元件(例如,电荷耦合器件(CCD))的阵列。
光刻装置的投影系统PS可能不会产生可见条纹,因此可以使用相位阶跃技术(诸如例如,移动衍射光栅)来增强波前确定的精度。可以在衍射光栅的平面中并且沿垂直于测量的扫描方向的方向执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均匀分布)的相位阶跃。因此,例如,可以沿y方向执行三个扫描测量,每个扫描测量针对x方向上的不同位置来执行。衍射光栅的这种步进将相位变化有效地变换为强度变化,从而确定相位信息。光栅可以沿垂直于衍射光栅的方向(z方向)步进以校准检测器。
投影系统PS在其光瞳平面中的透射率(变迹)可以通过投影系统PS例如从投影系统PS的物平面(即,图案化设备MA的平面)中的点状源投影辐射并且使用检测器测量与投影系统PS的光瞳平面共轭的平面中的辐射强度来确定。可以使用与用于测量波前以确定像差的检测器相同的检测器。
投影系统PS可以包括多个光学(例如,透镜)元件,并且还可以包括调整机构AM,该调整机构AM被配置为调整光学元件中的一个或多个光学元件,以便校正像差(跨整个场中的光瞳平面的相位变化)。为了实现这点,调整机构可操作以一种或多种不同方式操纵投影系统PS内的一个或多个光学(例如,透镜)元件。投影系统可以具有坐标系,其中其光轴沿z方向延伸。调整机构可操作以进行以下各项的任何组合:使一个或多个光学元件位移;使一个或多个光学元件倾斜;和/或使一个或多个光学元件变形。光学元件可以沿任何方向(x,y,z或其组合)位移。尽管围绕z轴的旋转可以用于非旋转对称的非球面光学元件,但是通过沿x和/或y方向围绕轴旋转,光学元件通常倾斜在垂直于光轴的平面之外。光学元件的变形可以包括低频形状(例如,像散)和/或高频形状(例如,自由形式的非球面)。光学元件的变形例如可以通过使用在光学元件的一个或多个侧面上施加力的一个或多个致动器和/或通过使用加热光学元件的一个或多个选定区域的一个或多个加热元件来执行。一般而言,可能无法调整投影系统PS以校正变迹(跨光瞳平面的透射率变化)。当设计用于光刻装置LA的图案化设备(例如,掩模)MA时,可以使用投影系统PS的透射率图。使用计算光刻技术,图案化设备MA可以被设计为至少部分地校正变迹。
如本文中所描绘的,该装置是透射类型的装置(例如,采用透射掩模)。可替代地,该装置可以是反射类型的装置(例如,采用上述类型的可编程反射镜阵列、或者采用反射掩模)。
光刻装置可以是具有两个(双台)或更多个台(例如,两个或更多个衬底台WTa,WTb、两个或更多个图案化设备台、衬底台WTa以及位于投影系统下方的台WTb,而没有专用于例如便于测量和/或清洁等的衬底)的类型。在这种“多台”机器中,可以并行使用附加台,或者可以对一个或多个台执行准备步骤,同时一个或多个其他台用于曝光。例如,可以进行使用对准传感器AS的对准测量和/或使用水平传感器LS的水平(高度、倾斜等)测量。
光刻装置还可以是以下类型的光刻装置,其中衬底的至少一部分可以由具有相对较高的折射率的液体(例如,水)覆盖,以填充投影系统和衬底之间的空间。还可以将浸没液体施加到光刻装置中的其他空间,例如,施加在图案化设备和投影系统之间。浸没技术在本领域中是众所周知的,用于增加投影系统的数值孔径。如本文中所使用的术语“浸没”并不意指诸如衬底之类的结构必须淹没在液体中,而是仅意指液体在曝光期间位于投影系统与衬底之间。
参考图1,照射器IL从辐射源SO接收辐射束。源和光刻装置可以是单独的实体,例如,当源是准分子激光器时。在这种情况下,源不认为是光刻装置的一部分,并且借助于包括例如合适的定向反射镜和/或扩束器的光束传送系统BD,辐射束从源SO传递到照射器IL。在其他情况下,例如,当源是水银灯时,源可以是光刻装置的组成部分。源SO和照射器IL以及(如果需要)与光束传送系统BD一起被称为辐射系统。
照射器IL可以包括调整器AD,其被配置为调整辐射束的角强度分布。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围(radial extent)和/或内部径向范围(通常分别称为σ-外部和σ-内部)。另外,照射器IL可以包括各种其他部件,诸如积分器IN和聚光器CO。照射器可以用于调节辐射束,以在其横截面中具有期望均匀性和强度分布。
辐射束B入射在图案化设备(例如,掩模)MA上,该图案化设备(例如,掩模)MA被保持在支撑结构(例如,掩模台)MT上,并且通过图案化设备进行图案化。遍历图案化设备MA之后,辐射束B穿过投影系统PS,该投影系统PS将光束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉仪设备、线性编码器、二维编码器或电容传感器),可以精确移动衬底台WT,例如,以便将不同的目标部分C定位在辐射束B的路径中。同样,第一定位器PM和另一位置传感器(图1中未明确绘出)可以用于关于辐射束B的路径精确定位图案化设备MA,例如,在从掩模库中机械检索之后或在扫描期间。一般而言,支撑结构MT的移动可以借助于形成第一定位器PM的一部分的长行程模块(粗定位)和短行程模块(精细定位)来实现。同样,衬底台WT的移动可以使用形成第二定位器PW的一部分的长行程模块和短行程模块来实现。在步进器(与扫描器相反)的情况下,支撑结构MT可以仅连接到短行程致动器,或可以固定。可以使用图案化设备对准标记M1,M2和衬底对准标记P1,P2来对准图案化设备MA和衬底W。尽管所图示的衬底对准标记占据专用目标部分,但是它们可以位于目标部分之间的空间中(这些称为划道对准标记)。同样,在图案化设备MA上提供多于一个裸片的情况下,图案化设备对准标记可以位于裸片之间。
所描绘的装置可以在以下模式中的至少一种模式下使用:
1.在步进模式下,支撑结构MT和衬底台WT基本上保持静止,而赋予到辐射束的整个图案被一次投影到目标部分C上(即,单次静态曝光)。然后,衬底台WT沿X和/或Y方向偏移,使得可以曝光不同的目标部分C。在步进模式下,曝光场的最大尺寸限制了在单次静态曝光中成像的目标部分C的尺寸。
2.在扫描模式下,同步扫描支撑结构MT和衬底台WT,同时赋予到辐射束的图案被投影到目标部分C上(即,单次动态曝光)。衬底台WT相对于支撑结构MT的速度和方向可以由投影系统PS的放大率(缩小率)和图像反转特性来确定。在扫描模式下,曝光场的最大尺寸限制了单次动态曝光中目标部分的(非扫描方向上的)宽度,而扫描运动的长度确定了目标部分的(扫描方向上的)高度。
3.在另一模式下,支撑结构MT保持基本静止,从而保持可编程图案化设备,并且移动或扫描衬底台WT,同时赋予到辐射束的图案被投影到目标部分C上。在这种模式下,在每次移动衬底台WT之后或在扫描期间的连续辐射脉冲之间,根据需要更新可编程图案化设备和采用脉冲辐射源。这种操作模式可以容易地适用于利用可编程图案化设备(诸如上述类型的可编程反射镜阵列)的无掩模光刻。
还可以采用上述使用模式或完全不同的使用模式的组合和/或变型。
如图2所示,光刻装置LA可以形成光刻单元LC的一部分,该光刻单元LC有时也被称为光刻单元或簇,其还包括在衬底上执行曝光前过程和曝光后过程的装置。传统上讲,这些装置包括沉积一个或多个抗蚀剂层的一个或多个旋涂器SC、使曝光的抗蚀剂显影的一个或多个显影剂DE、一个或多个激冷板CH和/或一个或多个烘烤板BK。衬底处理器或机械人RO从输入/输出端口I/O1,I/O2拾取一个或多个衬底,在不同的处理装置之间移动它们,然后将它们传送到光刻装置的进料台LB。这些装置——通常被统称为轨道——处于轨道控制单元TCU的控制下,该轨道控制单元TCU本身由管理控制系统SCS进行控制,该管理控制系统还经由光刻控制单元LACU控制光刻装置。因此,可以操作不同的装置以使吞吐量和处理效率最大化。
为了正确且一致地曝光由光刻装置曝光的衬底,期望检查所曝光的衬底以测量或确定一个或多个性质,诸如重叠(例如,其可以在重叠层中的结构之间,或在同一层中的结构之间,后者的结构已经通过例如双重图案化过程分别提供给该层)、线厚度、临界尺寸(CD)、离焦偏置、材料性质等。因而,光刻单元LC所在的制造设施通常还包括量测系统MET,其接收已经在光刻单元中处理过的部分或全部衬底W。量测系统MET可以是光刻单元LC的一部分,例如,它可以是光刻装置LA的一部分。
量测结果可以直接或间接提供给管理控制系统SCS。如果检测到误差,则可以对后续衬底的曝光(尤其是如果该检查可以不久且足够快地完成,以致该批次的一个或多个其他衬底仍要被曝光)和/或所曝光的衬底的后续曝光进行调整。此外,可以剥离和再加工已经曝光的衬底以提高产率,或者将其丢弃,从而避免在已知有缺陷的衬底上执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对那些良好的目标部分执行其他曝光。
在量测系统MET内,量测装置用于确定衬底的一个或多个性质,具体地,确定不同衬底的一个或多个性质如何变化或同一衬底的不同层在层与层之间如何变化。量测装置可以集成到光刻装置LA或光刻单元LC中,或者可以是独立设备。为了使得能够进行快速测量,期望量测装置在曝光之后立即测量曝光的抗蚀剂层中的一个或多个性质。然而,抗蚀剂中的潜像对比度很低—抗蚀剂中已暴露于辐射的部分与尚未暴露于辐射中的部分之间的折射率只有很小的差异—并且并非所有量测装置的敏感度都足以对潜像进行有用的测量。因此,可以在曝光后烘烤步骤(PEB)之后进行测量,该步骤通常是在曝光的衬底上执行的第一步骤,并且增加抗蚀剂的曝光的部分和未曝光的部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称为半潜像。还可能够对显影后的抗蚀剂图像进行测量—此时,抗蚀剂的曝光的部分或未曝光的部分已经被移除—或者在图案转移步骤之后(诸如蚀刻)。后一种可能性限制了对有缺陷的衬底进行重加工的可能性,但仍然可以提供有用的信息。
为了使得能够进行量测,可以在衬底上提供一个或多个目标。在一个实施例中,目标被特别地设计,并且可以包括周期性结构。在一个实施例中,目标是设备图案的一部分,例如,设备图案的周期性结构。在一个实施例中,设备图案是存储器设备的周期性结构(例如,双极晶体管(BPT)、位线接触(BLC)等结构)。
在一个实施例中,衬底上的目标可以包括一个或多个1D周期性结构(例如,光栅),其被印刷使得在显影之后,周期性结构特征由固体抗蚀剂线形成。在一个实施例中,目标可以包括一个或多个2D周期性结构(例如,光栅),其被印刷使得在显影之后,一个或多个周期性结构由抗蚀剂中的固体抗蚀剂柱或通孔形成。条、柱或通孔可以可替代地蚀刻到衬底中(例如,蚀刻到衬底上的一个或多个层中)。
在一个实施例中,图案化过程的一个感兴趣参数是重叠。可以使用暗场散射测量法来测量重叠,在该暗场散射测量法中,零阶衍射(与镜面反射相对应)被阻止,并且仅处理更高阶衍射。可以在PCT专利申请公开号WO 2009/078708和WO 2009/106279找到暗场量测的示例,其全部内容由此通过引用并入本文。已经在美国专利申请公开US2011-0027704,US2011-0043791和US2012-0242970中对该技术的其他发展进行了描述,其全部内容由此通过引用并入本文。使用衍射阶的暗场检测的基于衍射的重叠使得能够在较小的目标上进行重叠测量。这些目标可以小于照射斑,并且可能被衬底上的设备产品结构包围。在一个实施例中,可以在一个辐射捕获中测量多个目标。
图3A中示意性地示出了在一个实施例中适用于测量例如重叠的量测装置。图3B中更详细地示出了目标T(包括诸如光栅之类的周期性结构)和衍射光线。量测装置可以是独立设备,或者可以并入例如测量站处的光刻装置LA中,或者并入光刻单元LC中。光轴—其在整个装置中具有多个分支——由点线O表示。在该装置中,由输出11(例如,诸如激光器或氙灯之类的源或连接到源的开口)发出的辐射通过包括透镜12,14和物镜16的光学系统经由棱镜15被引导到衬底W上。这些透镜以4F布置的双重序列布置。可以使用不同的透镜布置,只要它仍将衬底图像提供到检测器上即可。
在一个实施例中,透镜布置允许进入中间光瞳平面以进行空间频率滤波。因此,可以通过在呈现衬底平面的空间光谱的平面(本文中称为(共轭)光瞳平面)中定义空间强度分布,来选择辐射入射到衬底上的角范围。具体地,这可以在物镜光瞳平面的反向投影图像的平面中例如通过在透镜12和14之间插入合适形式的孔板13来进行。在所图示的示例中,孔板13具有标记为13N和13S的不同的形式,从而允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式下,孔板13N从被指定为“北”(仅出于描述目的)的方向提供离轴照射。在第二照射模式下,孔板13S用于提供类似的照射,但是从标记为“南”的相反的方向。通过使用不同的孔,其他照射模式也是可能的。期望地,光瞳平面的其余部分是暗的,因为期望照射模式之外的任何不必要的辐射都可能与期望测量信号干涉。
如图3B所示,目标T与基本上垂直于物镜16的光轴O的衬底W放置在一起。以偏离轴O一定角度撞击在目标T上的照射光线I产生零阶光线(实线0)和两个一阶光线(点链线+1和双点链线-1)。对于过填充的小目标T的情况,这些光线只是覆盖衬底区域(包括量测目标T和其他特征)的许多平行光线中的一个光线。由于板13中的孔径的宽度有限(必须允许有用量的辐射),所以入射光线I实际上会占据一定角度范围,并且衍射光线0和+1/-1会稍微散开。根据小目标的点扩散函数,每个阶+1和-1在一定角度范围内进一步散开,而不是如图所示的单一理想光束。注意,可以设计或调整周期性结构的节距和照射角度,以使得进入物镜的一阶光线与中心光轴紧密对准。图3A和图3B中所图示的光线被示为有些偏离轴,纯粹是为了使得它们能够在图中更容易区分。衬底W上的目标所衍射的至少0阶和+1阶通过物镜16收集并且通过棱镜15引导回来。
返回到图3A,通过指定被标记为北(N)和南(S)的沿直径相对的孔,图示了第一照射模式和第二照射模式两者。当入射光线I来自光轴的北侧时,也就是说,当使用孔板13N应用第一照射模式时,被标记为+1(N)的+1衍射光线进入物镜16。相比之下,当使用孔板13S应用第二照射模式时,-1阶衍射光线(被标记为-1(S))是进入透镜16的光线。因此,在一个实施例中,可以在某些条件下(例如,在旋转目标或改变照射模式或改变成像模式以分别获得-1衍射阶强度和+1衍射阶强度之后)通过测量目标两次来获得测量结果。比较给定目标的这些强度提供了目标中不对称性的测量,并且目标中的不对称性可以用作光刻过程的参数(例如,重叠)的指标。在上文所描述的情形中,改变照射模式。
分束器17将衍射的光束分成两个测量分支。在第一测量分支中,光学系统18使用零阶衍射光束和一阶衍射光束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶撞击传感器上的不同点,使得图像处理可以比较和对比各阶。由传感器19捕获的光瞳平面图像可以用于聚焦量测装置和/或归一化强度测量。如下文所进一步描述的,光瞳平面图像还可以用于其他测量目的,诸如重构。
在第二测量分支中,光学系统20,22在传感器23(例如,CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量分支中,在与物镜16的光瞳平面共轭的平面中设置孔径光阑21。孔径光阑21用于阻挡零阶衍射光束,从而在传感器23上形成目标的图像由-1或+1一阶光束形成。关于由传感器19和23测量的图像的数据被输出到处理器和控制器PU,其功能将取决于所执行的特定测量类型。注意,术语‘图像’在宽泛的意义上使用。如果仅存在-1和+1阶中之一,则不会形成这样的周期性结构特征(例如,光栅线)的图像。
图3所示的孔板13和光阑21的特定形式仅是示例。在另一实施例中,使用目标的轴上照射,并且使用具有离轴孔径的孔径光阑以将基本上仅一个一阶衍射辐射传递到传感器。在又其他实施例中,代替一阶光束或除一阶光束之外,还可以在测量中使用二阶光束、三阶光束和更高阶光束(图3中未示出)。
为了使照射能够适应于这些不同类型的测量,孔板13可以包括形成在盘的周围的若干个孔图案,该盘旋转以将期望图案放置到位。注意,孔板13N或13S用于测量沿一个方向(X或Y,取决于设置)定向的目标的周期性结构。为了测量正交周期性结构,可以实现目标旋转90°和270°。图3C和图3D中图示了不同的孔板。图3C图示了另外两种类型的离轴照射模式。在图3C的第一照射模式下,孔板13E提供了从被指定为相对于先前描述的‘北’为‘东’的方向(仅为了描述起见)的离轴照射。在图3C的第二照射模式下,孔板13W用于提供类似的照射,但是从被标记为‘西’的相反方向提供。图3D图示了另外两种类型的离轴照射模式。在图3D的第一照射模式下,孔板13NW从先前所描述的指定为‘北’和‘西’方向提供离轴照射。在第二照射模式下,孔板13SE用于提供类似照射,但是从如先前所描述的被标记为‘南’和‘东’的相反的方向提供。在例如上文所提及的现有公开的专利申请出版物中对这些装置的使用以及装置的许多其他变型和应用进行了描述。
图4描绘了形成在衬底上的示例复合量测目标T。复合目标包括紧密定位在一起的四个周期性结构(在这种情况下为光栅)32,33,34,35。在一个实施例中,可以使周期性结构布局小于测量斑(即,对周期性结构布局进行过填充)。因此,在一个实施例中,周期性结构足够紧密地定位在一起,使得它们都在由量测装置的照射束形成的测量斑31内。因此,在那种情况下,四个周期结构全部同时被照射并且同时成像在传感器19和23上。在专用于重叠测量的示例中,周期结构32,33,34,35本身就是通过重叠周期性结构而形成的复合周期结构(例如,复合光栅),即,在形成在衬底W上的设备的不同层中图案化成周期性结构,并且使得一个层中的至少一个周期性结构与不同层中的至少一个周期性结构重叠。这种目标的外部尺寸可以在20μm×20μm之内或在16μm×16μm之内。进一步地,所有周期性结构都用于测量特定对的层之间的重叠。为了有助于目标能够测量多于单对的层,周期性结构32,33,34,35可以具有不同偏置的重叠偏移,以便有助于测量形成复合周期性结构的不同部分的不同层之间的重叠。因此,用于衬底上的目标的所有周期性结构将被用于测量一对的层,并且用于衬底上的另一相同目标的所有周期性结构可能被用于测量另一对的层,其中不同的偏置有利于区分层对。
返回到图4,如所示出的,周期性结构32,33,34,35的方位还可以不同,以便沿X和Y方向衍射入射辐射。在一个示例中,周期结构32和34是偏置分别为+d,-d的X方向周期结构。周期性结构33和35可以是偏置分别为+d和-d的Y方向周期性结构。尽管示出了四个周期性结构,但是另一实施例可以包括更大的矩阵以获得期望精度。例如,九个复合周期性结构的3×3阵列的偏置可以为-4d,-3d,-2d,-d,0,+d,+2d,+3d,+4d。可以在由传感器23捕获的图像中标识这些周期性结构的单独图像。
图5示出了图像的示例,该图像可以使用图3的装置中的图4的目标,使用来自图3D的孔板13NW或13SE在传感器23上形成并且由传感器23检测。虽然传感器19不能解析不同的单个周期性结构32至35,但是传感器23可以解析它们。暗色矩形表示传感器上图像的场,在该场内,衬底上的照射斑31被成像到对应圆形区域41中。在该圆形区域41内,矩形区域42-45表示周期性结构32至35的图像。并非位于划道之中或除划道之外,目标可以定位于设备产品特征之中。如果周期性结构位于设备产品区域中,则设备特征在该图像场的外围也可见。处理器和控制器PU使用图案识别来处理这些图像,以标识周期性结构32至35的单独图像42至45。这样,不必在传感器框架内的特定位置非常精确地对准图像,这就极大地提高了测量装置整体的吞吐量。
一旦已经标识了周期性结构的单独图像,就可以例如通过对所标识的区域内的所选择的像素强度值求平均或求和来测量那些单独图像的强度。图像的强度和/或其他性质可以相互比较。这些结果可以组合起来以测量光刻过程的不同参数。重叠性能就是这种参数的示例。
在一个实施例中,图案化过程的一个感兴趣参数是特征宽度(例如,CD)。图6描绘了可以使能确定特征宽度的高度示意性的示例量测装置(例如,散射仪)。该示例量测装置包括宽带(白光)辐射投影仪2,其将辐射投影到衬底W上。重定向辐射被传递到光谱仪检测器4,该光谱仪检测器4测量镜面反射辐射的光谱10(强度作为波长的函数),如例如在左下方的图示所示出的。根据该数据,可以由处理器PU(例如,通过严格耦合波分析和非线性回归或者通过与如图6的右下方所示的模拟光谱库进行比较)重构产生所检测到的光谱的结构或轮廓。一般而言,对于重构,结构的一般形式是已知的,并且从制造该结构的过程的知识中假设一些变量,从而剩下结构中的要从测量数据确定的一些变量。这种量测装置可以被配置为正入射量测装置或斜入射量测装置。而且,除了通过重构来测量参数之外,角解析散射量测在测量产品和/或抗蚀剂图案中的特征的不对称性是有用的。非对称性测量的特定是用于测量重叠,其中目标包括叠加在另一周期性特征集合上的一个周期性特征集合。在例如美国专利申请公开US2006-066855中对以这种方式进行的不对称性测量的构思进行了描述,其全部内容并入本文。
图7图示了适合用于在本文中所公开的本发明的实施例中的量测装置100的示例。在美国专利申请号US 2006-033921和US 2010-201963中对这种类型的量测装置的操作原理进行了更详细的解释,其全部内容通过引用并入本文。在整个装置中具有几个分支的光轴由点线O表示。在该装置中,由源110(例如,氙灯)发出的辐射通过光学系统引导到衬底W上,该光学系统包括透镜系统120、孔板130、透镜系统140、部分反射表面150和物镜160。在一个实施例中,这些透镜系统120,140,160以4F布置的双重序列布置。在一个实施例中,使用透镜系统120准直由辐射源110发出的辐射。如果需要,则可以使用不同的透镜布置。可以通过在呈现衬底平面的空间光谱的平面中定义空间强度分布来选择辐射入射在衬底上的角范围。特别地,这可以通过在透镜120和140之间在物镜光瞳平面的反向投影图像的平面内插入合适形式的孔板130来进行。通过使用不同的孔,不同的强度分布(例如,环形、偶极子等)是可能的。径向方向和外围方向上的照射的角分布以及诸如辐射的波长、偏振和/或相干性之类的性质都可以被调整,以获得期望结果。例如,可以在源110和部分反射表面150之间设置一个或多个干涉滤波器130(参见图9),以在例如400nm至900nm或甚至更小例如200nm至300nm的范围内选择感兴趣波长。干涉滤波器可以是可调的,而非包括一组不同的滤波器。可以使用光栅代替干涉滤波器。在一个实施例中,可以在源110与部分反射表面150之间提供一个或多个偏振器170(见图9)以选择感兴趣偏振。偏振器可以是可调的,而非包括一组不同的偏振器。
如图7所示,目标T与垂直于物镜160的光轴O的衬底W放置在一起。因此,来自源110的辐射被部分反射表面150反射并且经由物镜160聚焦到衬底W上的目标T上的照射斑S中(见图8)。在一个实施例中,物镜160具有高数值孔径(NA),其期望地为至少0.9或至少0.95。浸没式量测装置(使用折射率相对较高的流体,诸如水)的数值孔径甚至可能大于1。
从偏离轴O一定角度聚焦到照射斑的照射光线170,172产生衍射光线174,176。应当记住,这些光线仅仅是覆盖包括目标T的衬底的区域的许多平行光线中的一个平行光线。照射斑内的每个元件都在量测装置的视场内。由于板130中的孔的宽度有限(必须容许有用量的辐射),所以入射光线170,172事实上占据一定角度范围,并且衍射光线174,176将稍微散开。根据小目标的点扩散函数,每个衍射阶在一定角度范围内进一步扩散,而如所示出的单个理想光线。
物镜160收集衬底W上的目标所衍射的至少0阶,并且通过部分反射表面150引导回来。光学元件180向光学系统182提供衍射光束的至少一部分,该光学系统182使用零阶衍射光束和/或一阶衍射光束在传感器190(例如,CCD或CMOS传感器)上形成目标T的衍射光谱(光瞳平面图像)。在一个实施例中,提供孔186以滤除某些衍射阶,使得特定衍射阶提供给传感器190。在一个实施例中,孔186基本上或主要仅允许零阶辐射到达传感器190。在一个实施例中,传感器190可以是二维检测器,使得可以测量衬底目标T的二维角散射光谱。传感器190可以是例如CCD或CMOS传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。传感器190可以用于测量单个波长(或窄波长范围)处的重定向辐射的强度,分别在多个波长处的强度或在一定波长范围内积分的强度。更进一步地,传感器可以用于分别测量具有横向磁偏振和/或横向电偏振的辐射的强度和/或横向磁偏振辐射和横向电偏振辐射之间的相位差。
可选地,光学元件180向测量分支200提供衍射光束的至少一部分,以在传感器230(例如,CCD或CMOS传感器)上的衬底W上形成目标的图像。测量分支200可以用于各种辅助功能,诸如聚焦量测装置(即,使得衬底W能够利用物镜160对焦),和/或用于引言中所提及的类型的暗场成像。
为了向不同尺寸和形状的光栅提供定制视场,在透镜系统140内从源110到物镜160的路径上提供了可调整场光阑300。场光阑300包含孔302,并且位于与目标T的平面共轭的平面中,使得照射斑成为孔302的图像。图像可以根据放大倍数缩放,或者孔和照射斑可以呈1:1尺寸关系。为了使照射能够适于不同类型的测量,孔板300可以包括形成在盘周围的许多个孔图案,该盘旋转以将期望图案放置到位。可替代地或除此之外,可以设置并且调换一组板300,以实现相同的效果。附加地或可替代地,还可以使用可编程孔设备,诸如可变形反射镜阵列或透射空间光调制器。
通常,目标将与其平行于Y轴或平行于X轴的周期性结构特征对准。关于衍射行为,具有沿平行于Y轴的方向延伸的特征的周期性结构在X方向上具有周期性,而具有沿平行于X轴的方向延伸的特征的周期性结构在Y方向上具有周期性。为了测量两个方向上的性能,通常提供两种类型的特征。虽然为了简单起见,将参考线和间隔,但是周期性结构不必由线和间隔形成。而且,每条线和/或线之间的间隔可以是由较小的子结构形成的结构。进一步地,可以一次形成在两个维度上具有周期性的周期性结构,例如,在周期性结构包括支柱和/或通孔的情况下。
图8图示了典型目标T的平面图以及图7的装置中的照射斑S的范围。为了获得不受周围结构干涉的衍射光谱,目标T在一个实施例中是大于照射斑S的宽度(例如,直径)的周期性结构(例如,光栅)。斑S的宽度可以小于目标的宽度和长度。换句话说,照明“欠填充”目标,并且衍射信号基本上不受来自目标自身外部的产品特征等的任何信号的影响。这简化了目标的数学重构,因为其可以被视为无限的。
图9示意性地描绘了基于使用量测获得的测量数据来确定目标图案30'的一个或多个感兴趣变量的值的示例过程。由检测器190检测到的辐射为目标30'提供了测量的辐射分布108。
对于给定目标30',可以使用例如数值麦克斯韦(Maxwell)求解器210从参数化数学模型206计算/模拟辐射分布208。参数化数学模型206示出了组成目标并且与该目标相关联的各种材料的示例层。参数化数学模型206可以包括所考虑的目标的部分的特征和层的变量中的一个或多个变量,可以对这些变量进行变化和推导。如图9所示,变量中的一个或多个变量可以包括一个或多个层的厚度t、一个或多个特征的宽度w(例如,CD)、一个或多个特征的高度h、一个或多个特征的侧壁角度α、和/或特征之间的相对位置(本文中视为重叠)。尽管未示出,但是变量中的一个或多个变量还可以包括但不限于层中的一个或多个层的折射率(例如,实数或复数折射率、折射率张量等)、一个或多个层的消光系数、一个或多个层的吸收、显影期间的抗蚀剂损失、一个或多个特征的基脚(footing)和/或一个或多个特征的线边缘粗糙度。1D周期性结构或2D周期性结构的一个或多个参数的一个或多个值(诸如宽度、长度、形状或3D轮廓特征的值)可以根据图案化过程和/或其他测量过程的知识被输入到重构过程。例如,变量的初始值可以是针对测量的目标的一个或多个参数的那些期望值,诸如CD、节距等的值。
在一些情况下,目标可以划分为单位单元的多个实例。为了在那种情况下帮助实现目标的辐射分布的容易计算,模型206可以被设计为使用目标的结构的单位单元进行计算/模拟,其中重复单位单元作为跨完整目标的实例。因此,模型206可以使用一个单位单元进行计算,并且拷贝结果以使用适当的边界条件来拟合整个目标,以便确定目标的辐射分布。
作为重构时计算辐射分布208的补充或备选,可以针对所考虑的目标部分的变量的多个变化预先计算多个辐射分布208,以产生辐射分布库以供重构时使用。
然后,在212处,比较测量的辐射分布108与所计算的辐射分布208(例如,在该时间附近计算的或从库中获得的辐射分布),以确定两者之间的差异。如果存在差异,则可以变化参数化数学模型206的变量中的一个或多个变量的值,以获得新计算出的辐射分布208(例如,从库中计算或获得),并且该新计算出的辐射分布208与测量的辐射分布108进行比较,直到测量的辐射分布108和辐射分布208之间充分匹配为止。此时,参数化数学模型206的变量的值提供实际目标30'的几何形状的良好匹配或最佳匹配。在一个实施例中,当测量的辐射分布108和计算的辐射分布208之间的差异在公差阈值之内时,存在充分匹配。
在这些量测装置中,可以提供衬底支撑件以在测量操作期间保持衬底W。衬底支撑件的形式可以与图1的衬底台WT相似或相同。在将量测装置与光刻装置集成在一起的示例中,它甚至可以是同一衬底台。可以提供粗调定位器和微调定位器,以相对于测量光学系统准确定位衬底。例如,提供各种传感器和致动器以获取感兴趣目标的位置,并且将其置于物镜下方。通常,在跨衬底W的不同位置处的目标实例上进行多次测量。衬底支撑件可以沿X方向和Y方向移动以获取不同的目标实例,并且沿Z方向移动以获得目标相对于光学系统的焦点的期望位置。当例如在实践中,光学系统可以基本上保持静止(通常,沿X方向和Y方向,而且也许也沿Z方向)而只有衬底移动时,则思考和描述如同将物镜相对于衬底置于不同位置的操作是方便的。如果衬底和光学系统的相对位置正确,则原则上衬底和光学系统中的哪个在现实世界中正在移动、或者两者都正在移动、或者光学系统的一部分正在移动(例如,沿Z方向和/或倾斜方向)和光学系统的其余部分保持静止并且衬底正在移动(例如,沿X和Y方向,可选地,还沿Z方向和/或倾斜方向)的组合都无关紧要。
在一个实施例中,目标的测量精度和/或敏感度可以相对于提供到目标上的辐射束的一个或多个属性(例如,辐射束的波长、辐射束的偏振、辐射束的强度分布(即,角度或空间强度分布)等)而变化。因此,可以选择理想地获得例如目标的良好测量精度和/或敏感度的特定测量策略。
为了监测包括至少一个图案转移步骤(例如,光刻步骤)的图案化过程(例如,设备制造过程),检查图案化的衬底,并且测量/确定图案化的衬底的一个或多个参数。一个或多个参数可以包括例如在图案化的衬底中或上形成的连续层之间的重叠,例如在图案化的衬底中或上形成的特征的临界尺寸(CD)(例如,临界线宽),光刻步骤的焦点或聚焦误差,光刻步骤的剂量或剂量误差,光刻步骤的光学像差,放置误差(例如,边缘放置误差)等。可以在产品衬底本身的目标上和/或在衬底上提供的专用量测目标上执行该测量。该测量可以在抗蚀剂显影之后但在蚀刻之前执行,或者可以在蚀刻之后执行。
在一个实施例中,从测量过程获得的参数是从直接从测量过程确定的参数导出的参数。作为示例,从测量参数获得的导出参数是图案化过程的边缘放置误差。边缘置放误差提供了由图案化过程产生的结构的边缘位置的变化。在一个实施例中,从重叠值导出边缘置放误差。在一个实施例中,从重叠值和CD值的组合导出边缘置放误差。在一个实施例中,从重叠值、CD值和与局部变化(例如,各个结构的边缘粗糙度、形状非对称性等)相对应的值的组合导出边缘置放。在一个实施例中,边缘置放误差包括所组合的重叠误差和CD误差的极值(例如,3个标准偏差,即3σ)。在一个实施例中,在涉及产生结构并且涉及通过相对于结构蚀刻由图案化过程所提供的图案来移除结构的一部分来“切割”结构的多图案化过程中,边缘置放误差具有以下形式(或包括以下各项中的一项或多项):
Figure BDA0002402900120000241
其中σ是标准偏差,σoverlay与重叠的标准偏差相对应,σCDU structures与在图案化过程中产生的结构的临界尺寸均匀性(CDU)的标准偏差相对应,σcDU cuts与(如果有,则在图案化过程中产生的)切口的关键尺寸均匀性(CDU)的标准偏差相对应,则σoPE,PBA与光学邻近效应(OPE)和/或邻近偏置平均(PBA)的标准偏差相对应,该邻近偏置平均是节距处的CD和参考CD之间的差异,并且σLER,LPE与线边缘粗糙度(LER)和/或局部置放误差(LPE)的标准偏差相对应。虽然上述公式与标准偏差有关,但它可以以不同的可比统计方式来表述,诸如方差。
存在用于对在图案化过程中形成的结构进行测量的各种技术,其包括使用扫描电子显微镜、基于图像的测量工具和/或各种专用工具。如上文所讨论的,一种快速且非侵入式形式的专用量测工具是一种将辐射束引导到衬底的表面上的目标上并且对散射(衍射/反射)束的性质进行测量的工具。通过评估由衬底散射的辐射的一个或多个性质,可以确定衬底的一个或多个性质。这可以被称为基于衍射的量测。一种基于该衍射的量测的此类应用是在对目标内的特征非对称性的测量中。例如,这可以用作对重叠的测量,但是其他应用也是已知的。例如,可以通过比较衍射光谱的相对部分(例如,比较周期性光栅的衍射光谱中的-1阶和+1阶)来测量非对称性。这可以如上文所描述的并且例如在美国专利申请公开US2006-066855中所描述的来进行,该文献的全部内容通过引用并入本文。基于衍射的量测的另一应用是在对目标内的特征宽度(CD)的测量中。这样的技术可以使用上文关于图6至图9所描述的装置和方法。
现在,虽然这些技术是有效的,但是期望提供一种新型测量技术,该测量技术得到目标内的特征非对称性(诸如重叠、CD非对称性、侧壁角度非对称性等)。对于专门设计的量测目标,或更显著地,为了直接在设备图案上确定特征非对称性,这种技术可能是有效的。
参照图10,在重叠实施例的上下文中,对该测量技术的原理进行描述。在图10A中,示出了目标T的几何对称单位单元。如图10C所示,目标T可以仅包括单位单元的单个物理实例,或者可以包括单位单元的多个物理实例。
目标T可以是专门设计的目标。在一个实施例中,目标用于划道。在一个实施例中,目标可以是裸片内目标,即,目标在设备图案之中(并且因此在划道之间)。在一个实施例中,目标可以具有与设备图案特征相当的特征宽度或间距。例如,目标特征宽度或间距可以小于或等于设备图案的最小特征尺寸或间距的300%,小于或等于设备图案的最小特征尺寸或间距的200%,小于或等于设备图案的最小特征尺寸或间距的150%,或小于或等于设备图案的最小特征尺寸或间距的100%。
目标T可以是设备结构。例如,目标T可以是存储器设备的一部分(其通常具有一个或多个结构,如下文所进一步讨论的,该一个或多个结构是或可以是几何对称的)。
在一个实施例中,目标T或单位单元的物理实例可以具有小于或等于2400平方微米的面积,小于或等于2000平方微米的面积,小于或等于1500平方微米的面积,小于或等于1000平方微米的面积,小于或等于400平方微米的面积,小于或等于200平方微米的面积,小于或等于100平方微米的面积,小于或等于50平方微米的面积,小于或等于25平方微米的面积,小于或等于10平方微米的面积,小于或等于5平方微米的面积,小于或等于1平方微米的面积,小于或等于0.5平方微米的面积,或小于或等于0.1平方微米的面积。在一个实施例中,目标T或单位单元的物理实例具有平行于衬底的平面的横截面尺寸,该横截面尺寸小于或等于50微米,小于或等于30微米,小于或等于20微米,小于或等于15微米,小于或等于10微米,小于或等于5微米,小于或等于3微米,小于或等于1微米,小于或等于0.5微米,小于或等于0.2微米,或小于或等于0.1微米。
在一个实施例中,目标T或单位单元的物理实例的结构间距小于或等于5微米,小于或等于2微米,小于或等于1微米,小于或等于500nm,小于或等于400nm,小于或等于300nm,小于或等于200nm,小于或等于150nm,小于或等于100nm,小于或等于75nm,小于或等于50nm,小于或等于32nm,小于或等于22nm,小于或等于16nm,小于或等于10nm,小于或等于7nm,或小于或等于5nm。
在一个实施例中,目标T具有单位单元的多个物理实例。因此,目标T通常可以具有本文中所列出的较高尺寸,而单位单元的物理实例将具有本文中所列出的较低尺寸。在一个实施例中,目标T包括50,000个或更多个单位单元的物理实例,25,000个或更多个单位单元的物理实例,15,000个或更多个单位单元的物理实例,10,000个或更多个单位单元的物理实例,5,000个或更多个单位单元的物理实例,1000个或更多个单位单元的物理实例,500个或更多个单位单元的物理实例,200个或更多个单位单元的物理实例,100个或更多个单位单元的物理实例,50个或更多个单位单元的物理实例,10个或更多个单位单元的物理实例。
期望地,单位单元的物理实例或单位单元的多个物理实例共同填充量测装置的束斑。在这种情况下,测量结果基本上仅包括来自单位单元的物理实例(或其多个实例)的信息。在一个实施例中,束斑的横截面宽度为50微米或更小,40微米或更小,30微米或更小,20微米或更小,15微米或更小,10微米或更小,5微米或更小,或2微米或更小。
图10A中的单位单元包括至少两个结构,该至少两个结构被实例化在衬底上或将被物理实例化在衬底上。第一结构1000包括线,而第二结构1005具有椭圆型的形状。当然,第一结构1000和第二结构1005可以是与所描绘的结构不同的结构。
进一步地,在该示例中,由于第一结构1000和第二结构1005分别传送到衬底上,所以第一结构1000和第二结构1005之间相对于它们的预期位置可能存在相对偏移,从而具有重叠误差。在该示例中,与第二结构1005相比,第一结构1000位于衬底上的更高层中。因此,在一个实施例中,可以在图案化过程的第一执行中在第一下层中产生第二结构1005,并且在图案化过程的第二执行中在比第一下层更高的第二层中产生第一结构1000。现在,第一结构1000和第二结构1005不必位于不同的层中。例如,在双重图案化过程(包括例如作为其一部分的蚀刻过程)中,第一结构1000和第二结构1005可以在同一层中产生以基本上形成单个图案,但是就它们在同一层内的相对置放而言,仍然可能存在“重叠”问题。在该单层示例中,第一结构1000和第二结构1005两者都可以具有例如图10A中所示的第一结构1000的线的形式,但是第二结构1005的线(已经通过第一图案转移过程提供在衬底上)可以与第二图案转移过程中提供的结构1000的线交错。
显著地,单位单元具有或能够具有相对于轴或点的几何对称性。例如,图10A中的单位单元相对于例如轴1010具有反射对称性,并且相对于例如点1015具有点/旋转对称性。同样,可以看出图10C中的单位单元的物理实例(以及因此的单位单元的物理实例的组合)具有几何对称性。
在一个实施例中,单位单元具有用于某个特征的几何对称性(诸如重叠)。当其是几何对称时,本文中的实施例着重于具有零重叠的单位单元。然而,相反,对于某种几何非对称性,单位单元可以具有零重叠。然后,当其具有某种几何非对称性时,可以使用适当的偏移(offset)和计算以用于说明具有零重叠的单位单元。确切地说,该单位单元应当能够依据某个特征值改变对称性(例如,变为非对称,或者进一步变为非对称,或者从非对称情况而变为对称)。
在图10A的示例中,单位单元具有零重叠的几何对称性(尽管不必为零重叠)。这由箭头1020和1025表示,该箭头1020和1025示出了第一结构1000的线相对于第二结构1005的椭圆型的形状均匀对准(并且该均匀对准至少部分地使得单位单元能够具有如图10A所示的几何对称性)。因此,在该示例中,当单位单元具有几何对称性时,存在零重叠。然而,当重叠中存在误差(例如,非零重叠)时,单位单元不再几何对称,并且根据定义,目标不再几何对称。
进一步地,在目标包括单位的多个物理实例的情况下,对单位单元的实例进行周期性布置。在一个实施例中,单位单元的实例布置在点阵中。在一个实施例中,周期性布置在目标内具有几何对称性。
因此,如下文中所进一步讨论的,在该技术中,利用了与能够确定特征非对称性(例如,非零重叠)的感兴趣特征非对称性(例如,非零重叠)有关的几何对称性的改变(例如,改变为几何非对称性,或改变为进一步几何非对称性,或从几何非对称性改变为几何对称性))。
可以使用例如图7的量测装置通过辐射照射包括图10A的单位单元的物理实例的目标。可以通过例如检测器190测量由目标重定向的辐射。在一个实施例中,测量了重定向辐射的光瞳,即,傅立叶变换平面。这种光瞳的示例测量被描绘为光瞳图像1030。尽管光瞳图像1030具有菱形型形状,但是它不必具有这种形状。除非上下文另外要求(例如,特定光学系统的光瞳平面正被标识的情况下),本文中的术语光瞳和光瞳平面包括其任何共轭。光瞳图像1030有效地是重定向辐射的光瞳就光学特性(在这种情况下为强度)所指定的图像。
为了方便起见,本文中的讨论将着重于强度作为感兴趣的光学特性。但是,本文中的技术可以与一种或多种备选或附加光学特性(诸如相位和/或反射性)一起使用。
进一步地,为了方便起见,本文中的讨论着重于检测和处理重定向辐射的图像,并且特别地为光瞳图像。然而,可以以不同于图像的方式测量和表示重定向辐射的光学特性。例如,可以根据一个或多个光谱(例如,作为波长函数的强度)来处理重定向辐射。因此,重定向辐射的检测的图像可以被视为重定向辐射的光学表示的示例。因此,在光瞳平面图像的情况下,光瞳图像是光瞳表示的示例。
进一步地,重定向辐射可以是偏振或非偏振的。在一个实施例中,测量光束辐射是偏振辐射。在一个实施例中,测量光束辐射是线性偏振的。
在一个实施例中,光瞳表示主要或基本上具有来自目标的一个衍射阶的重定向辐射。例如,辐射可以是80%或更多,85%或更多,90%或更多,95%或更多,98%或更多,或99%或更多的特定阶的辐射。在一个实施例中,光瞳表示主要或基本上具有零阶重定向辐射。例如,当目标的间距、测量辐射的波长以及可选地一个或多个其他条件导致目标主要重定向零阶时(尽管可能存在一个或多个更高阶的辐射),就会发生这种情况。在一个实施例中,大部分光瞳表示是零阶重定向辐射。在一个实施例中,光瞳表示具有零阶辐射,并且分离地具有一阶辐射,它们然后可以被线性组合(叠加)。图7中的孔186可以用于选择特定的辐射阶,例如,零阶辐射。
考虑到与第一结构1000和第二结构1005的几何对称单位单元相对应的光瞳图像1030,可以看出强度分布在光瞳图像内基本上是对称的(例如,其中对称类型与几何结构的对称类型相同)。这通过从光瞳图像1030中移除对称强度分布部分而得到进一步确认,从而产生所导出的光瞳图像1035。为了移除对称强度分布部分,特定光瞳图像像素(例如,像素)的对称强度分布部分可以通过从该特定光瞳图像像素处的强度减去对称放置的光瞳图像像素处的强度来移除,反之亦然。在一个实施例中,像素可以与检测器(例如,检测器190)的像素相对应,但这并非必须;例如,光瞳图像像素可以是检测器的多个像素。在一个实施例中,跨其减去像素强度的对称点或对称轴与单位单元的对称点或对称轴相对应。因此,例如,考虑到光瞳图像1030,对称强度分布部分可以通过例如从所示的该特定像素处的强度Ii减去来自对称放置(即,相对于轴1032对称地定位)的像素的强度Ii'来移除。因此,移除了对称强度部分的特定像素处的强度Si则为Si=Ii-Ii′。针对光瞳图像的多个像素(例如,光瞳图像中的所有像素),可以重复该操作。如在所导出的光瞳图像1035中可以看出,与对称单位单元相对应的强度分布基本上是完全对称的。因此,具有对称单位单元几何形状(并且如果适用,具有单位单元的实例的一定周期性)的对称目标产生如通过量测装置测量的对称光瞳响应。
现在,参考图10B,相对于图10A中描绘的单位单元,对重叠误差的示例进行描绘。在这种情况下,第一结构1000相对于第二结构1005沿X方向移位。具体地,在图10B中,以第一结构1000的线为中心的轴1010已经向右移位到轴1045。因此,重叠1040沿X方向存在误差;也就是说,X方向重叠误差。当然,第二结构1005可以相对于第一结构1000移位,或者两者都可以相对于彼此移位。无论如何,结果是X方向重叠误差。然而,如从该单位单元布置中将会理解的,第一结构1000和第二结构1005之间沿Y方向上的纯粹相对移位不会改变该单位单元的几何对称性。但是,通过适当的几何布置,沿两个方向或单位单元的部分的不同组合之间的重叠可以改变对称性,并且还可以得以确定,如下文进一步讨论的。
作为单位单元的物理配置从图10A中单位单元的标称物理配置改变的结果并且如由重叠1040中的误差所表示的,结果是单位单元已经变得几何上非对称。这可以通过不同长度的箭头1050和1055看到,这些箭头1050和1055示出了第二结构1005的椭圆型形状相对于第一结构1000的线不均匀地定位。关于光瞳图像1030的对称点或对称轴(即,在那种情况下为轴1032,该轴现在被示为轴1034)来检查对称性。
例如,可以使用图7的量测装置通过辐射照射图10B的单位单元的物理实例。重定向辐射的光瞳图像可以例如由检测器190记录。这种光瞳图像的示例被描绘为光瞳图像1060。光瞳图像1060有效地是强度的图像。虽然光瞳图像1060具有菱形型形状,但是它不必具有这种形状;光瞳图像1060可以是圆形形状或任何其他形状。而且,光瞳图像1060具有与光瞳图像1030基本上相同的轴或坐标位置。也就是说,在该实施例中,图10A的单位单元中的对称轴1010和图10B的单位单元中的同一轴与光瞳图像1030,1060的对称轴1032对准。
考虑到与第一结构1000和第二结构1005的几何非对称单位单元相对应的光瞳图像1060,从视觉上看,强度分布在光瞳图像内基本上是对称的。然而,在光瞳图像内存在非对称的强度分布部分。该非对称的强度分布部分归因于单位单元中的非对称性。而且,非对称强度分布在幅度上显著低于光瞳图像中的对称强度分布部分。
因此,在一个实施例中,为了更有效地隔离非对称强度分布部分,可以从光瞳图像1060中移除对称强度分布部分,这产生了所导出的导出光瞳图像1065。与获得所导出的光瞳图像1035一样,特定光瞳图像像素(例如,像素)的对称强度分布部分可以通过从该特定光瞳图像像素处的强度减去对称放置的光瞳图像像素的强度来移除,反之亦然,如上文所讨论的。因此,例如,考虑到光瞳图像1060,对称强度分布部分可以通过例如从所示的该特定像素处的强度Ii减去来自对称放置(即,相对于轴1032对称地定位)的像素的强度Ii'以得出Si来移除。针对光瞳图像的多个像素(例如,光瞳图像中的所有像素),可以重复该操作。在图10A和图10B中,出于解释目的,描绘了Si的完全导出光瞳图像。将会理解,图10A或图10B的导出光瞳图像的一半与其另一半相同。因此,在一个实施例中,仅来自光瞳图像的一半的值可以用于本文中所讨论的进一步处理,并且因此在本文中的进一步处理中使用的导出图像光瞳可以仅是光瞳的Si值的一半。
如在导出光瞳图像1065中可以看出,使用非对称单位单元的物理实例测量的强度分布是非对称的。如在区域1075和1080中看出的,一旦移除了对称强度分布部分,非对称强度分布部分就可见。如上文所指出的,示出了完全导出的光瞳图像1065,因此在两个半部上都示出了非对称强度分布部分(即使它们在其各自半部的幅度和分布上彼此相等)。
因此,几何域的非对称与光瞳的非对称相对应。因此,在一个实施例中,提供了一种方法,其使用周期性目标的光学响应,该周期性目标在其单位单元的物理实例中具有或能够具有固有的几何对称性,以确定与导致单位单元的物理实例的几何对称性改变(例如,导致非对称性,或导致其他非对称性,或导致非对称的单位单元变为对称)的物理配置改变相对应的参数。具体地,在一个实施例中,如由量测装置测量的在光瞳中由重叠引起的非对称性(或其的缺失)可以用于确定重叠。也就是说,光瞳非对称性被用于测量单位单元的物理实例内的并且因此测量目标内的重叠。
为了考虑如何确定与在单位单元中引起几何非对称性的物理配置改变相对应的参数,可以根据影响光瞳图像中的像素的目标的物理特性来考虑该像素的强度。为此,将考虑重叠示例,但是技术和原理可以扩展到与物理配置改变相对应的另一参数,该物理配置改变导致单位单元中的几何非对称性(例如,非对称的侧壁角度,非对称的底壁倾斜,接触孔中的椭圆率等)。
返回到图10A和图10B的单位单元,光瞳图像1060中的像素Ii,′i的强度可以被解析地评估为可归因于单位单元的不同物理特性的强度分量的组合。具体地,可以对从对称单位单元到非对称单位单元的物理配置改变进行评估,以确定强度分布(并且具体地在光瞳图像内)以何种方式改变。
因此,在说明原理的非常简单的示例中,可以对单位单元轮廓的物理配置的一些改变进行评估(但是当然,可以发生更多或不同的物理配置改变)。将要考虑的物理配置改变中的一个物理配置改变是结构1000沿Z方向的高度改变,其称为Δxh。但是,显著地,这种高度改变通常在整个单位单元的物理实例中是均匀的。也就是说,Δxh将导致对称点或对称轴一侧的单位单元的物理配置发生的改变与该对称轴或对称点另一侧的单位单元的物理配置发生的改变相同。同样,其他物理配置改变(诸如CD、侧壁角度等的改变)在整个单元单位单元的物理实例上通常也是一致的,因此得出对称点或对称轴一侧的单位单元的物理配置发生的改变与该对称轴或对称点另一侧的单位单元的物理配置发生的改变相同。因此,为了方便起见,仅考虑Δxh,但是它代表了许多其他物理配置改变,这些其他物理配置改变在整个单位单元内是一致的。
感兴趣单位单元的物理配置改变中的另一物理配置改变是结构1000和结构1005之间的相对移位,即,重叠1040的改变。该重叠移位称为Δxov。当然,可以考虑不同或附加方向上的重叠。显著地,Δxov导致对称轴或对称点一侧的单位单元的物理配置与对称轴或对称点另一侧的单位单元的物理配置不同。每个对称像素对都具有关于重叠的信息。显著地,虽然大多数目标轮廓参数(CD、高度等)的改变会引起光瞳的对称改变(并且因此可以被认为是对称参数),但是重叠改变会导致所测量光瞳的非对称改变。因此,重叠改变产生非对称光瞳响应。进一步地,大多数(如果不是全部)其他单位单元轮廓参数不会产生单位单元或光瞳响应的非对称。然而,它们可能会影响测量的重叠值。如下文所讨论的,对于一阶,其他单位单元轮廓参数可能没有影响。在一个实施例中,对于二阶或更高阶,其他单位单元轮廓参数对重叠值的确定具有影响。因此,如下文所更详细地讨论的,通过测量光瞳非对称性,可以由此确定重叠。
具体地,为了评估如何根据所测量的光瞳非对称性确定重叠,光瞳图像1060中像素i的强度Ii可以定义如下:
Figure BDA0002402900120000341
其中I0是可归因于照射辐射的基本强度,而a,e,f和g是系数。因此,同样地,光瞳图像1060中的互补对称像素I′i的强度可以定义如下:
Figure BDA0002402900120000342
其中系数a',b',c',d',e'和f'特定于互补对称像素I′i的强度,并且与针对光瞳图像1060中像素Ii的强度的系数a,b,c,d,e和f有关。
然后,光瞳图像1060中对称像素之间的强度的差异Si=Ii-I′i可以被评估如下:
Figure BDA0002402900120000343
已经发现,由于例如对称性,如在等式(3)中可以看出,能够仅包含对称参数的所有项(诸如eΔxh)都被舍弃。进一步地,由于例如对称性,已经发现具有重叠的偶次幂的项对于对称定位的像素是相等的,因此诸如
Figure BDA0002402900120000344
之类的项也被舍弃。这样就剩下重叠与对称参数的组合的项和仅具有奇次幂(例如,1,3,5,7等的幂)的重叠的项。
在上文的等式(3)中,已经发现强度的差异Si主要取决于aΔxov。也就是说,强度的差异Si在很大程度上线性地取决于重叠,或更显著地,重叠在很大程度上线性地取决于强度(具体地,取决于强度的差异Si)。因此,像素的强度的组合在与适当的转换因子线性组合时可以得出重叠的良好估计值。
因此,在一个实施例中,已经发现可以从被适当加权的像素的强度的组合中确定重叠(其中加权本身充当强度到重叠的转换因子或者可以与强度到重叠的转换因子组合)。在一个实施例中,重叠信号可以描述如下:
M=∑iwiSi (4)
其中重叠信号M是测量光瞳中信号分量Si的加权组合,而wi是信号分量Si中的每个信号分量的相应权重(并且权重充当信号分量和重叠之间的转换因子;如上文所指出的,相反,转换因子可以与权重结组合使用,这些权重不会将信号分量转换为重叠信号。在一个实施例中,权重wi是其幅度与重叠有关的向量。如上文所指出的,可以确定针对一半所测量光瞳的信号分量Si。在一个实施例中,如果信号分量Si对于所有对称像素(N)的对(N/2)具有基本上相同的幅度,则可以对信号分量Si进行求平均并且根据以下公式,将该信号分量Si与从总的信号分量Si到重叠的转换因子C组合,以得出总重叠:
Figure BDA0002402900120000351
因此,在一个实施例中,权重可以具有两个作用:一个作为每对像素关于其重叠的测量的信赖,并且另一作用是将信号分量的光学特性的值(例如,强度水平,例如,灰度)转换为重叠值(以纳米计)。如上文所讨论的,第二作用可以被委托给转换因子。
但是,在例如对于所有配对的对称像素,信号分量Si的幅度都基本不同的情况下,对测量光瞳中的所有像素进行加权可能会导致信噪比较低(精度差)。因此,期望对对重叠敏感的那些像素进行加权,以对重叠的计算做出更大的贡献。因此,在一个实施例中,与对重叠具有较低敏感的那些像素(有效地,非活动像素)的权重相比,对重叠敏感的像素的权重不同(例如,更高)。如上文所指出的,所导出的光瞳1065的区域1075和1080中的像素对重叠的敏感度相对较高,同时导出光瞳1065中(相对于区域1075和1080中的像素具有低至无强度)的剩余像素对重叠的敏感度低(并且因而应当被加权为使得对重叠确定的贡献较低)。
在一个实施例中,有效确定等式(3)的aΔxov项的权重。在一个实施例中,可以扩展到确定aΔxov项以及bΔxovΔxh(以及通常其他参数(诸如CD、侧壁角度等)的其他可比项)的权重。然而,与仅有效地确定等式(3)的aΔxov项的权重相比,该计算可能更复杂。而且,对(用于对称参数的)非线性过程的鲁棒性和确定重叠的精度(即,就对于相同实际重叠的每次确定,所确定的值如何接近而言)之间,做出权衡。因而,使用该计算可能会牺牲精度来增强鲁棒性。因而,可以执行优化以增强精度(例如,最大化线性项的影响并且抑制非线性项),增强鲁棒性(例如,最大化非线性项)或找到两者的平衡。但是,无论如何,使用与相关联的权重进行线性组合的强度的组合可以导致重叠的快速确定,因为它仅需要光瞳获取和等式(4)的简单计算。
在一个实施例中,在高阶项变得显著的情况下,可以采用非线性求解技术来对具有
Figure BDA0002402900120000361
和/或其他更高阶项的等式(3)进行求解。将会理解,非线性求解技术可能比简单地将测量光瞳中的每个信号分量Si与每个信号分量Si的各自权重wi相乘然后将它们全部相加起来更为复杂。而且,对非线性过程的鲁棒性和确定重叠的精度之间(即,就对于相同实际重叠的每次确定,所确定的值如何接近而言),再次做出权衡。因此,使用该计算可能会牺牲精度来增强鲁棒性。因而,可以执行优化以增强精度和/或增强鲁棒性。
因此,利用对由重叠引起的单位单元的几何非对称所产生的非对称强度分布的实现,可以通过着重于该非对称强度分布的分析来确定重叠的误差。因此,现在将对一种用于根据非对称强度分布来确定重叠的技术进行讨论,该非对称强度分布由于与重叠相关联的目标的物理配置的改变而引起。
参照图11,示意性地描绘了一种确定权重的方法。为了使得能够确定权重,有利地使用上文关于图9所描述的重构技术。也就是说,在一个实施例中,CD重构被用于隔离非对称单位单元的物理实例的光瞳图像和重叠信号。
图11的方法包括两个过程。第一过程1100涉及:使用用于目标的CD和/或一个或多个其他轮廓参数的重构技术来导出暴露在衬底上的目标(并且因此其中单位单元的一个或多个物理实例)的标称轮廓,作为图案化过程的一部分。利用目标的标称轮廓,在过程1110中,使用重构技术的基本引擎以获得权重。然后,可以使用权重从测量光瞳中导出重叠,如结合图12所进一步描述的。
因此,在过程1100处,获得衬底的测量1130,该衬底上提供有作为目标的感兴趣单位单元的一个或多个物理实例。在一个实施例中,测量是对蚀刻后的目标。在一个实施例中,测量是对显影后但蚀刻前的目标。在一个实施例中,目标是设备结构。在一个实施例中,可以使用诸如图7的量测装置之类的量测装置来进行测量或已经使用其进行测量。例如,目标可以包括图10A或图10B的单位单元的物理实例,例如,如图10C所示的单个实例或多个相邻实例。在一个实施例中,获得对目标的多个实例(并且因此对单位单元的多个物理实例)的测量。在一个实施例中,测量是对跨衬底分布的目标实例。在一个实施例中,测量多个衬底,每个衬底具有一个或多个目标实例(每个具有单位单元的一个或多个物理实例)。因此,在一个实施例中,获得每个测量目标的辐射分布108。
然后,使用在1100处的重构过程(诸如在图9中以及关于图9描述的重构过程)来导出可与图9的轮廓206比拟的单位单元的物理实例的标称轮廓。重构过程获得单位单元的物理实例的预期轮廓1120,以启动并且促进重构过程。在一个实施例中,从跨一个或多个衬底的目标实例的轮廓的平均值获得所导出的标称轮廓。例如,可以处理每个目标的辐射分布108以导出目标的该实例的特定轮廓,并且随后可以对目标的多个实例的轮廓一起进行平均以导出标称轮廓。在一个实施例中,标称轮廓至少包括目标的几何轮廓。在一个实施例中,几何轮廓是3D轮廓。在一个实施例中,标称轮廓包括关于构成物理目标的一个或多个层的一个或多个材料性质的信息。
因此,在一个实施例中,针对通过测量跨衬底的(和可选地一个以上的衬底)的目标的多个实例而获得的目标(并且因此,单位单元)的轮廓的各种参数值,标称轮廓可以视为重心。但是,在一个实施例中,标称轮廓可以具有不同的形式并且更为具体。例如,可以针对目标的一个或多个特定实例限定标称轮廓(例如,通过使用来自多个衬底的一个(或多个)相同目标位置的值)。作为另一示例,可以针对特定衬底限定标称轮廓(例如,通过使用仅来自该衬底的值)。在一个实施例中,作为图12的过程的一部分,可以针对特定目标和/或衬底调整标称轮廓。例如,当作为图12的过程的一部分,测量目标和/或衬底时,可以利用测量数据来使用重构技术,以微调该目标和/或衬底的标称轮廓,然后,微调后的标称轮廓可以用作本文中的标称轮廓以确定权重,并且该权重随后可以与同一测量数据一起用以产生一个或多个重叠值。
然后,向过程1110提供重构的标称轮廓1140。因此,在一个实施例中,过程1110使用目标的导出标称轮廓,例如,从测量数据导出的设备的单位单元的几何蚀刻后轮廓。在一个实施例中,标称轮廓可以为参数化模型(如根据测量的单位单元参数化的模型206)的形式。因此,在一个实施例中,过程1110使用单位单元的导出轮廓模型,例如,从测量数据导出的设备的单位单元的物理实例的几何蚀刻后轮廓的模型。
本文中所描述的重构技术的基本引擎在过程1110中与导出轮廓或导出轮廓模型一起用于导出权重。在一个实施例中,该导出轮廓模型或从导出轮廓导出的导出轮廓模型用于确定对单位单元中的重叠敏感的光瞳像素。特别地,在一个实施例中,通过使用模拟(例如,麦克斯韦求解器)来确定对光瞳响应的重叠的敏感度,以确定针对标称轮廓的重叠的诱导改变所致的光瞳响应的改变。
这可以通过使导出轮廓模型以如下方式改变来实现,即,使得在模型中诱导一定量(例如,1nm)的重叠改变,从而使导出轮廓模型的所有其他参数/变量保持不变。这有效地导致对称单位单元变为非对称,或者导致已经非对称的单位单元可以是对称的以改变对称性(其包括变为进一步非对称或从非对称情况变为对称)。
然后,可以通过诱导重叠改变基于导出轮廓模型来(例如,使用麦克斯韦求解器、库搜索或其他重构技术)导出出如量测装置中(例如,针对某个测量光束波长、测量光束偏振、测量光束强度等处的辐射)所期望的光瞳。在单位单元的物理实例小于束斑的情况下,重构可以将束斑视为被填满以单位单元的物理实例。在一个实施例中,导出光瞳可以是模拟光瞳图像1060和/或基于模拟光瞳图像的导出光瞳图像1065。
然后,导出光瞳可以用于例如通过与没有诱导重叠的单位单元的导出光瞳进行比较来确定多个光瞳像素中的强度对重叠改变的敏感度(例如,没有诱导重叠的单位单元的导出光瞳可以是模拟光瞳图像1030和/或基于模拟光瞳图像的导出光瞳图像1035)。在一个实施例中,这些敏感度形成权重的基础。
在一个实施例中,光瞳的像素(因此像素强度、信号分量Si等)可以被表达为向量。在一个实施例中,然后,可以从在建模中生成的雅可比(Jacobian)矩阵导出权重。在一个实施例中,可以从建模中生成的雅可比矩阵的摩尔-彭若斯(Moore-Penrose)伪逆中导出权重。因此,有效地确定了等式(3)中的aΔxov项的权重。从雅可比矩阵或雅可比矩阵的摩尔-彭若斯伪逆导出的权重似乎很好地适用于相对适度的重叠变化(例如,在±3nm内或在±4nm内或在±5nm之内)。
在一个实施例中,可以扩展至确定aΔxov项以及bΔxovΔxh(以及通常其他参数(诸如CD、侧壁角度等)的其他可比项)的权重。在这种情况下,除了雅可比矩阵之外,权重是从建模中生成的赫赛(Hessian)矩阵,或可以从建模中生成的赫赛(Hessian)矩阵中导出得到。赫赛示出了由于一定量的另一(对称)参数(诸如CD)的改变而导致对重叠的响应如何改变。因此,对于每个这样的参数,赫赛中都有一列。在一个实施例中,为了具有(更)鲁棒性,可以更改权重,使得权重变得与单位单元对其敏感的列(参数)更加正交。为了变得更加正交,一个或多个敏感列可以级联到雅可比,然后,摩尔-彭若斯伪逆可以利用来自与其级联的赫赛中的一个或多个列从该雅可比来计算。通过该计算得出权重。然而,该计算可能更复杂,并且因此可能适用于预期实践中的重叠值超出重叠变化范围的那些情况,在该重叠变化范围内,从雅可比矩阵的(摩尔-彭若斯伪逆)导出的权重示出了的良好效果。
在一个实施例中,可以扩展至确定等式(3)中的其他项的权重。在那种情况下,除了雅可比矩阵之外,权重是建模中生成的三阶导数或者可以从其中导出。
如上文所指出的,标称轮廓可以是每个目标或衬底的微调后的标称轮廓。例如,当作为图12的过程的一部分,测量特定目标或衬底时,重构技术可以与测量数据一起用于微调该目标或衬底的标称轮廓。现在,依据微调,可以(重新)确定权重和/或在所作出的权重类型之间进行选择(例如,雅可比或雅可比和赫赛的组合)。例如,先前可能已经选择了基于未微调的标称轮廓的权重来抑制Δxh的影响,但是如果微调标识了并且更新了目标和/或衬底的Δxh,则可无需抑制Δxh的影响。因此,可以选择与鲁棒性相比更有利于精度的权重。
因此,可以从过程1110输出权重wi的集合(例如,向量)。权重wi本身可以用作强度到重叠的转换因子,或它们可以与从强度到重叠的转换因子组合(作为同一建模的一部分,可以导出该转换因子)。从光瞳图像1065中,将会理解的,与区域1075和1080外的像素相比,区域1075和1080中的像素具有相对较高的重叠敏感度,因此它们的权重与区域1075和1080外的像素(这些像素具有相对较低的重叠敏感度)的权重相比明显不同(例如,更高)。因此,当权重与具有一个或多个单位单元的物理实例的目标的测量强度值组合(诸如根据等式(4))时,可以获得特定目标(诸如具有单位单元的物理实例的设备图案)的重叠信号。
进一步地,可以确定一个或多个测量参数以形成用于获得目标的测量强度值的测量策略。一个或多个测量参数会影响像素的重叠敏感度。例如,重叠敏感度跨不同的测量光束波长变化。因此,在一个实施例中,作为建模过程1110的一部分,可以变化一个或多个测量参数(诸如波长、偏振、剂量、检测器传感器所获得的对目标的一次特定照射的多个光学特性读数(这些读数通常取平均值以提供针对目标测量的平均光学特性值))。例如,针对特定诱导重叠改变,可以检查一个或多个测量参数以确定一个或多个测量参数的值,该值将(例如当权重是用于一个或多个参数中的一个值时所获得的重叠与当权重是用于一个或多个参数中的另一个值时所获得的重叠之间的)残留误差降低到最小值或低于特定阈值。因此,然后,可以获得提高精度的一个或多个测量参数的值。
进一步地,过程变化的鲁棒性在一个或多个测量参数的不同值之间有所不同。例如,特别地,过程变化的鲁棒性在测量光束波长和/或测量偏振的不同值之间有所不同。因此,在一个实施例中,加权方案应当至少解决导致缺少过程变化的鲁棒性的主导贡献者。因此,作为确定一个或多个测量参数的值以提高精度的补充或备选,针对不同的特定诱导重叠改变值(和/或导出轮廓模型的一个或多个其他参数(诸如CD、侧壁角度等的改变)的特定诱导改变),可以检查一个或多个测量参数以获得一个或多个测量参数的以下值,该值支持使用该权重的结果具有增强的对过程变化的鲁棒性。例如,对于不同量的诱导重叠改变,可以评估一个或多个测量参数的各种值,以使用确定一个或多个测量参数的以下值,该值导致使用与一个或多个测量参数的该值相关联的权重所确定的重叠的变化最小(或低于阈值)。当然,可以在选择一个或多个测量参数的值时在精度和增强的鲁棒性之间使用平衡。例如,可以在针对精度而确定的一个或多个测量参数的值(例如,适用于测量精度的性能指标的权重)和针对增强的鲁棒性而确定的一个或多个测量参数的值(例如,适用于测量鲁棒性的性能指标的权重)之间应用加权,然后,可以用选择最大的排名最高等的组合。并且当然,可以确定一个或多个测量参数的多个值,使得在整个测量策略中实际上存在多个不同的测量策略。可以根据一个或多个性能指标对多个值进行排名。因此,可选地,可以从过程1110输出测量策略,以用于获得具有单位单元的一个或多个物理实例的目标的测量强度值。
进一步地,一个或多个非重叠参数(诸如CD、侧壁角度等)可以影响用于将强度信号映射到重叠的权重。如上文所指出的,在该上下文中确定权重的示例方式是使用赫赛矩阵和/或三阶导数。因此,在一个实施例中,用于考虑一个或多个非重叠参数的各种可能的加权方案是可能的,以便仍然维持良好的重叠值。在一个实施例中,针对重叠确定精度,可以对重叠信息型的重叠像素及其加权进行优化。这可能需要良好的模型质量,即,非重叠参数的良好估计。在一个实施例中,可以对重叠信息型像素及其权重进行优化,以提高对诸如非重叠参数的过程变化的鲁棒性。这可能以精度为代价。
在一个实施例中,一个或多个非重叠参数的估计可以使用例如关于图9所描述的重构技术来进行,并且进行前馈以调整导出轮廓或导出轮廓模型。例如,CD重构可以估计针对图案化过程设置(例如,曝光剂量、曝光焦点等)的特定组合和/或衬底的特定位置处的目标的CD,并且使用该CD估计来调整导出轮廓或导出轮廓模型的CD参数。在一个实施例中,可以执行精确导出轮廓或导出轮廓模型参数的迭代重构。
参照图12,一种确定目标的重叠值的方法,该目标具有能够几何对称的单位单元的一个或多个物理实例。该方法包括两个过程1200和1210。过程1200包括:获得具有单位单元的一个或多个物理实例的目标的测量。过程1210包括:基于来自过程1200的目标的测量来确定所测量的目标的重叠值。
过程1200将要测量的目标1220作为输入,该目标1220包括本文中所描述的能够几何对称的单位单元的一个或多个物理实例。在一个实施例中,向诸如图7的量测装置之类的量测装置提供具有目标的一个或多个实例的衬底。
可选地,过程1200将针对目标指定的特定测量策略1230作为输入。在一个实施例中,测量策略可以指定一个或多个测量参数的值,诸如选自以下各项中的一项或多项:测量光束波长、测量光束偏振、测量光束剂量和/或由量测装置的检测器传感器所获得的对目标的一次特定照射的多个光学特性读数。在一个实施例中,测量策略可以包括多个测量策略,每个测量策略指定一个或多个测量参数的值。测量策略可以用于测量目标。
然后,过程1200根据可选的测量策略使用量测装置来测量目标。在一个实施例中,量测装置获得重定向辐射的光瞳表示。在一个实施例中,量测装置可以产生光瞳表示,诸如光瞳图像1030(例如,在目标没有重叠误差的情况下)或光瞳图像1060(例如,在目标有重叠误差的情况下)。因此,在一个实施例中,过程1200输出关于来自目标的重定向辐射的光学信息1240,诸如辐射的光瞳表示。
然后,过程1210接收光学信息1240并且处理光学信息以确定目标的重叠值1260。在一个实施例中,过程1210接收从图11的方法所确定的加权1250作为输入,该加权1250然后与从光学信息1240获得或导出的一个或多个光学特性值(例如,强度)组合。
在一个实施例中,过程1210(或过程1200)可以处理光学信息以从光学信息中导出原始重叠信号。在一个实施例中,原始重叠信号包括光学信息的差分,即,跨对称轴或对称点的对称像素之间的光学特性值的差异。在一个实施例中,可以获得导出光瞳图像1035(例如,在目标没有重叠误差的情况下)或导出光瞳图像1065(例如,在目标有重叠误差的情况下)。
在一个实施例中,组合关于由目标重定向的辐射的加权和光学信息(例如,来自过程1200的光学信息或来自过程1200的光学信息(诸如原始重叠信号)的处理版本)以确定重叠值。在一个实施例中,使用与相关联的加权线性结合的重定向测量光束强度的组合可以快速确定重叠。例如,在一个实施例中,可以使用等式(4)导出重叠值,其中使用原始重叠信号的信号分量Si中的每个信号分量的相应权重wi将重叠值M作为信号分量Si的加权组合。
在一个实施例中,附加地,从过程1200收集的光学信息可以用于导出除重叠之外的一个或多个与目标有关的参数。例如,从过程1200收集的光学信息可以用于重构过程中以导出目标的任一个或多个几何轮廓参数,诸如CD、侧壁角度、底板倾斜等。因此,在一个实施例中,从诸如裸片内的蚀刻后目标之类的目标收集的同一光学信息集合可以用于确定目标(诸如设备结构)的重叠、CD和/或一个或多个其他几何轮廓参数。
虽然如上文所指出的,着重于强度,但是在一个实施例中,光学特性可以是反射率,辐射可以被偏振并且测量可以是交叉偏振测量。例如,可以通过该偏振或在不同偏振下测量暴露于特定线性偏振的目标。因此,对于对称像素pi和p′i(其中撇号表示对称位置),则可以测量那些像素的反射率R如下:
Figure BDA0002402900120000441
Figure BDA0002402900120000442
其中s表示s偏振,而p表示p偏振。因此,反射率Rss与当使用s偏振照射目标时测量的s偏振辐射的反射率R相对应,反射率Rsp与当使用p偏振照射目标时测量的s偏振辐射的反射率R相对应等等。而且,可以在不同的波长下进行这些测量。并且,已经发现,在某些实施例中,可以根据同余Rps和Rsp找到并且确定响应于重叠改变而改变其对称性的对称单位单元的重叠。
进一步地,非线性可能由重叠和/或其他参数引起。如上文所讨论的,可以通过适当地选择加权(例如,通过使用赫赛矩阵和/或三阶导数导出加权)来解决特定非线性。在一个实施例中,可以通过根据来自目标的重定向辐射的测量的光学信息使用非线性解来导出重叠,以解决非线性。
在一个实施例中,可以通过使用如上所述的用于导出标称轮廓的重构引擎来确定重叠。例如,从基于导出标称轮廓和/或导出标称轮廓模型的模型开始工作的非线性求解器可以用于导出预期来自感兴趣目标的重定向辐射的光学信息的模拟版本,该光学信息的模拟版本可以与感兴趣目标的测量光学信息进行比较。如上文所指出的,感兴趣目标包括单位单元的一个或多个物理实例,该单位单元可以是对称的并且当受到重叠时会改变其对称性。然后,如果未在特定阈值内达成一致,则可以变化几何轮廓参数(例如,重叠),并且重新计算光学信息的模拟版本,并且将该光学信息的模拟版本与测量的光学信息进行比较,直到在阈值内达成一致为止。同样,可以将感兴趣目标的测量的光学信息与预期来自感兴趣目标的重定向辐射的光学信息库进行比较(该库通常使用非线性求解器导出)。然后,如果在特定阈值内未达成一致,则可以变化几何轮廓参数(例如,重叠),并且可以再次查询库以获得光学信息的模拟版本,该光学信息的模拟版本与测量的光学信息进行比较,直到在阈值内达成一致为止。
在一个实施例中,重构引擎与来自感兴趣目标的测量光学信息的一起使用使用了如上文所描述的从中去取了对称辐射分布的测量的光学信息,该去除例如通过从每个像素处的光学特性值中减去跨对称点或对称轴对称定位的像素处的光学特性值进行。因此,光学信息基本上仅与非对称辐射分布有关。同样,光学信息的模拟版本或库版本基本上仅与非对称辐射分布有关。这将有助于计算和/或比较的速度,因为无需计算或评估很大一部分的光学信息,而这是由于这部分光学信息会通过差分消除。
在非线性解的另一实施例中,等式(3)的展开式可以用非线性求解器求解,以导出Δxov。具体地,可以确定等式(3)中的(a-a′),(b-b′),(c-c′)等(视情况而定)的值,作为确定感兴趣单位单元的导出标称轮廓和/或导出标称轮廓模型的一部分。例如,一旦确定了导出标称轮廓作为非线性重构的一部分,则可以获得与导出标称轮廓相对应(例如,与特定重叠改变(例如,Δxov)的导出标称轮廓的扰动相对应)的光瞳的模拟或库光学信息,然后,可以通过非线性求解器确定光瞳中的每个像素的a,b,c等的值(视情况而定),该非线性求解器例如通过解进行迭代(例如,响应于重叠(例如,Δxov)中的一个或多个扰动),以便使残差最小。视情况而定,结果是光瞳的a值的向量(每个a值与光瞳的像素相对应),光瞳的b值的向量(每个b值与光瞳的像素相对应),光瞳的c值的向量(每个c值与光瞳的像素相对应),等等。然后,这些向量可以与Si值的向量组合,该Si值的向量根据具有感兴趣单位单元的目标的测量光瞳确定。例如通过解进行迭代以使残差最小的非线性求解器可以采用这些输入向量,然后,对重叠Δxov进行求解。
虽然上文的讨论着重于使用对单位单元的物理轮廓进行建模的模型,但是在一个实施例中,加权可以使用无需物理轮廓建模的数据驱动技术来导出,或者可以使用补充有物理轮廓建模的数据驱动技术来导出。因此,在一个实施例中,有利地,数据驱动技术可以无需物理轮廓模型;这可能是有用的,例如用于限制机密信息的共享,因为物理轮廓建模从关于单位单元(并且因此目标)的细节开始并且确定关于单位单元(并且因此目标)的细节,如果单位单元是设备图案结构,则该细节可以是敏感信息。在一个实施例中,数据驱动技术可以使得能够相对快速地确定例如如上文所讨论的权重,以将测量的光学信息(例如,光瞳强度)变换成图案化过程参数(例如,重叠)。在一个实施例中,数据驱动技术使得能够在早期阶段确定图案化过程参数,因为如下文所讨论的,数据驱动技术可能仅需要测量数据和相关联的参考。
因此,在一个实施例中,数据驱动技术包括:利用感兴趣的图案化过程参数(例如,重叠)的一个或多个特定设置值,处理从一个或多个衬底测量的数据(“获取”数据),该一个或多个衬底具有在其上图案化的感兴趣单位单元的物理实例作为一个或多个目标。用于产生图案的特定图案化过程参数(例如,重叠)的“设置”有意值连同从那些图案测量的数据(“获取”数据)的组合被称为“设置-获取”过程。例如,创建单位单元的物理实例的特定量的重叠作为图案化过程的一部分,然后,测量具有单位单元的物理实例的目标,以获得例如其光瞳图像(即,“获取”数据)。在一个实施例中,可以以这种方式对多个衬底进行图案化和测量。在一个实施例中,创建重叠的多个不同的设置值,重叠的这些不同的值可以在一个衬底上,可以跨不同的衬底,等。在一个实施例中,每个衬底将具有多个测量的目标实例,从而得出例如多个光瞳图像。在一个实施例中,可以通过诱导来自对单位单元的物理实例的不同部分进行图案化之间的设计放大率的放大率变化来产生重叠。在一个实施例中,重叠可以通过提供来自对单位单元的物理实例的不同部分进行图案化之间的设计定位的有意平移来产生。因此,结果是在例如由光刻装置诱导的目标中的故意施加的重叠。
通常,在一个实施例中,获得测量数据和相关联的参考值。因此,在一个实施例中,故意重叠在有不同的重叠的情况下不必提供,但是那些重叠通过另一手段(例如,从扫描电子显微镜)得以确定。在一个实施例中,具有对应参考数据(例如,来自CD-SEM)的临界尺寸均匀性衬底可以用作输入数据。通过测量的数据和参考值,数据驱动途径可以如上文所讨论的找到权重,以使得推断的重叠值类似于参考值。因此,虽然对数据驱动技术的讨论将着重于在有意设置的重叠值处获得的测量的光学信息和光瞳表示,但是它们通常可以适用于更通用的测量数据和相关联的参考值(无论是测量的还是有意设置的)。
进一步地,虽然本文中的技术涉及特定重叠(例如,X方向上的重叠),但是将会理解,针对不同的重叠(例如,Y方向上的重叠、不同层中的结构之间的重叠等),可以使用对应的测量数据和参考值重复本文中的技术。因此,针对不同的重叠,可以确定不同的权重集合。
因此,参考图13,描绘了数据驱动技术的一个实施例的高级流程。在1300处,执行计算以导出如上文所讨论的权重,以将测量的光学信息(例如,光瞳强度)变换为图案化过程参数(例如,重叠)。特别地,该计算使用几个输入。输入中的一个输入是针对具有感兴趣单位单元的物理实例的目标的设置-获取过程的设置值1320。如上文所指出的,可以跨一个或多个衬底测量目标的多个实例,其中目标的一个或多个实例的图案化过程参数的有意设置值的值与目标的一个或多个其他实例的图案化过程参数的有意设置值的值不同。另一输入是目标在不同的设置值处的那些实例的测量的光学信息1310。在一个实施例中,光学信息1310是多个光瞳表示,每个光瞳表示与目标的实例相对应。然后,在数据驱动技术中对输入1310和1320进行处理,以得到权重1330。以下对这种数据驱动技术的示例进行描述。
在一个实施例中,找到权重w的向量的数据驱动技术的示例是对以下目标函数或优值函数求最小值,以得到权重w:
Figure BDA0002402900120000471
其中w是权重的向量,其用于与测量的光学特性(例如,强度)的值进行组合,以确定图案化过程参数(例如,重叠),每个权重与光瞳的像素值相对应,Pi是具有以下列的矩阵,其中每列包含来自从图案化的衬底i获得的目标的实例的测量光瞳的测量光学特性的像素值,以便获得图案化过程参数的特定设置值(然后,对该矩阵进行转置,使得列变为光瞳的像素,行变为衬底上目标的一个或多个实例,以及矩阵中的值是各个像素处的测量光学特性的值),Si 是包含用于一个或多个衬底i上的目标的一个或多个实例的图案化过程参数的对应设置值的向量,每个设置值与图案化过程参数值相对应,1是具有设置值数目的大小的单位向量,并且ci是每个衬底的图案化过程参数的设置值与图案化过程参数
Figure BDA0002402900120000481
的推断值之间的偏移差异,并且D是所测量的衬底的数目。矩阵Pi可以是目标的每个实例的不同结果的组合。例如,可以通过不同的波长、不同的偏振等测量目标。因此,这些结果可以级联到每一列,因此,例如,单列可以具有通过第一波长和第一偏振测量的目标的光瞳的像素值,这些值的后面是通过第二不同波长测量的目标的光瞳的像素的列中的值,或这些值的后面是通过第二不同偏振测量的目标的光瞳的像素列中的值(然后其后面可以是一个或多个不同偏振和/或波长处的其他值)。
因此,事实上,该函数找到权重向量w,使得每个衬底i的推断值
Figure BDA0002402900120000482
看起来与除了偏移ci之外的设置值si 尽可能相似(在L2正则化范数意义上)。原则上,最佳权重和偏移可以通过矩阵求逆来计算。由于测量的光学特性的像素值通过一个或多个特定的量测装置获取,所以可以通过校准数据对获得的权重进行归一化,以减少特定量测装置本身对结果的影响。
代替使用目标函数或优值函数作为数据驱动技术来找到如上文所描述的权重或者除此之外,数据驱动技术可以使用机器学习算法(如神经网络)或非线性方法,基于其中有意提供了感兴趣的图案化过程参数(例如,重叠)的差异的目标的测量光瞳来确定权重。
在一个实施例中,在训练之后(即,使用目标函数或优值函数或机器学习算法),可以使用其他数据来检查权重。训练有可能导致过拟合(overfit);数据驱动途径“正好”使数据拟合到设置值。因此,完成了交叉验证。具有已知设置值的新数据被用于检查权重。该新数据还可以是手边的衬底的子集。因此,在一个实施例中,对衬底的子集进行训练,并且对衬底的另一(析取的)子集进行验证。
图14描绘了结合了物理几何模型的数据驱动技术的实施例的高级流程。在该实施例中,关于图13所描述的数据驱动技术可以用于导出权重,该权重用于调整物理几何模型(例如,通过使用赫赛来获得更好的模型标称值,通过改变模型标称值,等),使得来自物理几何模型(例如,物理几何模型的雅可比(的摩尔-彭若斯伪逆))的权重与由数据驱动技术所确定的权重相同或相似(例如,在值、统计等方面)。因此,在一个实施例中,(缩放的)权重向量w可以用于微调物理几何模型,使得对物理几何模型进行调整,以使雅可比(的摩尔-彭若斯伪逆)与(缩放的)权重向量w类似。
因此,在一个实施例中,在1400处,执行数据驱动技术(上文对其示例进行了描述)以导出如上文所讨论的权重。该计算使用若干个输入。输入中的一个输入是具有感兴趣单位单元的物理实例的目标的设置-获取过程的设置值1420。如上文所指出的,可以跨一个或多个衬底测量目标的多个实例,其中目标的一个或多个实例的图案化过程参数的有意设置值的值与目标的一个或多个其他实例的图案化过程参数的有意设置值的值不同。另一输入是目标在不同的设置值处的那些实例的测量的光学信息1310。在一个实施例中,光学信息1410是多个光瞳表示,每个光瞳表示与目标的实例相对应。然后,在数据驱动技术中对输入1410和1420进行处理以得到权重1430。
权重1430被输入到过程1440,以使用权重1430来微调物理几何模型。过程1440获得单位单元的物理轮廓1450(过程1440使用该物理轮廓1450来导出物理轮廓模型)或获得单位单元的物理轮廓模型1450(过程1440使用该物理轮廓模型1450)。在一个实施例中,物理轮廓是如上文所讨论的单位单元的导出标称轮廓和/或导出标称轮廓模型。
过程1440使用物理几何模型来导出与权重1430相对应的权重。然后,那些权重与权重1430进行比较。该比较可以包括:幅度匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过对该比较相对于阈值的评估),则可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,CD、侧壁角度、材料高度等),使得比较的结果更接近或等于例如特定阈值。在一个实施例中,赫赛可以用于进行这种微调,或者可以使用非线性求解器(其包括一个或多个前向调用(例如,麦克斯韦求解器))来完成。可以迭代调整和比较,直到满足或超过阈值为止。然后,调整后的物理几何模型可以输出更新的权重1460,以与感兴趣目标的测量光学信息组合使用,以导出图案化过程参数值。
图15描绘了结合物理几何模型的数据驱动技术的另一实施例的高级流程。当物理几何模型的行为与测量数据相似时,物理几何模型可以用于预测过程变化的影响。因此,在一个实施例中,物理几何模型的赫赛可以用于调整权重,使得权重变得(更多)正交于不在数据驱动技术中使用的数据中的过程变化,以获得用于调整物理几何模型的权重。
这种使用赫赛调整权重的途径还可以在没有数据驱动技术的情况下进行。也就是说,使用赫赛更新权重的这种技术可以使用与图11相关联描述的物理几何模型途径来进行。在这种情况下,例如,可以调整权重,以使得权重变得(更多)正交于不在以下数据中的过程变化,该数据被用于获得如上文所讨论的单位单元的导出标称轮廓和/或导出标称轮廓模型。通过这种调整,权重变得对在用于产生物理几何模型的测量数据中没有观察到的过程变化更具鲁棒性。
因此,在一个实施例中,在1500处,执行数据驱动技术(上文对其示例进行了描述)以导出如上文所讨论的权重。该计算使用几个输入。输入中的一个输入是具有感兴趣单位单元的物理实例的目标的设置-获取过程的设置值1510。如上文所指出的,可以跨一个或多个衬底测量目标的多个实例,其中目标的一个或多个实例的图案化过程参数的有意设置值的值与目标的一个或多个其他实例的图案化过程参数的有意设置值的值不同。另一输入是目标在不同的设置值处的那些实例的测量的光学信息1505。在一个实施例中,光学信息1505是多个光瞳表示,每个光瞳表示与目标的实例相对应。然后,在数据驱动技术中对输入1505和1510进行处理以得到权重1515。
权重1515被输入到过程1520,以使用权重1515来微调物理几何模型。过程1520获得单位单元的物理轮廓1525(过程1520使用该物理轮廓1525来导出物理轮廓模型)或获得单位单元的物理轮廓模型1525(过程1520使用该物理轮廓模型1525)。在一个实施例中,物理轮廓是如上文所讨论的单位单元的导出标称轮廓和/或导出标称轮廓模型。
过程1520使用物理几何模型来导出与权重1515相对应的权重。然后,那些权重与权重1515进行比较。该比较可以包括:幅度匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过对该比较相对于阈值的评估),可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,CD、侧壁角度、材料高度等),使得比较的结果更接近或等于例如特定阈值。在一个实施例中,赫赛可以用于进行这种微调,或者可以使用非线性求解器(其包括一个或多个前向调用(例如,麦克斯韦求解器))来完成。可以迭代调整和比较,直到满足或超过阈值为止。
但是,将会理解,图案化过程可以在执行期间变化,并且对于图案化过程的不同执行可以不同地变化。因此,针对数据驱动技术所获得的数据并不能说明所有可能的图案化过程变化。但是,当物理几何模型的调整使其行为与测量数据相似时,物理几何模型可以用于预测过程变化的影响,并且相应地调整权重。
因此,在一个实施例中,在1535处,调整的物理几何模型1530被用于计算调整的物理几何模型的赫赛。然后,在1545处,赫赛1540被用于调整权重,使得权重变得(更多)正交于(即,鲁棒)不在数据驱动技术中使用的数据中的过程变化,以获得用于调整物理几何模型的权重。换句话说,权重被调整为当与来自衬底(甚至当衬底经受过程变化的影响时)的测量数据组合时,更有可能得出准确的结果。
本文中在重叠的上下文中描述了如何使用赫赛微调权重的非限制性示例;可以视情况而定使用不同的图案化过程参数。在该示例中,假设仅评估一个重叠类型(例如,X方向上的重叠)。使用多个重叠类型进行微调也是可能的。
在使用赫赛微调权重的该实施例中,通过对数据应用单值分解,根据从一个或多个设置-获取衬底所测量的数据来估计重叠响应。假设特征向量d(其长度为1)与重叠响应相对应。然后,对以下等式进行求解,以找到向量Δp
Figure BDA0002402900120000521
其中J是关于重叠参数的雅可比,而赫赛H是矩阵,其中列包含相对于过程变化(例如,CD、材料高度等的变化)的偏导数和重叠参数(雅克比和赫赛两者都从如上文所描述的模型中获得)。然后,确定的向量Δp与要被应用至模型中的非重叠参数以获得更新的(例如,更好的)模型的Δ参数相对应。
为了使权重对于过程变化(即,正交于过程变化)具有鲁棒性,可以使用以下技术。光瞳I可以通过以下二阶泰勒展开式定义:
IJo+HΔp o (8)
其中J是关于重叠参数的雅可比,而H是矩阵,其中列包含相对于过程变化(例如CD、材料高度等的变化)的偏导数和重叠参数。向量Δp包含对应的过程变化。因此,对于给定结构和给定过程变化实例Δp(其具有重叠值ο),光瞳等于(大约)I。将会理解,通过添加这些贡献,上述公式也可以扩展到更多的重叠参数。而且,该公式是近似,因为忽略了泰勒展开式中的较高阶。
现在,如果过程变化的影响很小,则使用雅克比J的摩尔-彭若斯逆来计算权重。在仅一个重叠参数的情况下,权重等于
Figure BDA0002402900120000522
并且实际上,与光瞳的加权平均值(内积)产生重叠值ο(Δp0),即,
Figure BDA0002402900120000531
然而,当过程变化具有大的影响时,重叠响应发生改变:
Figure BDA0002402900120000532
为了使权重对这些变化具有鲁棒性,
Hw0 (11)
这可以通过使权重w等于矩阵[J ]的伪逆的第一行来实现。或者换句话说,在求逆之前,赫赛矩阵H被级联到雅可比。这样,权重变得正交于过程变化(但要付出一定的精度代价)。
因此,从调整1545,调整后的权重1550被输出,以与感兴趣目标的测量的光学信息组合使用,以导出图案化过程参数值。
图16描绘了结合物理几何模型的数据驱动技术的另一实施例的高级流程。在该实施例中,通过包括合成光学信息(例如,光瞳表示)来扩展输入到数据驱动技术的数据,该合成光学信息包含用于图案化过程的过程变化(例如,可以从CD测量中获得图案化过程变化)。单独的合成光学信息或与测量的光学信息的组合可以被用于使用数据驱动技术找到新的权重。
因此,在一个实施例中,在1500处,执行数据驱动技术(上文对其示例进行了描述)以导出如上文所讨论的权重。该计算使用若干个输入。输入中的一个输入是具有感兴趣单位单元的物理实例的目标的设置-获取过程的设置值1510。如上文所指出的,可以跨一个或多个衬底测量目标的多个实例,其中目标的一个或多个实例的图案化过程参数的有意设置值的值与目标的一个或多个其他实例的图案化过程参数的有意设置值的值不同。另一输入是目标在不同的设置值处的那些实例的测量的光学信息1505。在一个实施例中,光学信息1505是多个光瞳表示,每个光瞳表示与目标的实例相对应。然后,在数据驱动技术中对输入1505和1510进行处理以得到权重1515。
权重1515被输入到过程1520,以使用权重1515来微调物理几何模型。过程1520获得单位单元的物理轮廓1525(过程1520使用该物理轮廓1525来导出物理轮廓模型)或获得单位单元的物理轮廓模型1525(过程1520使用该物理轮廓模型1525)。在一个实施例中,物理轮廓是如上文所讨论的单位单元的导出标称轮廓和/或导出标称轮廓模型。
过程1520使用物理几何模型来导出与权重1515相对应的权重。然后,那些权重与权重1515进行比较。该比较可以包括:幅度匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过对该比较相对于阈值的评估),可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,CD、侧壁角度、材料高度等),使得比较的结果更接近或等于例如特定阈值。可以迭代调整和比较,直到满足或超过阈值为止。
因此,在一个实施例中,在1535处,调整后的物理几何模型1530被用于计算调整后的物理几何模型的赫赛。然后,在1610处,赫赛1600被用于生成合成光学信息(例如,一个或多个光瞳表示)。合成光学信息是模拟光学信息。合成光学信息旨在模仿图案化过程中的一个或多个预期过程变化。在一个实施例中,关于图案化过程中的一个或多个过程变化的数据1620可以与赫赛1600组合以导出合成光学信息。在一个实施例中,可以通过将不同的重叠值o和不同的参数变化量Δp代入上文等式(8)中来生成合成光瞳I,其中权重与
Figure BDA0002402900120000541
相对应。虽然上文所描述的等式(8)涉及单个重叠参数,但是还可以通过添加这些贡献将技术扩展到更多重叠参数。更进一步地,因为忽略了泰勒展开式中的较高阶,所以使用等式(8)的技术是近似。数据1620可以包括例如描述过程变化的种类和范围的信息(例如,重叠、CD等可以以一定百分比变化的指示)。数据1620可以通过图案化过程中的测量(例如,重叠、CD等测量)来获得。因此,数据1620与赫赛1600一起用于生成包括预期过程变化的模拟光学信息1630。合成光学信息1630还可以包括与合成光学信息1630相关联的一个或多个相关联的估计设置值。然后,合成光学信息1630(以及任何相关联的设置值)被输入到数据驱动技术1500,以单独地或结合测量的光学信息进行分析,以使用数据驱动技术找到新的权重。
图17描绘了结合物理几何模型的数据驱动技术的另一实施例的高级流程。该实施例与图16的实施例类似,除了代替计算赫赛,针对每个过程变化,对非线性求解器(例如,麦克斯韦求解器)进行前向调用以获得合成光学信息。
因此,在一个实施例中,在1500处,执行数据驱动技术(上文对其示例进行了描述)以导出如上文所讨论的权重。该计算使用若干个输入。输入中的一个输入是具有感兴趣单位单元的物理实例的目标的设置-获取过程的设置值1510。如上文所指出的,可以跨一个或多个衬底测量目标的多个实例,其中目标的一个或多个实例的图案化过程参数的有意设置值的值与目标的一个或多个其他实例的图案化过程参数的有意设置值的值不同。另一输入是目标在不同的设置值处的那些实例的测量的光学信息1505。在一个实施例中,光学信息1505是多个光瞳表示,每个光瞳表示与目标的实例相对应。然后,在数据驱动技术中对输入1505和1510进行处理以得到权重1515。
权重1515被输入到过程1520,以使用权重1515来微调物理几何模型。过程1520获得单位单元的物理轮廓1525(过程1520使用该物理轮廓1525来导出物理轮廓模型)或获得单位单元的物理轮廓模型1525(过程1520使用该物理轮廓模型1525)。在一个实施例中,物理轮廓是如上文所讨论的单位单元的导出标称轮廓和/或导出标称轮廓模型。
过程1520使用物理几何模型来导出与权重1515相对应的权重。然后,那些权重与权重1515进行比较。该比较可以包括:幅度匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过对该比较相对于阈值的评估),则可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,重叠、CD、侧壁角度,等),使得比较的结果更接近或等于例如特定阈值。可以迭代调整和比较,直到满足或超过阈值为止。
因此,在一个实施例中,在1720处,调整后的物理几何模型1700被用于计算如上文所讨论的合成光学信息。如上文所讨论的,关于图案化过程中的一个或多个过程变化的数据1710可以与调整后的物理几何模型1700组合以导出合成光学信息。例如,数据1710可以包括描述过程变化的种类和范围的信息(例如,重叠、CD等可以以一定百分比变化的指示)。数据1710可以通过图案化过程中的测量(例如,重叠、CD等测量)来获得。如上文所指出的,1720处的过程可以使用针对过程变化的非线性求解器(例如,麦克斯韦求解器)的前向调用来获得合成光学信息。因此,数据1710与调整后的物理几何模型1700一起用于生成包括预期过程变化的模拟光学信息1730。合成光学信息1730还可以包括与合成光学信息1730相关联的一个或多个相关联的估计设置值。然后,合成光学信息1730(以及任何相关联的设置值)输入到数据驱动技术1500,以单独地或结合测量的光学信息进行分析,以使用数据驱动技术找到新的权重。
在图10A至图10C中,给出了单位单元的相对简单的示例,其中基本上仅一个方向上的重叠引起了单位单元的对称性的改变。特别地,在图10A至图10C的单位单元中,X方向上的重叠改变导致单位单元的对称性/非对称性的改变,而Y方向上的重叠改变不会导致单元方向的对称性的改变。这是图10A至图10C的单位单元具有以下两个结构1000,1005的结果,这两个结构以特定几何方式进行配置,使得基本上仅一个方向上的重叠引起单位单元的对称性的改变。当然,这可以通过适当选择结构以这种方式设计。然而,可能的是,可以标识具有特定几何形状的现有结构(诸如设备结构),使得基本上仅一个方向上的重叠引起单位单元的对称性的改变。因此,可以选择或设计各种单位单元,以使得能够确定基本上仅沿一个方向(其不必是沿X方向)的重叠。
然而,有利地,可以对单位单元进行标识或设计,该单位单元被配置为使得针对两个或更多个不同的重叠,产生单位单元的对称性改变。在一个实施例中,不同的重叠可以在不同的方向上。具体地,在一个实施例中,第一重叠可以在X方向上,而第二重叠可以在Y方向上。在一个实施例中,不同的重叠可以分别在单位单元的结构或部分的不同组合之间。在一个实施例中,那些结构可以在目标的相同层和/或不同层中。具体地,在一个实施例中,第一重叠可以在单位单元的第一结构和第二结构之间,而第二重叠可以在单位单元的第一结构(或第二结构)和第三结构之间或者在单位单元的第三结构和第四结构之间。在这种情况下,第一重叠和第二重叠可以在相同方向上。自然地,可以存在沿不同方向的不同重叠的组合以及来自单位单元的结构的组合的不同重叠。例如,对于第一层中的第一结构和第二下层中的第二结构,第一重叠可以在X方向上;而对于第一层中的第一结构和低于第二层的第三层中的第三结构,第二重叠可以在Y方向上。因此,可以通过对单位单元(并且由此对目标)进行适当标识或设计来确定重叠的多种组合。
而且,将会理解,确定X方向和Y方向上的重叠可以使得通过适当组合能够确定(X方向和Y方向上的)总重叠。同样,为了使得能够确定在其间可能出现重叠的多个不同结构的总重叠,需要确定那些结构中的每个结构的重叠。因此,作为示例,对于其间可能会发生重叠的4层(其中一层为参考层)中具有4个不同结构的单位单元,则可以确定(每一层有X方向和Y方向)6个重叠,以使得能够确定单位单元的总重叠。当然,可以根据需要确定子组合,以在4个层之中得出一个或多个不同的感兴趣重叠。
图18描绘了目标的多个重叠单位单元的示例实施例。与图10A至图10C的单位单元类似,该单位单元包括第一结构1000和第二结构1005。附加地,该单位单元具有第三结构1800,在该实施例中,该第三结构处于在Z方向上的第一结构1000和第二结构1005上方的层中。在该实施例中,可以通过一个或多个不同的重叠来形成该单位单元的非对称性。例如,X方向上的结构1005和结构1800之间的相对移位可以产生X方向上的重叠,这导致了非对称性。作为另一示例,Y方向上的结构1005和结构1000之间的相对移位可以产生Y方向上的重叠,这导致了非对称性。作为另一示例,Y方向上的结构1000和结构1800之间的相对移位可以产生Y方向上的其他重叠,这导致了非对称性。
图19描绘了目标的多个重叠单位单元的另一示例实施例。与图10A至图10C的单位单元类似,该单位单元包括第一结构1000和第二结构1005。附加地,与图18的单位单元类似,该单位单元具有第三结构1800,在该实施例中,该第三结构1800处于在Z方向上的第一结构1000和第二结构1005上方的层中。进一步地,该单位单元具有第四结构1900,在该实施例中,该第四结构1900处于在Z方向上的第一结构1000和第二结构1005和第三结构1800上方的层中。与图18的单位单元类似,在该实施例中,可以通过一个或多个不同的重叠来形成该单位单元的非对称性。例如,X方向上的结构1005和结构1800之间的相对移位可以产生X方向上的重叠,这导致了非对称性。作为另一示例,X方向上的结构1005和结构1900之间的相对移位可以产生X方向上的重叠,这导致了非对称性。作为另一示例,Y方向上的结构1005和结构1000之间的相对移位可以产生Y方向上的重叠,这导致了非对称性。作为又一示例,Y方向上的结构1000和结构1800之间的相对移位可以产生Y方向上的其他重叠,这导致了非对称性。
因此,在一个实施例中,如果实际上存在多个不同的重叠,则对图18或图19的单位单元的照射物理实例的测量会产生可能潜在地包括多个不同的重叠的光学信息。例如,参考图18,如果图18的单位单元的对称性表示零重叠,而结构1005从其零重叠位置相对于其重叠结构存在X和Y上的移位(例如,不是0度、90度、180度或270度的方向上的移位),则由于X方向上的结构1005和结构1800之间的相对移位以及Y方向上的结构1005和结构1000之间的相对移位,该移位导致了非对称性。因此,期望确定X和Y方向上的结构1005的重叠两者(其组合产生结构1005的总重叠)。
如以下所讨论的,提出了一种技术,该技术可以根据光学特性值,相对于单位单元的物理实例的第二重叠的值分开地确定单位单元的物理实例的第一重叠的值,该第二重叠的值也能够从相同的光学特性值获得,其中第一重叠与第二重叠的方向不同(例如,X方向重叠和Y方向重叠),或者相对于第二重叠,第一重叠在单位单元的部分的不同组合之间(例如,结构1005与结构1800之间的第一重叠以及结构1005与结构1000之间的第二重叠或结构1000与结构1800之间的第二重叠,其中第一重叠和第二重叠可能在同一方向上)。
也就是说,在一个实施例中,确定权重以将光学特性值中的第一重叠信息与相同光学特性值中的第二(或更多)重叠信息解耦。因此,在一个实施例中,通过应用特别选择的权重,权重与光学特性值的组合将产生与相同光学特性值中的其他可能的重叠信息区分开的特定感兴趣重叠。实际上,权重将突出感兴趣重叠,并且减少一个或多个其他重叠。当然,针对每个感兴趣重叠,可以构造不同的权重集合,使得可以对光学特性值进行处理,以针对不同的感兴趣重叠中的每个感兴趣重叠产生不同的值。
针对图20的图示对该技术进行描述。图20的图示呈现了该技术的图形表示,但是实际上,无需构造该图示,因为所有的处理都可以以数学方式进行,而无需产生该图示。进一步地,针对图11的模型对该技术进行了描述。但是,可以使用针对本文中的其他附图所描述的模型(和相关联的其他技术)。
进一步地,就从模型导出权重的线性版本而言,呈现了该示例。也就是说,在一个实施例中,从雅可比(的摩尔-彭若斯伪逆)导出权重。
因此,在这种线性情况下,为了重构特定参数(诸如某个方向上的重叠),可以对雅可比进行求逆。但是,感兴趣参数的列如何与其余列相关联就决定了重构该参数的容易程度。
因此,具有例如感兴趣单位单元(例如,图18的单位单元)的标称轮廓模型,可以生成至少两个向量。第一重叠向量p1 表示单位单元内的第一感兴趣重叠(例如,X方向重叠),而第二重叠向量p2 表示第二感兴趣重叠(例如,Y方向重叠))。将会理解,针对附加的感兴趣重叠,可以产生其他向量。
进一步地,对于两个重叠向量中的每个重叠向量,选择与单位单元的物理实例的预期测量相对应的光瞳表示的一个或多个像素。在该实施例中,为每个重叠向量选择一对像素,其中每对像素包括如前所述的对称定位的像素。期望地,如上文所讨论的,从光瞳表示的非对称辐射分布部分中选择像素对。
现在,第一重叠向量p1 与像素对中对第一重叠向量的第一感兴趣重叠的改变(使得所有其他参数不变,即,第二感兴趣重叠不变)的响应(在这种情况下,成一对的像素之间的非对称信号)相对应。可以使用标称轮廓模型,通过在第一感兴趣重叠中诱导改变(例如,1nm改变)并且然后计算针对该改变的像素对中的光学响应(例如,强度),来生成该响应。
同样,第二重叠向量p2 与像素对中对第二重叠向量的第二感兴趣重叠的改变(使得所有其他参数不变,即,第一感兴趣重叠不变)的响应(在这种情况下,成一对的像素之间的非对称信号)相对应。可以使用标称轮廓模型,通过在第二感兴趣重叠中诱导改变(例如,1nm改变)并且然后计算针对该改变的像素对中的光学响应(例如,强度),来生成该响应。
所得向量在图20中用图示表示,其中水平轴u与第一像素对的对称定位的像素之间的非对称强度(Ii-Ii’)相对应,而垂直轴v与第二像素对的对称定位的像素之间的非对称强度(Ii-Ii’)相对应。因此,图20示出了两个高度相关的向量p1 p2
因此,为了解耦和分开第一感兴趣重叠和第二感兴趣重叠对像素对的贡献,向量p1 反向投影到向量
Figure BDA0002402900120000601
上以形成向量p′1,该向量
Figure BDA0002402900120000602
是与向量p2 正交的向量,并且经投影的向量p′1的长度除以向量p1
Figure BDA0002402900120000603
之间的夹角θ1的余弦。然后,该向量有助于隔离第一感兴趣重叠与像素对的强度(并且扩展至光瞳表示中的其他像素对)。
附加地或可替代地,向量p2 反向投影到向量
Figure BDA0002402900120000604
上以形成向量p′2,该向量
Figure BDA0002402900120000605
是与向量p1 正交的向量,并且经投影的向量p′2的长度除以向量p2
Figure BDA0002402900120000606
之间的角度θ2的余弦。然后,该向量有助于隔离第二感兴趣重叠与像素对的强度(并且扩展至光瞳表示中的其他像素对)。
因此,返回到等式(3)和(4),Si表示像素对的对称定位的像素之间的非对称强度(Ii-Ii’)。因此,第一重叠向量p1 可以与具有U0的Si的第一像素对和具有V0的Si的第二像素对的对第一感兴趣重叠的改变的响应相对应。同样,第二重叠向量p2 可以与那些第一像素对和第二像素对的对第二感兴趣重叠的改变的响应相对应。因而,可以构造向量p′1和/或向量p′2;这里两者均是出于解释目的。就与对应于U0的第一像素对相对应的强度u而言并且就与对应于V0的第二像素对相对应的强度v而言,定义向量p′1和向量p′2。因此,向量p′1和向量p'2可以指定如下:
p 1 =(u′1,v′1) (12)
p′2 =(u′2,v′2) (13)
因此,现在在上文所描述的线性上下文中并且参考等式(4),则可以基于U0,V0以及向量p′1和p′2来定义第一感兴趣重叠的重叠值,如下所示:
Figure BDA0002402900120000611
附加地或可替代地,然后,可以基于U0,V0以及向量p′1和p′2来定义第二感兴趣重叠的重叠值,如下所示:
Figure BDA0002402900120000612
因此,根据等式(14),分别对于U0和V0,用于确定第一感兴趣重叠的权重如下:
Figure BDA0002402900120000613
进一步地,根据等式(15),分别对于U0和V0,用于确定第二感兴趣重叠的权重如下:
Figure BDA0002402900120000614
因此,将会理解,对于光瞳表示中的所有或基本上所有像素对,可以重复这种操作,以便得出第一感兴趣重叠
Figure BDA0002402900120000615
的权重集合wi和/或得到第二感兴趣重叠
Figure BDA0002402900120000616
的权重集合wi。然后,根据等式(4),这些权重中的一个或两个权重可以应用于测量的光学特性值,以得出用于相应感兴趣重叠的重叠值。当然,可以评估一个或多个其他感兴趣重叠,并且确定其一个或多个适当的权重集合。将会理解,在一个实施例中,对于所有不同的感兴趣重叠的敏感度(例如,雅可比)被包括在针对特定感兴趣重叠的权重定义中。
因此,例如,对于具有4个层(其中层中的一个层为参考层)的单位单元,其中层中的每个层在X和Y方向上的移位都可能导致对称性改变(例如,导致非对称性,或者导致其他非对称性,或导致非对称单位单元变得对称),则可以产生6个向量(每个向量与不同的像素对相关联),6个向量包括每个层的X方向重叠向量和每个层的Y方向重叠向量。因此,可以存在6个权重集合来导出相应的重叠。当然,如果向量中的一个向量不是感兴趣向量,则无需导出所有权重集合(但是在一个实施例中,对于所有不同的感兴趣重叠的敏感度(例如,雅可比)被包括在针对特定感兴趣重叠的权重定义中)。然后,可以通过这些重叠中的两个或多个重叠的适当数学组合来确定任何其他重叠。
将会理解,单位单元中的层的某些移位不会导致对称性的改变,因此不能根据单位单元确定与该移位相对应的重叠。因此,显而易见的是,针对这种移位,不定义任何的向量。因此,以图18为例,可以针对该单位单元定义三个向量——一个向量用于X方向重叠,两个向量用于不同的Y方向重叠。因此,可以确定一个权重集合,其当与测量的光学特性值组合时,给出X方向上的重叠。或者,可以确定权重集合,其当与测量的光学特性值组合时,给出Y方向的重叠中的一个重叠;和/或可以确定权重集合,其当与测量的光学特性值组合时,给出Y方向上的重叠中的另一重叠。当然,可以确定所有三个权重集合,或可以只确定两个权重集合。
上文的讨论着重于由设备的结构组成的对称单位单元的一个或多个实例形成的目标。这种目标可以使得能够通过对非产品目标重定向的辐射的非产品测量,来确定图案化过程参数的非产品的值。然而,如上所述,目标无需仅由设备结构组成。换句话说,可以提供非产品目标,其结构并不唯一包括设备结构。例如,在一个实施例中,可以由不用于形成设备而是仅用于测量的结构来专门产生目标。可以在例如远离设备的划道中(并且因此,在远离设备图案的设备图案化图案的一部分中提供)提供这种目标。在一个实施例中,可以在设备图案之中(并且因此,在图案化设备图案的设备图案的特征之中)提供目标。在适当情况下非产品目标可以包括一个或多个设备结构以及一个或多个专门产生的结构,这些结构不用于形成设备,而仅用于测量。
如果例如针对无法呈现对称单位单元实例的设备图案确定图案化过程参数,则可以使用非产品目标。作为另一示例,如果例如针对没有如上所述的对称单位单元的设备图案的一部分确定图案化过程参数,非产品目标可能是有用的,该对称单位单元可以给出该图案化过程参数的测量。例如,可能存在期望使用上面所述的对称单位单元方法确定蚀刻后的重叠的结构,但是该蚀刻后的重叠的结构不具有对称性的情况。例如,逻辑电路或结构具有许多过程层/步骤,每个过程层/步骤都能够引入可以破坏结构的对称性的不同重叠部件。在例如逻辑电路的情况下,由于缺少逻辑电路结构的对称单位单元,所以通常无法执行对设备图案的测量。
作为另一示例,非产品目标可以与可以呈现对称单位单元实例(并且即使单位单元可以给出所有感兴趣图案化过程参数的测量)的设备图案关联使用。例如,如果设备模式很复杂,其可能需要大量的计算时间,则就是这种情况。进一步地,设备图案可能呈现与不感兴趣图案化过程参数的信号的潜在串扰。作为示例,不同重叠部件的光瞳相关性可能很大,以致无法分离出不同的重叠误差。
因此,非产品目标可以与针对束斑具有对称单位单元的实例的设备图案或针对束斑不能呈现对称单位单元的实例的设备图案一起使用。在于2017年2月28日提交的美国专利申请号15/445,612中对非产品目标的配置、设计、测量和使用的细节进行了详细描述,该专利申请的全部内容通过引用并入本文。
目标(无论是产品目标还是非产品目标,并且无论该目标是否具有如本文中所讨论的被某个参数(诸如重叠)所代表的某个物理现象破坏的对称性)的测量精度和/或敏感度可以相对于目标本身的一个或多个属性和/或提供到目标上的测量辐射的一个或多个属性(例如,辐射的波长、辐射的偏振、辐射的强度分布(即,角强度分布或空间强度分布)和/或测量辐射的主射线的入射角)而变化。在一个实施例中,辐射的波长范围限于选自一范围(例如,选自约400nm至900nm的范围)的一个或多个波长。进一步地,可以提供辐射束的不同偏振的选择(例如,TE偏振辐射、TM偏振辐射、垂直线性偏振、水平线性偏振等),并且可以使用例如多个不同的孔来提供各种照射分布和/或角度。
因此,为了实现这种选择和测量,可以使用量测配方,其指定了使用测量系统进行测量的一个或多个参数。在一个实施例中,术语“量测配方”包括测量本身的一个或多个参数、所测量目标的图案的一个或多个参数、或两者。
在该上下文中,测量目标的图案(也称为“目标结构”)可以是光学测量的图案,例如,其衍射被测量。测量的目标图案可以是专门为测量目的设计或选择的图案(诸如非产品目标)。目标的多个副本可以放置在跨衬底的许多位置上(例如,跨衬底的多个裸片内或附近)。
在一个实施例中,如果量测配方包括测量本身的一个或多个参数,则测量本身的一个或多个参数可以包括与用于进行测量的测量光束和/或测量装置有关的一个或多个参数。例如,如果量测配方中使用的测量是基于衍射的光学测量,则测量本身的一个或多个参数可以包括测量辐射的波长、和/或测量辐射的偏振、和/或测量辐射的强度分布、和/或相对于衬底的测量辐射的照射角度(例如,入射角、方位角等)、和/或相对于衬底上的图案的衍射测量辐射的相对方位、和/或目标的多个测量点或实例、和/或在衬底上测量的目标的实例的位置。测量本身的一个或多个参数可以包括测量中使用的量测装置的一个或多个参数,其可以包括检测器敏感度、数值孔径等。
在一个实施例中,如果量测配方包括测量图案的一个或多个参数,则测量图案的一个或多个参数可以包括一个或多个几何特性(诸如图案的至少一部分的形状、和/或图案的至少一部分的方位、和/或图案的至少一部分的间距(例如,周期性结构的间距,其包括下周期性结构的间距和/或上周期性结构的间距,该上周期性结构处于高于该下周期性结构的层中)、和/或图案的至少一部分的尺寸(例如,周期性结构的特征的CD,其包括上周期性结构和/或下周期性结构的特征的CD)、和/或图案的特征的分割(例如,将周期性结构的特征划分为子结构)、和/或周期性结构的长度或周期性结构的特征的长度、和/或图案的至少一部分的材料特性(例如,折射率、消光系数、材料类型等)、和/或图案的标识(例如,将图案与另一图案区分开),等。
量测配方可以以如(r1,r2,r3,…rn;t1,t2,t3,…tm)的形式表达,其中ri是测量的一个或多个参数,并且tj是一个或多个测量图案的一个或多个参数。将会理解,n和m可以是1。进一步地,量测配方无需具有测量的一个或多个参数和一个或多个测量图案的一个或多个参数两者;它可以仅具有测量的一个或多个参数,或可以仅具有一个或多个测量图案的一个或多个参数。
可以使用两个量测配方A和B来对目标进行测量,这两个量测配方A和B例如在测量目标的阶段上有所不同(例如,当A包括潜像结构时,A测量目标,而当B不包括潜像结构时,B测量目标)和/或在它们的测量的参数上有所不同。量测配方A和B至少在测量目标上可能有所不同(例如,A测量第一目标,B测量第二不同目标)。量测配方A和B可能在其测量参数和目标上有所不同。量测配方A和B甚至可能都不基于相同的测量技术。例如,配方A可以基于基于衍射的测量,而配方B可以基于扫描电子显微镜(SEM)测量或原子力显微镜(AFM)测量。
如所指出的,特定衬底将具有目标的多个实例。因此,在一个实施例中,通常存在一种这样的采样方案,其选择衬底上的目标实例的子集,以便在衬底上进行测量,以有助于例如测量过程的吞吐量。
如上文所讨论的,通过照射目标,使用物镜收集来自结构的重定向辐射,并且通过由物镜的傅立叶平面中的光瞳检测器检测重定向辐射,可以从目标的结构(例如,产品图案内的衍射目标或专门设计用于测量并且与设备图案分开的衍射目标)确定一个或多个感兴趣参数(诸如CD和/或重叠)。可以使用例如如本文中所描述的推断方法来处理这种测量光瞳,该推断方法从中获得信号分量,该信号分量与适当的权重集合组合以得出例如重叠值。附加地或可替代地,诸如关于图9所描述的方法可以使用这种测量光瞳来确定例如CD。
在一个实施例中,用于照射目标的辐射是线性偏振的电磁辐射。线性偏振的电磁辐射的电场被局限在沿着传播方向的单个平面。在一个实施例中,为了方便起见,垂直于传播方向的第一方向上的第一线性偏振类型在本文中被指定为“H”或水平线性偏振,并且为了方便起见,与第二方向正交的并且垂直于传播方向的第二方向上的第二线性偏振类型在本文中被指定为“V”或垂直线性偏振。当然,辐射不必是垂直辐射或水平辐射。第一线性偏振可以是p偏振,而第二线性偏振可以是s偏振。当然,第一线性偏振和第二线性偏振可以用其他指定来标记。
衍射结构(例如,衍射光栅)和其他类似的复杂结构改变了照射辐射的偏振状态。因此,在一个实施例中,包括研究中的结构的目标的光学特性可以通过如下的反射率矩阵来表征:
Figure BDA0002402900120000661
其中R是反射率,以及下标与可适用的线性偏振相对应。具体地,下标的第一索引是指从目标出射的辐射的偏振态,而下标的第二索引是指到目标上的照射辐射的偏振态。例如,RHV意指来自V偏振照射的H偏振的反射率。该矩阵的每个元素取决于波长以及入射的极角和方位角。当然,可以基于s和p偏振或基于其他通过反射率矩阵来表征结构。进一步地,虽然本文中根据反射率对实施例进行了描述,但是可以使用与反射率不同的光学特性或附加光学特性,在不同的照射偏振和出射偏振下,可以在相似的方面中确定该不同的光学特性或附加的光学特性。进一步地,虽然就两种不同线性偏振类型而言,本文中对实施例进行了描述,但是可以使用多于两种类型的线性偏振。
在量测装置中,检测器可观测的数量可以是全反射强度。也就是说,该检测不是偏振敏感的。因此,如果照射是H偏振照射,则检测到的强度与以下项成正比:
|RHH|2+|RVH|2 (19)
并且,如果照射是V偏振,则检测到的强度与以下项成正比:
|RVV|2+|RHV|2 (20)
然而,预期的是,不同的偏振通道(即,反射率矩阵的不同元素)携带关于诸如CD和/或重叠之类的感兴趣参数的不同信息。因此,在一个实施例中,有利的是检测从目标出射的H线性偏振和V线性偏振辐射,以例如分别使更多的轮廓参数解相关(例如,作为推断方法的一部分)并且提高对它们的敏感度。因此,在一个实施例中,与选自RHH,RVH,RVV和RHV中的至少两个相关联的值的组合(或如上所述的附加或不同的光学特性)可以用于导出感兴趣参数(例如,重叠、CD等)。将会理解,该组合可以用于导出感兴趣参数的单个值。在一个实施例中,与RHH,RVH,RVV和RHV相对应的值一起用于导出感兴趣参数。在一个备选实施例中,仅与RVH和RHV相对应的值用于导出感兴趣参数。
因此,图21示意性地描绘了根据一个实施例的使得能够独立检测从目标出射的H偏振和V偏振的示例量测装置。该实施例与图7的量测类似,但有一些改变。特别地,在一个实施例中,量测装置在光瞳传感器190之前包括交叉偏振元件192,以将辐射的出射偏振态分离成具有第一线性偏振的第一部分196和具有第二不同线性偏振的第二部分198。这意味着能够通过将水平线性偏振照射辐射施加到目标并且单独地将垂直线性偏振照射辐射施加到同一目标,来分别测量与RHH,RVH,RVV和RHV相关联的值,分别诸如为|RHH|2,|RVH|2,|RVV|2和|RHV|2。因此,对于H偏振,交叉偏振元件192使得能够分别测量出射V和H偏振以分别获得|RVH|2和|RVV|2。同样,对于V偏振,交叉偏振元件192使得能够分别测量出射V偏振和H偏振以分别获得|RVV|2和|RHV|2
在一个实施例中,可以向目标交替提供不同的偏振。例如,在一个实施例中,源110可以及时交替提供H和V偏振。在一个实施例中,源110和目标之间的光路中的偏振元件可以用于及时交替提供H和V偏振(例如,使用如图9所描绘的偏振器170,并且该偏振器170可以类似地设置在图7和图21中的源110和物镜160之间)。
在一个实施例中,可以并行提供多个照射斑,那些照射斑中的一个或多个照射斑具有H偏振,并且那些照射中的一个或多个其他照射斑具有V偏振。因此,在具有两个照射斑的实施例中,其中一个斑具有V偏振,而另一个斑具有H偏振,交叉偏振元件192可以分别从每个斑中分离偏振,以测量4个线性偏振集合——针对V照射的来自目标的V偏振出射辐射,针对V照射的来自目标的H偏振出射辐射,针对H照射的来自目标的V偏振出射辐射,以及针对H照射的来自目标的H偏振出射辐射。
在一个实施例中,可以以不同方式对交叉偏振元件192进行布置。例如,它可以呈偏振分束器类型的布置,其中特定线性偏振在第一方向上穿过分束表面并且沿第一方向朝向一个传感器190,并且正交的线性偏振在基本上正交于第二方向的第二方向上反射离开分束表面并且沿第二方向到达另一传感器190。其他布置是可能的,其包括其他光束引导部件。
然而,单独的交叉偏振检测对反射系数的相位不敏感,因为仅测量其绝对值。为了能够测量至少一些相对相位,延迟器194(例如,四分之一波片)位于交叉偏振元件192之前。在这种延迟器194和交叉偏振元件192的配置中,针对目标的H偏振照射的两个输出强度通道如下:
Figure BDA0002402900120000681
并且针对目标的V偏振照射,则如下:
Figure BDA0002402900120000682
干涉项携带关于反射率矩阵的对角线通道和非对角线通道之间的相对相位的信息。因此,延迟器194和交叉偏振元件192的配置在本文中所描述的量测技术(例如,基于来自光瞳的信号分量与加权组合的重叠推断方法)中特别有用,该技术利用了通常仅在扁桃体区域(tonsil area)(例如,区域1075和1080)中找到的相对较强的信号,因为与没有延迟器194的配置(即,具有交叉偏振元件192而没有延迟器194)相比,该延迟器194和交叉偏振元件192的配置将感兴趣参数(例如,重叠)信号的能量在光瞳的相对较大的区域上扩散。
图22示意性地描绘了根据使用交叉偏振元件(即,这些光瞳用于例如具有交叉偏振元件192而没有延迟器194的布置)从目标测量的辐射导出的辐射信号能量分布的示例光瞳(例如,雅可比光瞳)。图22中的光瞳与目标的特定重叠(诸如目标中两个结构之间的X方向上的重叠)参数相对应。在一个实施例中,光瞳根据诸如本文中所描述的模型计算,并且是强度光瞳相对于诸如重叠之类的感兴趣参数的导数,例如,雅可比光瞳。在一个实施例中,光瞳与上文所描述的重叠推断方法的雅可比相对应,并且针对这些光瞳,从中确定信号分量的权重,并且根据这些光瞳,通过组合信号分量及其权重来确定重叠。光瞳2200与H偏振照射辐射和来自目标的测量的H偏振出射辐射相对应。光瞳2210与V偏振照射辐射和来自目标的测量的H偏振出射辐射相对应。光瞳2220与H偏振照射辐射和来自目标的测量的V偏振出射辐射相对应。光瞳2230与V偏振照射辐射和来自目标的测量的V偏振出射辐射相对应。可以看出,相对较高的信号能量位于光瞳2210的对角线上的较小扁桃体区域2212和位于光瞳2220的对角线上的相对较小扁桃体区域2222。
现在,图23示意性地描绘了根据使用交叉偏振元件和延迟器(即,这些光瞳用于例如具有交叉偏振元件192和形式为四分之一波片的延迟器194的布置)从目标测量的辐射导出的辐射信号能量分布的示例光瞳(例如,雅可比光瞳)。图23中的光瞳与目标的特定重叠参数(诸如目标中两个结构之间的X方向上的重叠)相对应。在一个实施例中,光瞳根据诸如本文中所描述的模型计算,并且是强度光瞳相对于诸如重叠之类的感兴趣参数的导数,例如,雅可比光瞳。在一个实施例中,光瞳与上文所描述的重叠推断方法的雅可比相对应,并且针对这些光瞳,从中确定信号分量的权重,并且根据这些光瞳,通过组合信号分量及其权重来确定重叠。光瞳2300与H偏振照射辐射和来自目标的测量的H偏振出射辐射相对应。光瞳2310与V偏振照射辐射和来自目标的测量的H偏振出射辐射相对应。光瞳2320与H偏振照射辐射和从目标测量的V偏振出射辐射相对应。光瞳2330与V偏振照射辐射和来自目标的测量的V偏振出射辐射相对应。与没有延迟器的图22中的光瞳相比较,在图23中的光瞳中,与感兴趣参数(例如,重叠信号)相关联的能量扩散的光瞳的更大区域中,而在图22的光瞳中,与感兴趣参数(例如,重叠信号)相关联的能量级联在相对较小的扁桃体区域2212和2222中。因此,在图23的光瞳中,与感兴趣参数相关联的能量从诸如图22的光瞳中的扁桃体区域2212和2222之类的扁桃体区域扩散到(诸如光瞳2310和2330中的)光瞳轴上的区域或到(诸如光瞳2300和2320中的)光瞳的内部。
但是,当测量多个不同的重叠(例如,第一层和第二层之间的重叠以及第二层和第三层之间的重叠,分别在各个方向上)时,这种交叉偏振元件192和延迟器194的配置可能不太有价值,因为当所有参数的信号能量更均匀地扩散在整个光瞳上时,解相关多个重叠参数变得更加困难。相比之下,没有延迟器194的交叉偏振元件192的配置可以提供更多的解相关能力,但是以信号定位在光瞳的相对较小的区域(通常,难以校准的扁桃体)为代价。换句话说,交叉偏振元件192和延迟器194的配置以及没有延迟器194的交叉偏振元件192的配置在与期望重叠信号相对应的测量辐射的强度与使来自测量辐射的不同重叠信号解相关的能力之间提供了不同的平衡。因此,交叉偏振元件192和延迟器194的配置以及交叉偏振元件192的配置取决于应用。
因而,在一个实施例中,延迟器194被配置为在不同的时间提供不同的相位。例如,在一个实施例中,延迟器是可切换的,使得其在一个配置中提供相位,而在另一配置中提供不同的相位(不包括相位)。例如,该延迟器可以移入和移出衍射辐射的路径。在一个实施例中,该延迟器可以包括提供第一相位的第一延迟器元件和提供第二相位的第二延迟器元件,并且该第一延迟器元件和第二延迟器元件可以移入和移出衍射辐射的路径(例如,通过旋转具有第一延迟器元件和第二延迟器元件的结构)。在一个实施例中,该延迟器可以在衍射辐射的光路内旋转。通过检测分支的这种可切换或可旋转的延迟器,针对特定应用,可以对反射率分量的期望线性组合进行切换或微调。
可能期望使用不同的光学元件来获得延迟器的优点中的一个或多个优点。例如,延迟器可能不可用。因此,例如,在单个重叠的应用中,可能期望实现交叉偏振元件和延迟器的配置的优点中的一个或多个优点,该交叉偏振元件和延迟器的配置在没有延迟器的情况下在信噪比方面表现更好。或者,可能期望使用与延迟器的组合来获得具有不同光学元件的延迟器的功能中的一些功能。
因此,在一个实施例中,交叉偏振元件提供了延迟器的全部或一些功能。在一个实施例中,交叉偏振元件的偏振分离轴与H轴和V轴成一定角度。在一个实施例中,该角度选自大于0度且小于90度的范围,诸如选自10度至80度的范围,选自20度至70度的范围,选自30度至60度的范围,或选自40度至50度的范围。在一个实施例中,该角度为约45度。
图24A示意性地描绘了根据一个实施例的示例量测装置,该示例量测装置包括交叉偏振元件199,该交叉偏振元件的偏振分离轴与H轴和V轴成一定角度。可选地,还可以提供延迟器194。在一个实施例中,交叉偏振元件199与交叉偏振元件192相同,除了它使或可配置为使其偏振分离轴与H轴和V轴成一定角度。在一个实施例中,交叉偏振元件199可以使其偏振分离轴固定为一定角度。在一个实施例中,角度是可变的。例如,在一个实施例中,交叉偏振元件199被配置为在不同的时间提供不同的角度。例如,在一个实施例中,交叉偏振元件199是可切换的,使得其在一个配置中提供一定的角度并且在另一个配置中提供不同的角度(包括没有角度)。例如,交叉偏振元件199可以移入和移出衍射辐射的路径。在一个实施例中,交叉偏振元件199可以包括提供第一角度的第一交叉偏振元件部分和提供第二角度的第二交叉偏振元件部分,并且第一交叉偏振元件部分和第二交叉偏振元件部分可以移入和移出衍射辐射的路径(例如,通过旋转具有第一交叉偏振元件部分和第二交叉偏振元件部分的结构)。在一个实施例中,交叉偏振元件199可以在衍射辐射的光路内旋转。通过检测分支中的这种可切换或可旋转的交叉偏振元件199,针对特定应用,可以对反射率分量的期望线性组合进行切换或微调。也就是说,在一个实施例中,具有可切换或可旋转的交叉偏振元件199将是有利的,其使得在不同时间提供不同角度以能够将反射系数的线性叠加调整至期望的应用。
图24B中高度示意性地描绘了根据一个实施例的交叉偏振元件的偏振轴与照射辐射的偏振的轴成一定角度的效果。从图24B可以看出,具有根据H轴和V轴定义的偏振的照射辐射170,172被提供给目标T。如上所述,该辐射可以具有沿着H轴的线性偏振或沿着V轴的线性偏振。在一个实施例中,可以在第一照射中提供沿着H轴的线性偏振,并且可以在随后的第二照射中提供沿着V轴的线性偏振,或者反之亦然。
该照射辐射由目标重定向以变为辐射174,176,并且通过到达其偏振分离轴与H轴和V轴成一定角度θ的交叉偏振元件199。交叉偏振元件199的效果是有效地将偏振的坐标系旋转到具有所示正交轴1和2的坐标系。具有轴1和2的坐标系相对于H轴和H轴旋转角度θ。因此,使用线性H或V偏振输入和提供了两个正交线性偏振输出的交叉偏振元件199,其中该交叉偏振元件199的偏振分离轴成角度θ,检测器190有效地测量了沿着1轴的线性偏振和沿着2轴的线性偏振,其中正交轴1和2相对于H和V轴旋转角度θ。
因此,如果交叉偏振元件的偏振分离轴的角度围绕传感器的光轴成45度(并且在没有使用延迟器的情况下),则检测的强度与以下针对目标的H线性偏振照射的(23)和(24)以及以下针对目标的V线性偏振照射的(25)和(26)成比例:
Figure BDA0002402900120000721
Figure BDA0002402900120000731
其中R1与来自交叉偏振元件199的具有沿着1轴(见图24B)的偏振的测量辐射相对应,而R2与来自交叉偏振元件199的具有沿着2轴的偏振的测量辐射相对应,其中1轴和2轴与H轴和V轴成45度角,以及
Figure BDA0002402900120000732
Figure BDA0002402900120000733
当然,对于与45度不同的角度,可以根据需要使用适当的三角函数调整公式。在这种情况下,对角线反射率系数和非对角线反射率系数以与交叉偏振元件192和延迟器194的配置类似的方式干涉,尽管与交叉偏振元件192和延迟器194的配置中的有所不同。但是,这些干涉项的作用类似,即,它们在光瞳内部扩散信号能量。
图25示意性地描绘了使用交叉偏振元件根据从目标测量的辐射导出的辐射信号能量分布的示例光瞳(例如,雅可比光瞳)(即,这些光瞳用于例如具有交叉偏振元件199的布置),该交叉偏振元件的偏振分离轴与H轴和V轴成45度角。图25中的光瞳与目标的特定重叠参数(诸如目标中两个结构之间的X方向上的重叠)相对应。在一个实施例中,光瞳根据诸如本文中所描述的模型计算,并且是强度光瞳相对于诸如重叠之类的感兴趣参数的导数,例如,雅可比光瞳。在一个实施例中,光瞳与上文所描述的重叠推断方法的雅可比相对应,并且针对这些光瞳,从中确定信号分量的权重,并且根据这些光瞳,通过组合信号分量及其权重来确定重叠。光瞳2500与H偏振照射辐射和从目标测量的1轴偏振出射辐射相对应。光瞳2510与V偏振照射辐射和从目标测量的1轴偏振出射辐射相对应。光瞳2520与H偏振照射辐射和从目标测量的2轴偏振出射辐射相对应。光瞳2530与V偏振照射辐射和从目标测量的2轴偏振出射辐射相对应。如上文所描述的,1轴和2轴与H轴和V轴成一定角度(在这种情况下为45度)。
与其中交叉偏振元件与照射辐射的偏振方向成0度并且没有延迟器的图22的光瞳相比较,相对较高的信号能量位于光瞳2510的显著更大的区域(诸如区域2512),光瞳2520的显著更大的区域2522、以及光瞳2530的显著更大的区域2532。进一步地,光瞳2500的区域2502中的信号能量通常较低,但是仍然通常良好地分布。此外,与图22的光瞳相比较,光瞳的内部区域中的信号能量通常更大。进一步地,与图23的光瞳相比较,可以看出,对于光瞳2510和2530,信号能量通常更大,并且在扁桃体区域外分布得更多。因此,与图23相比较,图25的光瞳通常产生更大的信号能量,并且该信号能量在光瞳内部具有更大的分布。至于光瞳2500和2520,与图25的光瞳2300和2320相比,信号能量通常可以较少,但是信号能量仍然相当好地分布在整个光瞳中。
在一个实施例中,使用其偏振分离轴与H轴和V轴成一定角度的交叉偏振元件199的测量方法可能比使用其偏振分离轴不与照射辐射的可适用的H轴或V轴成一定角度的交叉偏振元件199的测量方法更容易校准。这是因为例如在使用使用信号分量和加权的推断方法的实施例中,推断基于对角线反射率和非对角线反射率,因此就强度而言,平衡了输出通道。在一个实施例中,由于其对对角线反射率分量和非对角线反射率分量之间的相对相位敏感,所以与其偏振分离轴不与照射辐射的可适用的H轴或V轴成一定角度的交叉偏振元件199相比较,其偏振分离轴与H轴或V轴成一定角度的交叉偏振元件199可以提供对各种CD、重叠等各种参数更好的敏感度(和/或更好的解相关能力)。
因此,在一个实施例中,可以通过使用与延迟器不同的光学元件(例如,无需延迟器本身),即,其偏振分离轴与H轴和V轴成一定角度的交叉偏振元件199,来获得使用延迟器进行交叉偏振检测的一个或多个优点。可选地,交叉偏振元件199被配置为提供与H轴和V轴成可变角度的偏振分离轴,以使得能够依据应用对反射率分量进行线性组合。在一个实施例中,其偏振分离轴与H轴和V轴成一定角度的交叉偏振元件199用于测量作为设备图案本身的一部分的目标(即,设备内量测)。
确定的图案化过程参数值(例如,重叠值)和本文中的技术可以用于多种目的。例如,实现图案化过程的重要方面包括:显影过程本身,对其进行设置以进行监测和控制,然后实际监测和控制过程本身(例如,基于图案化过程参数值来预测缺陷的可能性)。可以在这些方面中的任一方面中使用本文中的图案化过程参数值和技术。进一步地,假设具有图案化过程的基本原理的配置,诸如(多个)图案化设备图案、(多个)抗蚀剂类型、光刻后过程步骤(诸如显影、蚀刻等),则期望在图案化过程中对装置进行设置用于将图案传递到衬底上,显影一个或多个量测目标以监测该过程,设置量测过程以测量量测目标,并且基于测量实施监测和/或控制过程的过程。图案化过程参数值和本文中的技术可以用于那些过程中的任一过程。
虽然在本申请中的讨论考虑了量测过程和量测目标的实施例,该量测过程和量测目标被设计为测量在衬底上形成的设备的重叠,但是本文中的实施例同样适用于其他量测过程和目标,诸如用于在对称结构中测量各种其他非对称性(诸如侧壁角非对称性、底板倾斜角的非对称性、CD的非对称性等)的过程和目标。因而,本文中对重叠量测目标、重叠数据等的引用应当视为经过适当修改以实现用于确定不同种类的感兴趣参数(诸如CD、边缘置放误差等)的其他种类的量测过程和目标。
在一个实施例中,提供了一种方法,包括:使用第一方向上具有线性偏振的辐射照射量测目标的结构;接收从结构重定向到交叉偏振元件的辐射,该交叉偏振元件以相对于第二方向上具有线性偏振的重定向辐射,分开地将第一方向上具有线性偏振的衍射辐射提供至传感器系统,第二方向基本上正交于第一方向,其中该交叉偏振元件具有与第一方向和第二方向成一定角度的偏振分离轴;以及使用传感器系统测量第一方向上具有线性偏振的重定向辐射的光学特性和第二方向上具有线性偏振的重定向辐射的光学特性。
在一个实施例中,该方法还包括:使用第二方向上具有线性偏振的辐射照射结构以产生重定向辐射;使用交叉偏振元件以相对于第二方向上具有线性偏振的这种重定向辐射,分开地将第一方向上具有线性偏振的这种重定向辐射提供至传感器系统;以及使用传感器系统测量第一方向上具有线性偏振的这种重定向辐射的光学特性和第二方向上具有线性偏振的这种重定向辐射的光学特性。在一个实施例中,该方法还包括:仅使用从用第二方向上具有线性偏振的照射辐射获得的第一方向上具有线性偏振的重定向辐射所测量的光学特性和从用第一方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射所测量的光学特性,来确定用于形成量测目标的图案化过程的感兴趣参数。在一个实施例中,该角度选自40度至50度的范围。在一个实施例中,目标是设备图案本身的一部分。在一个实施例中,结构在标称物理配置下具有几何对称性,其中由图案化过程引起的结构的与标称物理配置不同的物理配置导致检测到的光学特性表示中的不对称光学特性分布,并且包括:从检测到的光学特性表示确定感兴趣参数,其中感兴趣参数测量物理配置的改变。在一个实施例中,该方法还包括:使用第一方向上具有线性偏振的重定向辐射的测量的光学特性和第二方向上具有线性偏振的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值。
在一个实施例中,提供了一种方法,包括:使用第一方向上具有线性偏振的辐射照射量测目标的结构;接收从结构重定向到交叉偏振元件的辐射,该交叉偏振元件以相对于第三方向上具有线性偏振的重定向辐射,分开地将第二方向上具有线性偏振的衍射辐射提供至传感器系统,该第三方向基本上正交于第二方向,其中该交叉偏振元件具有偏振分离轴,使得第一方向与第二方向成一定角度;以及使用传感器系统测量第二方向上具有线性偏振的重定向辐射的光学特性和第三方向上具有线性偏振的重定向辐射的光学特性。
在一个实施例中,该方法还包括:使用在与第一方向正交的第四方向上具有线性偏振的辐射单独地照射结构以产生重定向辐射;使用交叉偏振元件以相对于第三方向上具有线性偏振的这种重定向辐射,分开地将第二方向上具有线性偏振的这种重定向辐射提供至传感器系统,其中交叉偏振元件具有偏振分离轴,使得第四方向与第三方向成一定角度;以及使用传感器系统测量第二方向上具有线性偏振的这种重定向辐射的光学特性和第三方向上具有线性偏振的这种重定向辐射的光学特性。在一个实施例中,该方法还包括:仅使用从用第一方向上具有线性偏振的照射辐射获得的在第三方向上具有线性偏振的重定向辐射所测量的光学特性和从用第四方向上具有线性偏振的照射辐射获得的在第二方向上具有线性偏振的重定向辐射测量的光学特性,来确定用于形成量测目标的图案化过程的感兴趣参数。在一个实施例中,该角度选自40度至50度的范围。在一个实施例中,目标是设备图案本身的一部分。在一个实施例中,该结构在标称物理配置下具有几何对称性,其中由图案化过程引起的结构的与标称物理配置不同的物理配置导致检测到的光学特性表示的不对称光学特性分布,并且包括:从检测到的光学特性表示确定感兴趣参数,其中感兴趣参数测量物理配置的改变。在一个实施例中,该方法还包括:使用第三方向上具有线性偏振的重定向辐射的测量的光学特性和第三方向上具有线性偏振的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值。
在一个实施例中,提供了一种量测装置,包括光学元件,其被配置为将第一方向上具有线性偏振的辐射提供到目标的结构上;传感器系统,其被配置为测量从结构重定向的辐射的光学特性;以及交叉偏振元件,其被配置为接收从结构重定向的辐射并且以相对于第三方向上具有线性偏振的重定向辐射,分开地将第二方向上具有线性偏振的重定向辐射提供给传感器系统提供,第三方向基本上正交于第二方向,其中交叉偏振元件具有偏振分离轴,使得第一方向与第二方向一定角度。
在一个实施例中,该角度选自40度至50度的范围。在一个实施例中,目标是设备图案本身的一部分。在一个实施例中,该结构在标称物理配置下具有几何对称性,其中由图案化过程导致的结构的与标称物理配置不同的物理配置导致检测到的光学特性表示的不对称光学特性分布;以及处理器系统,其被配置为从检测到的光学特性表示确定感兴趣参数,其中该感兴趣参数测量物理配置的改变。在一个实施例中,该量测装置包括处理器系统,其被配置为使用第二方向上具有线性偏振的重定向辐射的测量的光学特性和/或第三方向上具有线性偏振的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值。在一个实施例中,处理器系统被配置为单独地将第四方向上具有线性偏振的辐射单独提供到目标的结构上以产生重定向辐射,其中交叉偏振元件被配置为以相对于第三方向上具有线性偏振的这种重定向辐射,分开地将第二方向上具有线性偏振的这种重定向辐射提供至传感器系统,其中交叉偏振元件具有偏振分离轴,使得第四方向与第三方向成一定角度,并且传感器系统被配置为测量第二方向上具有线性偏振的这种重定向辐射的光学特性和第三方向上具有线性偏振的这种重定向辐射的光学特性;并且处理器系统还被配置为使用选自以下各项中的两项或更多项的重定向辐射的测量的光学特性确定用于制造量测目标的图案化过程的感兴趣参数的值:用第一方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射、用第四方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射、用第一方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射、和/或用第四方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射。在一个实施例中,处理器系统被配置为仅使用从用第一方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射所测量的光学特性和从用第四方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射所测量的光学特性,来确定感兴趣参数。
在一个实施例中,提供了一种量测装置,包括光学元件,其被配置为将第一方向上具有线性偏振的辐射提供到目标的结构上;传感器系统,其被配置为测量从结构重定向的辐射的光学特性;延迟器系统,其被配置为接收从结构重定向的辐射并且向第一方向上具有线性偏振的重定向辐射和基本上与第一方向正交的第二方向上具有线性偏振的重定向辐射提供相位,其中延迟器系统被配置为在不同的时间提供不同的相位;以及交叉偏振元件,其被配置为接收从结构重定向的辐射并且相对于基本上与第三方向正交的第四方向上具有线性偏振的重定向辐射,分开地将第三方向上具有线性偏振的重定向辐射提供给传感器系统。
在一个实施例中,延迟器可在重定向辐射的光路内旋转。在一个实施例中,交叉偏振元件具有与第一方向和第二方向成一定角度的偏振分离轴。在一个实施例中,第三方向与第一方向成一定角度,并且第二方向与第四方向成一定角度。在一个实施例中,目标是设备图案本身的一部分。在一个实施例中,结构在标称物理配置下具有几何对称性,其中由图案化过程导致的结构的与标称物理配置不同的物理配置导致检测到的光学特性表示的不对称光学特性分布;以及传感器系统被配置为从检测到的光学特性表示确定感兴趣参数,其中该感兴趣参数测量物理配置的改变。在一个实施例中,该量测装置包括处理器系统,其被配置为使用第三方向上具有线性偏振的重定向辐射的测量的光学特性和/或第四方向上具有线性偏振的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值。在一个实施例中,处理器系统被配置为将第二方向上具有线性偏振的辐射单独地提供到目标的结构上以产生重定向辐射,其中交叉偏振元件被配置为相对于第四方向上具有线性偏振的这种重定向辐射,分开地将第三方向上具有线性偏振的这种重定向辐射提供给传感器系统,并且传感器系统被配置为测量第三方向上具有线性偏振的这种重定向辐射的光学特性和第四方向上具有线性偏振的这种重定向辐射的光学特性;并且处理器系统还被配置为使用选自以下各项中的两项或更多项的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值:用第一方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射、用第二方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射、用第二方向上具有线性偏振的照射辐射获得的第四方向上具有线性偏振的重定向辐射、和/或用于第二方向上具有线性偏振的照射辐射获得的第四方向上具有线性偏振的重定向辐射。在一个实施例中,处理器系统被配置为仅使用从用第二方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射测量的光学特性和从用第二方向上具有线性偏振的照射辐射获得的第四方向上具有线性偏振的重定向辐射测量的光学特性,来确定感兴趣参数。
在一个实施例中,提供了一种方法,包括:使用第一方向上具有线性偏振的辐射照射量测目标的结构;接收从结构重定向到偏振元件的辐射,其中该偏振元件具有与第一方向成一定角度的偏振分离轴;以及使用传感器系统测量重定向辐射的光学特性。
在一个实施例中,偏振元件被配置为分别提供第一偏振类型的重定向辐射和第二偏振类型的重定向辐射。在一个实施例中,第一偏振类型是与第一方向成一定角度的第二方向上的线性偏振,并且第二偏振是基本上正交于第二方向的第三方向上的线性偏振。在一个实施例中,该方法包括:使用传感器系统测量第一偏振类型和第二偏振类型的重定向辐射的光学特性。
参照图26,示出了计算机系统3200。该计算机系统3200包括总线3202或用于传达信息的其他通信机构、以及与总线3202耦合以用于处理信息的处理器3204(或多个处理器3204和3205)。计算机系统3200还包括主存储器3206,诸如随机存取存储器(RAM)或其他动态存储设备,其耦合到总线3202,用于存储要由处理器3204执行的信息和指令。主存储器3206还可以用于在执行要由处理器3204执行的指令期间存储临时变量或其他中间信息。计算机系统3200还包括只读存储器(ROM)3208或其他静态存储设备,其耦合到总线3202用于存储处理器3204的静态信息和指令。提供诸如磁盘或光盘之类的存储设备3210,并且该存储设备3210耦合到总线3202用于存储信息和指令。
计算机系统3200可以经由总线3202耦合到显示器3212,诸如阴极射线管(CRT)或平板或用于向计算机用户显示信息的触摸面板显示器。包括字母数字键和其他键的输入设备3214耦合到总线3202用于将信息和命令选择传达到处理器3204。另一类型的用户输入设备是光标控件3216,诸如鼠标、轨迹球或光标方向键,用于将方向信息和命令选择传达到处理器3204并且控制显示器3212上的光标移动。该输入设备通常在两个轴(第一轴(例如,x)和第二轴(例如,y))上具有两个自由度,其允许设备指定平面中的位置。触摸面板(屏幕)显示器还可以用作输入设备。
计算机系统3200可以适合于响应于处理器3204执行包含在主存储器3206中的一个或多个指令的一个或多个序列而在本文中用作处理单元。这种指令可以从诸如存储设备3210之类的另一计算机可读介质读取到主存储器3206中。执行包含在主存储器3206中的指令序列使处理器3204执行本文中所描述的过程。还可以采用多处理布置中的一个或多个处理器来执行包含在主存储器3206中的指令序列。在备选实施例中,可以使用硬连线电路代替软件指令或与软件指令组合。因此,实施例不限于硬件电路和软件的任何特定组合。
如本文中所使用的,术语“计算机可读介质”是指参与向处理器3204提供指令以供执行的任何介质。这种介质可以采用许多形式,其包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如存储设备3210。易失性介质包括动态存储器,诸如主存储器3206。传输介质包括同轴电缆、铜线和光纤,其包括构成总线3202的电线。传输介质还可以采用声波或光波的形式,诸如在射频(RF)和红外(IR)数据通信期间生成的声波或光波。计算机可读介质的常见形式包括例如软盘、柔性盘、硬盘、磁带、任何其他磁介质、CD-ROM、DVD、任何其他光学介质、打孔卡、纸带、具有孔图案的任何其他物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其他存储器芯片或盒、下文所描述的载波、或计算机可以从中读取的任何其他介质。
各种形式的计算机可读介质可以涉及到将一个或多个指令的一个或多个序列携带到处理器3204以供执行。例如,指令首先可以承载在远程计算机的磁盘上。远程计算机可以将指令加载到其动态存储器中,然后使用调制解调器通过电话线发送指令。计算机系统3200本地的调制解调器可以在电话线上接收数据,并且使用红外发射器将数据转换为红外信号。耦合到总线3202的红外检测器可以接收红外信号中携带的数据并且将数据放置在总线3202上。总线3202将数据携带到主存储器3206,处理器3204从该主存储器中检索并且执行指令。在由处理器3204执行之前或之后,由主存储器3206接收的指令可以可选地存储在存储设备3210上。
计算机系统3200还可以包括耦合到总线3202的通信接口3218。通信接口3218提供耦合到连接到局域网3222的网络链路3220的双向数据通信。例如,通信接口3218可以是集成服务数字网(ISDN)卡或调制解调器,以提供与对应类型的电话线的数据通信连接。作为另一示例,通信接口3218可以是局域网(LAN)卡,以提供与兼容LAN的数据通信连接。还可以实现无线链路。在任何这种实现方式中,通信接口3218发送和接收电信号、电磁信号或光信号,其携带表示各种类型的信息的数字数据流。
网络链路3220通常通过一个或多个网络向其他数据设备提供数据通信。例如,网络链路3220可以通过本地网络3222提供与主机3224或由互联网服务提供商(ISP)3226操作的数据设备的连接。ISP 3226又通过全球分组数据通信网络(现在,统称为“互联网”3228)提供数据通信服务。本地网络3222和互联网3228都使用承载数字数据流的电信号、电磁信号或光信号。通过各种网络的信号以及在网络链路3220上并且通过通信接口3218的信号是传输信息的载波的示例性形式,这些信号携带去往和来自计算机系统3200的数字数据。
计算机系统3200可以通过一个或多个网络、网络链路3220和通信接口3218发送消息并且接收包括程序代码的数据。在互联网示例中,服务器3230可以通过互联网3228、ISP3226、本地网络3222和通信接口3218传输应用程序的请求代码。根据一个或多个实施例,一个这样的下载的应用提供例如如本文中所公开的方法。接收的代码可以在接收到时由处理器3204执行,和/或存储在存储设备3210或其他非易失性存储器中,以供以后执行。以这种方式,计算机系统3200可以获得形式为载波的应用代码。
本公开的实施例可以采用计算机程序或数据存储介质的形式,该计算机程序包含描述本文中所公开的方法的一个或多个机器可读指令序列,该数据存储介质(例如,半导体存储器、磁盘或光盘)中存储有这种计算机程序。进一步地,机器可读指令可以体现在两个或更多个计算机程序中。两个或更多个计算机程序可以存储在一个或多个不同的存储器和/或数据存储介质上。
当由位于光刻装置的至少一个部件内的一个或多个计算机处理器读取一个或多个计算机程序时,本文中所描述的任何控制器各自或其组合均可以可操作。控制器各自或其组合可以具有用于接收,处理和发送信号的任何合适的配置。一个或多个处理器被配置为与控制器中的至少一个控制器通信。例如,每个控制器可以包括一个或多个处理器,用于执行计算机程序,该计算机程序包括用于上文所描述的方法的机器可读指令。控制器可以包括用于存储这种计算机程序的数据存储介质、和/或用于接收这种介质的硬件。因此,一个或多个控制器可以根据一个或多个计算机程序的机器可读指令进行操作。
尽管在本文中可以具体参考了在IC的制造中使用量测装置,但是应当理解,本文中所描述的量测装置和过程可以具有其他应用,诸如集成光学系统的制造,用于磁域存储器、平板显示器、液晶显示器(LCD)、薄膜磁头等的导向和检测图案。本领域技术人员将会理解,在这种备选应用的上下文中,本文中的术语“晶片”或“裸片”的任何使用可以被认为分别与更通用的术语“衬底”或“目标部分”同义。在曝光之前或之后,可以例如在轨道(一种通常在衬底上施加抗蚀剂层并且使曝光的抗蚀剂显影的工具)、量测工具和/或一个或多个其他工具中对本文中所指出的衬底进行处理。在适用的情况下,本文中的公开可以适用于这类和其他衬底处理工具。进一步地,可以例如多于一次地处理衬底,以便产生多层IC,因此本文中所使用的术语衬底还可以是指已经包含多次处理过的层的衬底。
在以下编号的条款中进一步描述根据本发明的其他实施例:
1.一种方法,包括:
使用第一方向上具有线性偏振的辐射照射量测目标的结构;
接收从结构重定向到交叉偏振元件的辐射,该交叉偏振元件以与第三方向上具有线性偏振的重定向辐射相分开地,将第二方向上具有线性偏振的衍射辐射提供给传感器系统,第三方向基本上与第二方向正交,其中该交叉偏振元件具有偏振分离轴,使得第一方向与第二方向成一定角度;以及
使用传感器系统测量第二方向上具有线性偏振的重定向辐射的光学特性和第三方向上具有线性偏振的重定向辐射的光学特性。
2.根据条款1所述的方法,还包括:使用正交于第一方向的第四方向上具有线性偏振的辐射照射结构以产生重定向辐射;使用交叉偏振元件以与第三方向上具有线性偏振的这种重定向辐射相分开地,将第二方向上具有线性偏振的这种重定向辐射提供至传感器系统,其中交叉偏振元件具有偏振分离轴,使得第四方向与第三方向成一定角度;以及使用传感器系统测量第二方向上具有线性偏振的这种重定向辐射的光学特性和第三方向上具有线性偏振的这种重定向辐射的光学特性。
3.根据条款2所述的方法,还包括:仅使用从通过第一方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射所测量的光学特性和从通过第四方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射测量的光学特性,来确定用于形成量测目标的图案化过程的感兴趣参数。
4.根据条款1至3中任一项所述的方法,其中角度选自40度至50度的范围。
5.根据条款1至4中任一项所述的方法,其中目标是设备图案本身的一部分。
6.根据条款1至5中任一项所述的方法,其中结构在标称物理配置下具有几何对称性,其中由图案化过程引起的结构的与标称物理配置不同的物理配置导致检测到的光学特性表示的不对称光学特性分布,并且包括:从检测到的光学特性表示确定感兴趣参数,其中感兴趣参数度量物理配置的改变。
7.根据条款1、2或4至6中任一项所述的方法,还包括:使用第三方向上具有线性偏振的重定向辐射的测量的光学特性和第三方向上具有线性偏振的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值。
8.一种量测装置,包括:
光学元件,其被配置为将第一方向上具有线性偏振的辐射提供到目标的结构上;
传感器系统,其被配置为测量从结构重定向的辐射的光学特性;以及
交叉偏振元件,其被配置为接收从结构重定向的辐射并且以与基本上正交于第二方向的第三方向上具有线性偏振的重定向辐射相分开地,将第二方向上具有线性偏振的重定向辐射提供至传感器系统,其中交叉偏振元件具有偏振分离轴,使得第一方向与第二方向成一定角度。
9.根据条款8所述的量测装置,其中角度选自40度至50度的范围。
10.根据条款8或9所述的量测装置,其中目标是设备图案本身的一部分。
11.根据条款8至10中任一项所述的量测装置,其中结构在标称物理配置下具有几何对称性,其中由图案化过程导致的结构的与标称物理配置不同的物理配置导致检测到的光学特性表示的不对称光学特性分布;以及处理器系统,其被配置为从检测到的光学特性表示确定感兴趣参数,其中该感兴趣参数测量物理配置的改变。
12.根据条款8至11中任一项所述的量测装置,包括处理器系统,其被配置为使用第二方向上具有线性偏振的重定向辐射的测量的光学特性和/或第三方向上具有线性偏振的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值。
13.根据条款12所述的量测装置,其中处理器系统被配置为将第四方向上具有线性偏振的辐射单独地提供到目标的结构上以产生重定向辐射,其中交叉偏振元件被配置为以与第三方向上具有线性偏振的这种重定向辐射相分开地,将第二方向上具有线性偏振的这种重定向辐射提供至传感器系统,其中交叉偏振元件具有偏振分离轴,使得第四方向与第三方向成一定角度,并且传感器系统被配置为测量第二方向上具有线性偏振的这种重定向辐射的光学特性和第三方向上具有线性偏振的这种重定向辐射的光学特性;并且处理器系统还被配置为使用选自以下各项中的两项或更多项的重定向辐射的测量的光学特性,确定用于制造量测目标的图案化过程的感兴趣参数的值:通过第一方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射、通过第四方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射、通过第一方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射、和/或通过第四方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射。
14.根据条款13所述的量测装置,其中处理器系统被配置为仅使用从通过第一方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射测量的光学特性和从通过第四方向上具有线性偏振的照射辐射获得的第二方向上具有线性偏振的重定向辐射测量的光学特性,来确定感兴趣参数。
15.一种量测装置,包括:
光学元件,其被配置为将第一方向上具有线性偏振的辐射提供到目标的结构上;
传感器系统,其被配置为测量从结构重定向的辐射的光学特性;
延迟器系统,其被配置为接收从结构重定向的辐射并且向第一方向上具有线性偏振的重定向辐射和基本上正交于第一方向的第二方向上具有线性偏振的重定向辐射提供相位,其中延迟器系统被配置为在不同的时间提供不同的相位;以及
交叉偏振元件,其被配置为接收从结构重定向的辐射并且以与基本上正交于第三方向的第四方向上具有线性偏振的重定向辐射相分开地,将第三方向上具有线性偏振的重定向辐射提供给传感器系统。
16.根据条款15所述的量测装置,其中延迟器可在重定向辐射的光路内旋转。
17.根据条款15或条款16所述的量测装置,其中交叉偏振元件具有与第一方向和第二方向成一定角度的偏振分离轴。
18.根据条款17所述的量测装置,其中第三方向与第一方向成一定角度,并且第二方向与第四方向成一定角度。
19.根据条款15至18中任一项所述的量测装置,其中目标是设备图案本身的一部分。
20.根据条款15至19中任一项所述的量测装置,其中结构在标称物理配置下具有几何对称性,其中由图案化过程导致的结构的与标称物理配置不同的物理配置导致检测到的光学特性表示的不对称光学特性分布;以及传感器系统被配置为从检测到的光学特性表示确定感兴趣参数,其中该感兴趣参数度量物理配置的改变。
21.根据条款15至20中任一项所述的量测装置,包括处理器系统,其被配置为使用第三方向上具有线性偏振的重定向辐射的测量的光学特性和第四方向上具有线性偏振的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值。
22.根据条款21所述的量测装置,其中处理器系统被配置为将第二方向上具有线性偏振的辐射单独提供到目标的结构上以产生重定向辐射,其中交叉偏振元件被配置为以与第四方向上具有线性偏振的这种重定向辐射相分开地,将第三方向上具有线性偏振的这种重定向辐射提供给传感器系统,并且传感器系统被配置为测量第三方向上具有线性偏振的这种重定向辐射的光学特性和第四方向上具有线性偏振的这种重定向辐射的光学特性;并且处理器系统还被配置为使用选自以下各项中的两项或更多项的重定向辐射的测量的光学特性,来确定用于制造量测目标的图案化过程的感兴趣参数的值:通过第一方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射、通过第二方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射、通过第二方向上具有线性偏振的照射辐射获得的第四方向上具有线性偏振的重定向辐射、和/或通过第二方向上具有线性偏振的照射辐射获得的第四方向上具有线性偏振的重定向辐射。
23.根据条款22所述的量测装置,其中处理器系统被配置为仅使用从通过第二方向上具有线性偏振的照射辐射获得的第三方向上具有线性偏振的重定向辐射测量的光学特性和从通过第二方向上具有线性偏振的照射辐射获得的第四方向上具有线性偏振的重定向辐射测量的光学特性,来确定感兴趣参数。
24.一种方法,包括:
使用第一方向上具有线性偏振的辐射照射量测目标的结构;
接收从结构重定向到偏振元件的辐射,其中该偏振元件具有与第一方向成一定角度的偏振分离轴;以及
使用传感器系统测量重定向辐射的光学特性。
25.根据条款24所述的方法,其中偏振元件被配置为分别提供第一偏振类型的重定向辐射和第二偏振类型的重定向辐射。
26.根据条款25所述的方法,其中第一偏振类型是与第一方向成一定角度的第二方向上的线性偏振,并且第二偏振是基本上正交于第二方向的第三方向上的线性偏振。
27.根据条款25或条款26所述的方法,包括:使用传感器系统测量第一偏振类型和第二偏振类型的重定向辐射的光学特性。
28.一种计算机程序产品,包括其上记录有指令的计算机非暂态可读介质,该指令当由计算机执行时,实现根据条款1至7或24至27中任一项所述的方法。
29.一种系统,包括:
硬件处理器系统;以及
非暂态计算机可读存储介质,其被配置为存储机器可读指令,其中该机器可读指令当执行时,使得硬件处理器系统执行根据条款1至7或24至27中任一项所述的方法。
30.一种用于测量图案化过程的物体的量测装置,该量测装置被配置为执行根据条款1至7或24至27中任一项所述的方法。
31.一种系统,包括:
量测装置,其被配置为将辐射束提供到物体表面上并且检测由物体表面上的结构重定向的辐射;以及
根据条款28所述的计算机程序产品。
32.根据条款31所述的系统,还包括光刻装置,该光刻装置包括支撑结构,其被配置为保持图案化设备以调制辐射束;以及投影光学系统,其被布置为将调制后的辐射束投射到辐射敏感衬底上,其中物体为衬底,并且光刻装置被配置为基于使用量测装置和计算机程序产品获得的信息来控制光刻装置的设置。
尽管上文可能已经在光学光刻的上下文中具体参考了本公开的实施例的使用,但是将会理解,本公开可以用于其他应用,例如,纳米压印光刻,并且在上下文允许的情况下,不限于光刻。在纳米压印光刻的情况下,图案化设备是压印模板或模具。
本文中所使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,其包括紫外线(UV)辐射(例如,具有或约为365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外线(EUV)辐射(例如,具有范围介于5nm和20nm之间的波长)以及粒子束(诸如离子束或电子束)。
在上下文允许的情况下,术语“透镜”可以是指各种类型的光学部件中的任一种或组合,该光学部件包括折射部件、反射部件、磁性部件、电磁部件和静电光学部件。
本文中对越过或通过阈值的引用包括具有小于特定值或小于或等于特定值的值的事物、具有大于特定值或大于或等于特定值的值的事物、基于(例如)参数等而排名高于或低于其他事物(例如,通过排序)的事物。
本文中对误差的校正(correcting或correction)的引用包括消除误差或将误差减小到公差范围内。
如本文中所使用的术语“优化(optimizing和optimization)”是指或意指调整光刻装置、图案化过程等,使得光刻或图案化处理的结果和/或过程具有更期望的特性,诸如衬底上的设计布局的投影精度更高、过程窗口更大等。因此,本文中所使用的术语“优化”是指或意指标识一个或多个变量的一个或多个值的过程,其与该一个或多个变量的一个或多个值的初始集合相比较,提供至少一个相关指标的改进,例如,局部最优。“最优”和其他相关术语应当据此进行解释。在一个实施例中,可以迭代应用优化步骤以提供一个或多个指标的其他改进。
在系统的优化过程中,系统或过程的品质因数可以表示为成本函数。优化过程归结为找到对成本函数进行优化(例如,最小化或最大化)的系统或过程的参数(设计变量)集合的过程。成本函数可以依据优化的目标而具有任何合适的形式。例如,成本函数可以是系统或过程的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS);成本函数还可以是这些偏差中的最大值(即,最差偏差)。本文中的术语“评估点”应当广义地解释为包括系统或过程的任何特性。系统的设计变量可以局限于由于系统或过程的实现方式的实践的相互依赖性和/或有限范围。在光刻装置或图案化过程的情况下,约束通常与硬件的物理性质和特性(诸如可调范围、和/或图案化设备的可制造性设计规则)相关联,并且评估点可以包括衬底上的抗蚀剂图像上的物理点以及非物理特性(诸如剂量和焦点)。
尽管上文对本公开的特定实施例进行了描述,但是将会理解,可以以不同于所描述的方式实践本公开。例如,本公开可以采用计算机程序或数据存储介质(例如,半导体存储器、磁盘或光盘)的形式,该计算机程序包含描述如上文所公开的方法的一个或多个机器可读指令序列,该数据存储介质中存储有这种计算机程序。
在框图中,所图示的部件被描绘为分立功能框,但是实施例不限于其中如所图示的对本文中所描述的功能进行组织的系统。由部件中的每个部件所提供的功能可以与当前所描绘的不同方式进行组织的软件模块或硬件模块来提供,例如,这样的软件或硬件可以混杂、联合、复制、分解、分布(例如,在数据中心内或在地理上)、或以不同方式组织。本文中所描述的功能可以由执行存储在有形、非暂态机器可读介质上的代码的一台或多台计算机的一个或多个处理器提供。在一些情况下,第三方内容分发网络可以托管通过网络传输的部分或全部信息,在这种情况下,就信息(例如,内容)被据以供应或以其他方式提供的范围而言,该信息可以通过发送命令以从内容分发网络检索该信息来提供。
除非另有明确说明,否则从讨论中显而易见的是,将会理解,在整个说明书中,利用诸如“处理”、“计算(computing)”、“计算(calculating)”、“确定”等术语的讨论是指特定装置(诸如专用计算机或类似的专用电子处理/计算设备)的动作或过程。
读者将会理解,本申请描述了几个发明。申请人没有将这些发明分成多个孤立的专利申请,而是将这些发明归为单个文档,因为它们的相关主题可以使申请过程更加经济。但是,这些发明的独特优点和方面不应混为一谈。在一些情况下,实施例解决了本文中所指出的所有缺陷,但是应当理解,本发明是独立有用的,并且一些实施例仅解决了这些问题的子集,或者提供了其他未提及的益处,这些益处对于查看本公开的本领域技术人员而言是显而易见的。由于成本的限制,所以本文中所公开的一些发明目前可能没有要求保护,并且可能在稍后申请(诸如继续申请或通过修改本权利要求书)中要求保护。同样,由于篇幅所限,所以本文档的发明摘要或发明内容部分均不应视为包含所有此类发明或此类发明的所有方面的全面罗列。
应当理解,说明书和附图并非旨在将本发明限制为所公开的特定形式,相反,其意图是涵盖落入由所附的权利要求书限定的本发明的精神和范围内的所有修改、等同形式和备选形式。
鉴于该描述,本发明的各方面的修改和备选实施例对于本领域技术人员而言是显而易见的。因而,该描述和附图应当被解释为仅具有说明性,并且目的是向本领域技术人员教导实施本发明的一般方式。应当理解,本文中所示出和描述的本发明的形式将被视为实施例的示例。在受益于本发明的该描述之后,以下的事项对于本领域的技术人员而言都是显而易见的:针对本文中所图示和描述的那些元件和材料,元件和材料可以被替代,可以颠倒或省略部分和材料,可以独立利用某些特征,并且可以组合实施例或实施例的特征。在不脱离如所附权利要求书中所描述的本发明的精神和范围的情况下,可以对本文中所描述的元件进行改变。本文中所使用的标题仅用于组织目的,并不意指用来限制本说明书的范围。
如在整个申请中所使用的,词语“可以(may)”以许可的意义(即,意指具有潜在性)而非强制性的意义(即,意指必须)使用。词语“包括(include、including和includes)”等意指包括但不限于。如在整个申请中所使用的,除非内容明确地另外指出,否则单数形式的“一”、“一个”和“该”包括复数个指示物。因此,例如,对“一个”元件或“一”元件的引用包括两个或更多个元件的组合,尽管对于一个或多个元件使用了其他术语和短语,诸如“一个或更多个”。除非另有说明,否则“或”是非排他性的,即,涵盖“和”和“或”两者。描述条件关系的术语(例如,“响应于X,Y”、“在X,Y时”、“如果X,Y”、“当X,Y时”)等涵盖因果关系,其中前因是必要因果条件,前因是充分因果条件,或者前因是后果的有贡献的因果条件,例如,“状态X在获得条件Y时发生”与“X仅在Y时发生”和“X在Y和Z时发生”通用。这种条件关系不限于紧跟前因获得的后果,因为一些后果可能会延迟,并且在条件语句中,前因与其后果相关联,例如,前因与后果发生的可能性有关。除非另有说明,否则其中多个属性或功能被映射到多个物体(例如,执行步骤A,B,C和D的一个或多个处理器)的语句涵盖以下两者:所有这些属性或功能被映射到所有这些物体,以及属性或功能的子集被映射到属性或功能的子集(例如,涉及以下两者:所有处理器各自执行步骤A至D,以及处理器1执行步骤A、处理器2执行步骤B和步骤C的一部分并且处理器3执行步骤C的一部分和步骤D的情况)。进一步地,除非另外说明,否则一个值或动作“基于”另一条件或值的语句涵盖以下两者实例:条件或值是唯一因素的实例和条件或值是多个因素中的一个因素的实例。除非另有说明,否则不应将某个集合的“每个”实例具有一些性质的语句理解为排除更大集合中某些在其他方面相同或相似的成员没有该特性的情况,即,每个不一定意指逐个。
按某些美国专利、美国专利申请或其他材料(例如,文章)通过引用并入的程度,此类美国专利、美国专利申请和其他材料的文本仅按这些材料与本文中所陈述的语句和附图之间不存在冲突的程度通过引用并入。在发生这种冲突的情况下,这种通过引用并入的美国专利、美国专利申请和其他材料中的任何这种冲突文本在本文中特别地不会通过引用而并入。
上文的描述旨在是说明性的,而非限制性的。因此,对于本领域的技术人员而言显而易见的是,可以在不背离所陈述的权利要求的范围的前提下,对所描述的本公开进行修改。

Claims (14)

1.一种方法,包括:
使用具有第一方向上的线性偏振的辐射照射量测目标的结构;
接收从所述结构重定向到交叉偏振元件的辐射,所述交叉偏振元件以与具有第三方向上的线性偏振的重定向辐射相分开地,将具有第二方向上的线性偏振的衍射辐射提供至传感器系统,所述第三方向基本上正交于所述第二方向,其中所述交叉偏振元件具有偏振分离轴,使得所述第一方向与所述第二方向成一定角度;以及
使用所述传感器系统测量具有所述第二方向上的线性偏振的所述重定向辐射的光学特性和具有所述第三方向上的线性偏振的重定向辐射的光学特性。
2.根据权利要求1所述的方法,还包括:使用具有正交于所述第一方向的第四方向上的所述线性偏振的辐射单独地照射所述结构,以产生重定向辐射;使用所述交叉偏振元件以与具有所述第三方向上的线性偏振的这种重定向辐射分开地,将具有所述第二方向上的线性偏振的这种重定向辐射提供至所述传感器系统,其中所述交叉偏振元件具有偏振分离轴,使得所述第四方向与所述第三方向成一定角度;以及使用所述传感器系统测量具有所述第二方向上的线性偏振的这种重定向辐射的光学特性和具有所述第三方向上的线性偏振的这种重定向辐射的光学特性。
3.根据权利要求2所述的方法,还包括:仅使用从通过具有所述第一方向上的所述线性偏振的照射辐射所获得的具有所述第三方向上的线性偏振的重定向辐射所测量的光学特性和从通过具有所述第四方向上的所述线性偏振的照射辐射所获得的具有所述第二方向上的线性偏振的重定向辐射所测量的光学特性,来确定用于形成所述量测目标的图案化过程的感兴趣参数。
4.根据权利要求1至3中任一项所述的方法,其中所述角度选自40度至50度的范围。
5.根据权利要求1至4中任一项所述的方法,其中所述目标是设备图案本身的一部分。
6.根据权利要求1至5中任一项所述的方法,其中所述结构在标称物理配置下具有几何对称性,其中由图案化过程引起的所述结构的与所述标称物理配置不同的物理配置导致检测到的所述光学特性表示的不对称光学特性分布,并且包括:从检测到的所述光学特性表示确定感兴趣参数,其中所述感兴趣参数测量所述物理配置的改变。
7.根据权利要求1、2、或4至6中任一项所述的方法,还包括:使用在所述第三方向上具有线性偏振的所述重定向辐射的所测量的光学特性和在所述第三方向上具有线性偏振的所述重定向辐射的所测量的光学特性,来确定用于制造所述量测目标的图案化过程的感兴趣参数的值。
8.一种量测装置,包括:
光学元件,其被配置为将第一方向上具有线性偏振的辐射提供到目标的结构上;
传感器系统,其被配置为测量从所述结构重定向的辐射的光学特性;以及
交叉偏振元件,其被配置为接收从所述结构重定向的辐射并且以与具有第三方向上的线性偏振的重定向辐射分开地,将具有第二方向上的线性偏振的重定向辐射提供至所述传感器系统,所述第三方向基本上正交于所述第二方向,其中所述交叉偏振元件具有偏振分离轴,使得所述第一方向与所述第二方向成一定角度。
9.根据权利要求8所述的量测装置,其中所述角度选自40度至50度的范围。
10.根据权利要求8或9所述的量测装置,其中所述目标是设备图案本身的一部分。
11.根据权利要求8至10中任一项所述的量测装置,其中所述结构在标称物理配置下具有几何对称性,其中由图案化过程导致的所述结构的与所述标称物理配置不同的物理配置导致所检测到的所述光学特性表示的不对称光学特性分布;以及处理器系统,其被配置为从所检测到的所述光学特性表示确定感兴趣参数,其中所述感兴趣参数测量所述物理配置的改变。
12.根据权利要求8至11中任一项所述的量测装置,包括处理器系统,其被配置为使用具有所述第二方向上的线性偏振的所述重定向辐射的所测量的光学特性和/或具有所述第三方向上的线性偏振的所述重定向辐射的所测量的光学特性,来确定用于制造所述量测目标的图案化过程的感兴趣参数的值。
13.根据权利要求12所述的量测装置,其中所述处理器系统被配置为将具有第四方向上的线性偏振的辐射单独地提供到所述目标的所述结构上,以产生重定向辐射,其中所述交叉偏振元件被配置为以与所述第三方向上具有线性偏振的这种重定向辐射分开地,将所述第二方向上具有线性偏振的这种重定向辐射提供至所述传感器系统,其中所述交叉偏振元件具有偏振分离轴,使得所述第四方向与所述第三方向成所述角度,并且所述传感器系统被配置为测量具有所述第二方向上的线性偏振的这种重定向辐射的光学特性和具有所述第三方向上的线性偏振的这种重定向辐射的光学特性;并且所述处理器系统还被配置为使用选自以下各项中的两项或更多项的所述重定向辐射的所测量的光学特性,来确定用于制造所述量测目标的图案化过程的感兴趣参数的值:通过具有所述第一方向上的线性偏振的照射辐射所获得的具有所述第二方向上的线性偏振的重定向辐射、通过具有所述第四方向上的线性偏振的照射辐射所获得的具有所述第二方向上的线性偏振的重定向辐射、通过具有所述第一方向上的线性偏振的照射辐射所获得的具有所述第三方向上的线性偏振的所述重定向辐射、和/或通过具有所述第四方向上的线性偏振的照射辐射所获得的具有所述第三方向上的线性偏振的重定向辐射。
14.根据权利要求13所述的量测装置,其中所述处理器系统被配置为仅使用从通过具有所述第一方向上的线性偏振的照射辐射所获得的具有所述第三方向上的线性偏振的重定向辐射所测量的光学特性和从通过具有所述第四方向上的线性偏振的照射辐射所获得的具有所述第二方向上的线性偏振的重定向辐射所测量的光学特性,来确定所述感兴趣参数。
CN201880058191.3A 2017-09-07 2018-08-31 确定图案化过程参数的方法和量测装置 Active CN111065971B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17189728.3 2017-09-07
EP17189728.3A EP3454124A1 (en) 2017-09-07 2017-09-07 Method to determine a patterning process parameter
PCT/EP2018/073413 WO2019048342A1 (en) 2017-09-07 2018-08-31 METROLOGY METHOD AND APPARATUS FOR DETERMINING A MODELING PROCESSING PARAMETER

Publications (2)

Publication Number Publication Date
CN111065971A true CN111065971A (zh) 2020-04-24
CN111065971B CN111065971B (zh) 2022-03-18

Family

ID=59811139

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880058191.3A Active CN111065971B (zh) 2017-09-07 2018-08-31 确定图案化过程参数的方法和量测装置

Country Status (8)

Country Link
US (2) US10691031B2 (zh)
EP (1) EP3454124A1 (zh)
JP (1) JP6999797B2 (zh)
KR (1) KR102398924B1 (zh)
CN (1) CN111065971B (zh)
IL (1) IL273041B2 (zh)
TW (1) TWI678599B (zh)
WO (1) WO2019048342A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3454124A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
JP7431824B2 (ja) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
CN111716346B (zh) * 2019-03-20 2021-09-17 台达电子工业股份有限公司 机械手臂工具校正方法及其校正装置
EP3770682A1 (en) * 2019-07-25 2021-01-27 ASML Netherlands B.V. Method and system for determining information about a target structure
EP4002015A1 (en) * 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
CN112548790A (zh) * 2020-12-02 2021-03-26 温州兽班机械科技有限公司 一种铸件磨削设备
EP4040233A1 (en) * 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4276537A1 (en) * 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023213527A1 (en) * 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101251718A (zh) * 2007-02-21 2008-08-27 Asml荷兰有限公司 检验方法和设备、光刻设备、光刻单元和器件制造方法
CN102067040A (zh) * 2008-06-26 2011-05-18 Asml荷兰有限公司 重叠测量设备、光刻设备和使用这种重叠测量设备的器件制造方法
CN102804073A (zh) * 2010-03-18 2012-11-28 Asml荷兰有限公司 光刻的检验

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
IL194839A0 (en) * 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036684A1 (nl) * 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) * 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2009004A (en) * 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
NL2017120A (en) 2015-07-16 2017-01-17 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP6387952B2 (ja) * 2015-12-21 2018-09-12 横河電機株式会社 偏光検査装置
US10811323B2 (en) 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3454124A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101251718A (zh) * 2007-02-21 2008-08-27 Asml荷兰有限公司 检验方法和设备、光刻设备、光刻单元和器件制造方法
CN102067040A (zh) * 2008-06-26 2011-05-18 Asml荷兰有限公司 重叠测量设备、光刻设备和使用这种重叠测量设备的器件制造方法
CN102804073A (zh) * 2010-03-18 2012-11-28 Asml荷兰有限公司 光刻的检验

Also Published As

Publication number Publication date
TW201921149A (zh) 2019-06-01
CN111065971B (zh) 2022-03-18
US11409204B2 (en) 2022-08-09
US20200285157A1 (en) 2020-09-10
WO2019048342A1 (en) 2019-03-14
JP6999797B2 (ja) 2022-01-19
US10691031B2 (en) 2020-06-23
IL273041B1 (en) 2023-04-01
TWI678599B (zh) 2019-12-01
KR20200033965A (ko) 2020-03-30
IL273041B2 (en) 2023-08-01
EP3454124A1 (en) 2019-03-13
US20190072862A1 (en) 2019-03-07
IL273041A (en) 2020-04-30
JP2020533622A (ja) 2020-11-19
KR102398924B1 (ko) 2022-05-17

Similar Documents

Publication Publication Date Title
US11710668B2 (en) Method and apparatus to determine a patterning process parameter
US20220066330A1 (en) Method and apparatus to determine a patterning process parameter
CN111065971B (zh) 确定图案化过程参数的方法和量测装置
CN111433679B (zh) 确定与图案化过程有关的信息的方法、减小测量数据中的误差的方法、校准量测过程的方法、选择量测目标的方法
CN110998454B (zh) 用于确定图案化过程参数的方法
CN111316168B (zh) 量测设备、测量结构的方法、器件制造方法
CN111279268B (zh) 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法
CN112782942A (zh) 配置方案选择方法
EP3477392A1 (en) Metrology apparatus, method of measuring a structure, device manufacturing method
EP3477391A1 (en) Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant