KR102360230B1 - 기판 지지부 어셈블리의 열적 안정성 결정 방법 - Google Patents

기판 지지부 어셈블리의 열적 안정성 결정 방법 Download PDF

Info

Publication number
KR102360230B1
KR102360230B1 KR1020140182620A KR20140182620A KR102360230B1 KR 102360230 B1 KR102360230 B1 KR 102360230B1 KR 1020140182620 A KR1020140182620 A KR 1020140182620A KR 20140182620 A KR20140182620 A KR 20140182620A KR 102360230 B1 KR102360230 B1 KR 102360230B1
Authority
KR
South Korea
Prior art keywords
temperature data
substrate support
support assembly
process temperature
control elements
Prior art date
Application number
KR1020140182620A
Other languages
English (en)
Other versions
KR20150070981A (ko
Inventor
올레 발트만
에릭 에이. 파페
카를로스 릴-베르두고
키이스 윌리암 개프
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150070981A publication Critical patent/KR20150070981A/ko
Application granted granted Critical
Publication of KR102360230B1 publication Critical patent/KR102360230B1/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 프로세싱 장치 내에서 기판 지지부 어셈블리의 상부 표면의 열적 안정성을 결정하는 방법으로서, 기판 지지부 어셈블리는 열적 제어 엘리먼트들의 어레이를 포함하고, 열적 제어 엘리먼트들 중 하나 이상의 열적 제어 엘리먼트들은 기판 지지부 어셈블리의 독립적으로 제어가능한 히터 영역들을 형성하고, 열적 제어 엘리먼트들의 어레이는 기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도를 제어하도록 동작가능하고, 이 방법은, 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 플라즈마 프로세싱 프로세스를 수행하기 전에 기판 지지부 어셈블리의 시간 분해된 프로세스 전 온도 데이터를 레코딩하는 단계를 포함한다. 기판 지지부 어셈블리의 상부 표면의 목표된 프로세싱 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 플라즈마 프로세싱 장치 내의 기판 또는 기판들의 배치가 프로세싱되고, 기판 또는 기판들의 배치를 프로세싱한 후에 기판 지지부 어셈블리의 시간 분해된 프로세스 후 온도 데이터를 레코딩되고, 여기서, 프로세스 후 온도 데이터는 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안 레코딩된다. 프로세스 후 온도 데이터는 프로세스 전 온도 데이터와 비교되고, 프로세스 후 온도 데이터는 프로세스 전 온도 데이터의 목표된 허용 범위 내에 있는지 결정된다.

Description

기판 지지부 어셈블리의 열적 안정성 결정 방법{METHOD OF DETERMINING THERMAL STABILITY OF A SUBSTRATE SUPPORT ASSEMBLY}
본 발명은 플라즈마 프로세싱 장치들에 관한 것이고, 보다 구체적으로 플라즈마 프로세싱 장치의 통계적 프로세스 제어에 관한 것이다.
집적 회로들은 그 위에 패터닝된 마이크로전자층들 (microelectronics layers) 이 형성된 기판 또는 반도체 기판으로부터 형성된다. 기판의 프로세싱 시, 플라즈마는 종종 기판 상에 막들을 증착하거나 막들의 의도된 부분들을 에칭하기 위해 채용된다. 축소되는 피처 크기들 및 다음 세대 마이크로전자층들에서 새로운 재료들의 구현은 플라즈마 프로세싱 장비에 새로운 요건들을 부여하였다. 플라즈마 프로세싱 동안, 수 백개의 기판들이 프로세싱될 수도 있다. 그러나, 모든 프로세싱된 기판들이 수용가능한 품질의 표준일 수는 없다. 보다 작은 피처들, 보다 큰 기판 크기들, 및 새로운 프로세싱 기법들이 플라즈마 프로세싱의 조건들을 제어하기 위해 플라즈마 프로세싱 장치들 내에서 개선을 필요로 하고, 무엇보다 이는 개선된 균일성, 일관성, 및 자기 진단 능력을 갖는 플라즈마 프로세싱 장치들을 필요로 한다. 따라서, 수용가능한 품질 표준이 아닐 수도 있는 기판들을 식별하기 위해, 결함 검출이 구현될 수도 있다. 본 명세서에서 논의된 바와 같이, 결함 검출은 잠재적으로 문제가 있는 기판들을 식별하는 프로세스를 말한다.
플라즈마 프로세싱 장치 내에서 기판 지지부 어셈블리의 상부 표면의 열적 안정성을 결정하는 방법이 개시되고, 기판 지지부 어셈블리는 열적 제어 엘리먼트들의 어레이를 포함하고, 열적 제어 엘리먼트들 중 하나 이상의 열적 제어 엘리먼트들은 기판 지지부 어셈블리의 독립적으로 제어가능한 히터 영역들을 형성하고, 열적 제어 엘리먼트들의 어레이는 기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도를 제어하도록 동작가능하다. 이 방법은, 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 플라즈마 프로세싱 프로세스를 수행하기 전에 기판 지지부 어셈블리의 시간 분해된 프로세스 전 온도 데이터를 레코딩하는 단계를 포함한다. 기판 지지부 어셈블리의 상부 표면의 목표된 프로세싱 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 플라즈마 프로세싱 장치 내의 기판 또는 기판들의 배치 (batch) 가 프로세싱되고, 기판 또는 기판들의 배치를 프로세싱한 후에 기판 지지부 어셈블리의 시간 분해된 프로세스 후 온도 데이터를 레코딩되고, 여기서, 프로세스 후 온도 데이터는 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안 레코딩된다. 프로세스 후 온도 데이터는 프로세스 전 온도 데이터와 비교되고, 프로세스 후 온도 데이터는 프로세스 전 온도 데이터의 목표된 허용 범위 내에 있는지 결정된다.
이하의 기술에서, 다수의 구체적인 상세들은 본 명세서에 기술된 실시예들의 전체적인 이해를 제공하기 위해 언급되었다. 그러나, 본 명세서에 기술된 실시예들은 이들 구체적인 상세들의 일부가 없이도 실시될 수 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 구현예 상세들 및 프로세스 동작들은 이미 공지된 것이라면 상세히 기술되지 않았다. 부가적으로, 본 명세서에 사용된 바와 같이, 용어 “약”은 수적인 값들을 참조하여 사용될 때 ± 10 %까지 참조한다.
본 명세서에 기술된 다양한 실시예들은 본 명세서에 기술된 실시예들을 수행하기 위한 소프트웨어 알고리즘과 같은 컴퓨터 판독가능 인스트럭션들이 저장된 컴퓨터 판독가능 매체 및 본 명세서에 기술된 실시예들을 제어하기 위한 제어 시스템들을 포함하는 제조 물품뿐만 아니라 방법들 및 기법들을 포함한다. 컴퓨터 판독가능 매체는 예를 들어, 반도체, 자기, 광학-자기, 광학, 또는 컴퓨터 판독가능 코드를 저장하기 위한 컴퓨터 판독가능 매체를 포함할 수도 있다. 또한, 본 명세서에 기술된 실시예들은 본 명세서에 개시된 방법들과 관련된 태스크들을 수행하기 위한 전용 및/또는 프로그래밍가능한 회로들을 포함할 수 있다. 이러한 장치의 예들은 적절히 프로그램될 때 범용 컴퓨터 및/또는 전용 컴퓨팅 디바이스를 포함하고 본 명세서에 기술된 실시예들과 관련된 다양한 태스크들을 위해 구성된 컴퓨터/컴퓨팅 디바이스 및 전용/프로그램가능 회로들의 조합을 포함할 수도 있다.
기판 지지부 어셈블리는 반도체 플라즈마 프로세싱 동안, 반도체 기판 지지, 열적 제어 엘리먼트들의 어레이가 기판 지지부 어셈블리의 상부 표면의 온도를 튜닝하기 위해 사용될 수 있는 기판 온도 튜닝, 무선 주파수 전력 공급과 같은 다양한 기능들을 위해 구성될 수도 있다. 기판 지지부 어셈블리들 및 기판 지지부 어셈블리들을 위한 히팅 장치들의 예시적인 실시예들은 공동으로 양도된 미국 특허 제 8,461,674 호, 공동으로 양도된 미국 공개 출원 번호 제 2011/0092072 호, 제 2013/0072035 호, 제 2013/0068750 호, 제 2012/0115254 호, 제 2013/0220989 호, 및 제 2011/0143462 호, 및 공동으로 양도된 미국 특허 출원 번호 제 13/908,676 호에서 찾을 수 있고, 이들 모두는 전체가 참조로서 본 명세서에 통합되었다. 전력 공급부 및 전력 스위칭 시스템에 전기적으로 접속된 열적 제어 엘리먼트들의 어레이를 포함하는 기판 지지부 어셈블리를 위한 전력 공급부 및 전력 스위칭 시스템은 공동으로 양도된 미국 특허 출원 번호 제 13/690,745 호에서 찾을 수 있고, 이는 전체가 참조로서 본 명세서에 통합되었다.
기판 지지부 어셈블리는 프로세싱 동안 기판 지지부 어셈블리의 상부 표면 상에 기판을 정전기적으로 클램핑하는데 유용한 정전척 (ESC) 을 포함할 수 있다. 기판 지지부 어셈블리는 세라믹 기판 홀더, 유체-냉각된 히트 싱크 (즉, 냉각 플레이트), 히터 플레이트, 및 단계별 및 방사상의 온도 제어, 방위각 온도 제어, 및/또는 기판 지지부 어셈블리의 다이별 온도 제어를 실현하기 위한 복수의 독립적으로 제어가능한 히터 영역을 포함할 수도 있다. 예를 들어, 일 실시예에서, 유체-냉각된 히트 싱크는 약 -20 ℃ 내지 80 ℃로 유지될 수 있고, 열적 제어 엘리먼트들 (히터들) 의 어레이는 상부 표면 및 이에 따라, 기판 지지부 어셈블리의 상부 표면 상에 지지된 기판을 유체 냉각된 히트 싱크 온도보다 높은 약 0 ℃ 내지 90 ℃의 온도로 유지할 수 있다. 독립적으로 제어가능한 히터 영역들은 열적 제어 엘리먼트들의 어레이의 열적 제어 엘리먼트 각각에 공급된 히터 전력을 제어함으로써 형성될 수 있어서 기판 지지부 어셈블리의 상부 표면의 온도 프로파일을 허용하여, 기판 지지부 어셈블리의 상부 표면 상에 지지된 기판의 온도 프로파일은 공간적으로 및/또는 시간적으로 제어된다.
그러나, 온도 제어는 몇몇 이유들 때문에 쉬운 태스크가 아니다. 첫번째로, 히트 소스들 및 히트 싱크들의 위치들, RF 프로파일, 및 매체의 운동, 재료, 및 형상들과 같은 많은 요인들이 열 전달에 영향을 줄 수 있다. 두번째로, 열 전달은 동적인 프로세스이다. 문제의 시스템이 열 평형 상태에 있지 않는 한, 열 전달이 일어날 것이고 온도 프로파일 및 열 전달은 시간에 따라 변할 것이다. 세번째로, 플라즈마 프로세싱 동안 생성된 플라즈마와 같은 비-평형 현상은 임의의 실제 플라즈마 프로세싱 장치의 열 전달 거동의 이론적 예측을 불가능하지 않다면 매우 어렵게 한다. 네번째로, 독립적으로 제어 가능한 히터 영역을 형성하는 둘 이상의 열적 제어 엘리먼트들 간의 위치들에서 기판 지지부 어셈블리의 상부 표면 상의 온도는 열적 제어 엘리먼트 각각의 공지의 온도보다 클 수 있어서, 기판 지지부 어셈블리의 상부 표면의 온도 프로파일, 따라서 기판 지지부 어셈블리의 상부 표면 상에 지지된 기판의 온도 프로파일의 모니터링 어려움을 증가시킨다.
열적 제어 엘리먼트들의 어레이에 의해 형성된 기판 지지부 어셈블리 내의 다수의 독립적으로 제어가능한 히터 영역들 및 아래에 놓은 히트 싱크들을 사용하여도, 기판 지지부 어셈블리에 의해 제어된 프로세싱 조건들은 다수의 기판들 또는 다수의 기판들의 배치들이 프로세싱될 때 시간에 따라 변할 수도 있다. 또한, 플라즈마 프로세싱 장치 내의 기판을 프로세싱하는 동안, 프로세싱 조건들을 변화시키는 것은 온도 데이터를 모니터링하는 센서들에 의해 잡음이 수집되게 한다. 따라서, 잡음은 모니터링된 프로세싱 온도 데이터로부터 필터링되는 것이 바람직하다. 따라서, 장치가 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도 프로파일을 능동적으로 생성하고 유지하게 하도록 기판 지지부 어셈블리 내의 다수의 독립적으로 제어가능한 히터 영역들의 열적 안정성을 모니터링하는 것이 바람직하다. 따라서, 통계적 프로세스 제어, 및 바람직하게 잡음 필터링 기법들을 활용하여, 기판 지지부 어셈블리의 상부 표면 온도는 기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도 프로파일 (즉, 온도 출력) 에 대해 결정되고, 모니터링되고, 및/또는 안정화될 수 있다. 이러한 방식으로 프로세싱될 기판 또는 기판들의 배치에 걸친 프로세싱 에칭 또는 증착 레이트들의 불균일성과 같은, 프로세싱 반도체 기판들과 연관된 제조 비용들 및 프로세싱 에러들이 저감될 수 있다.
본 명세서에서 용어가 채용될 때, 통계적 분석 또는 통계적 프로세스 제어 (SPC) 는 온도 데이터의 측정된 파라미터 또는 도출된 파라미터 또는 측정되거나 도출된 파라미터들의 그룹의 통계적 거동의 분석 및 이러한 거동과 이력적 (기준 (baseline)) 통계적 제한들의 비교를 뜻한다. 이러한 통계적 분석 또는 SPC는 측정된 파라미터가 엄격하거나 관대한 (soft) 허용 범위와 같은 허용가능한 범위 내에 있는지 여부, 또는 플라즈마 프로세싱 장치의 특정한 서브시스템 또는 시스템이 실패, 결함, 또는 다른 문제들의 특정한 프로세스 상태를 나타내는 거동들 또는 경향들을 나타내는지 여부에 관한 정보를 산출할 수도 있다. SPC는 기판 지지부 어셈블리의 상부 표면 온도의 열적 안정성을 결정하고, 기판 지지부 어셈블리의 열적 출력을 제어하는 파라미터들 및 도출된 파라미터들을 모니터링하고, 기판 지지부 어셈블리의 열적 출력 (즉, 기판 지지부의 상부 표면의 온도) 을 제어하도록 사용될 수 있다.
플라즈마 프로세싱 장치 내의 기판 지지부 어셈블리의 상부 표면의 열적 안정성을 결정하기 위해, 기판 지지부 어셈블리의 시간 분해된 프로세스 전 온도 데이터 (프로세싱되기 전 온도 데이터) 는 플라즈마 프로세싱 장치의 플라즈마 프로세싱 프로세스를 수행하기 전에 레코딩될 수 있고, 여기서 열적 제어 엘리먼트들의 어레이는 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 전력이 공급된다. 기판 지지부 어셈블리의 프로세싱 전 온도 데이터가 레코딩된 후, 기판 또는 기판들의 배치는 기판 지지부 어셈블리의 상부 표면의 목표된 프로세싱 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력이 공급되는 동안 플라즈마 프로세싱 장치 내에서 프로세싱될 수 있다. 기판, 기판들의 배치, 또는 대안적인 실시예에서 기판들의 다수의 배치들이 프로세싱된 후, 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력이 공급되는 동안, 기판 지지부 어셈블리의 시간 분해된 프로세스 후 온도 데이터 (프로세싱된 후 온도 데이터) 가 레코딩된다. 바람직하게, 프로세스 전 온도 데이터 및 프로세스 후 온도 데이터는 동일한 조건들 하에서, 기판 지지부 어셈블리의 상부 표면의 동일한 목표된 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력이 공급되는 동안 레코딩된다. 프로세스 후 온도 데이터는 프로세스 전 온도 데이터와 비교되어, 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 목표된 허용 범위 내에 있는지 여부가 결정된다. 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 목표된 허용 범위 내에 있는지 결정함으로써, 기판 지지부 어셈블리의 특성들을 변화시키는 것이 모니터링될 수 있고, 기판 지지부 어셈블리의 특성들은 사용 함수로서 변화를 겪는다. 결과적으로, 사용 함수로서 변할 수도 있고 따라서 기판 온도에 대응하는 변화를 유발하는, 기판 지지부 어셈블리의 열적 퍼포먼스는 모니터링되고, 검출되고, 및/또는 안정화될 수 있다.
바람직한 실시예에서, 이 방법은 기판 지지부 어셈블리의 상부 표면의 목표된 프로세싱 공간적 및 시간적 온도를 달성하기 위해 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안 기판 또는 기판들의 배치를 프로세싱하면서 시간 분해된 프로세스 온도 데이터 (프로세스 온도 데이터) 를 레코딩하는 단계를 포함하는 것이 바람직하다. 시간 분해된 프로세스 온도 데이터는 잡음 및 가외 (outlier) 온도 데이터가 프로세스 온도 데이터로부터 바람직하게 필터링되는 기준 온도 테이터를 확립하도록 사용될 수 있거나, 프로세스 온도 데이터는 프로세스 온도 데이터가 기준 온도 데이터의 목표된 허용 범위 내에 있는지 여부가 결정될 수 있어서, 기판 또는 기판들의 배치를 프로세싱하는 동안 기판 지지부 어셈블리의 프로세싱 조건들을 변화시키는 것이 모니터링되게 한다. 기준 온도 데이터는 공지의 온도 데이터일 수 있고, 수적인 또는 분석적인 모델링에 기초할 수 있고, 예를 들어, Gaussian 프로파일이 가정된 공간적 프로파일들을 계산하기 위해 사용되는 개별 열적 제어 엘리먼트들의 가정된 공간적 프로파일일 수도 있고, 또는 더미 웨이퍼 또는 IR 써모미터 (thermometer) 와 같은 독립적인 수단에 의해 측정된 기판 지지부의 실제 공간적인 특징들일 수 있다. 프로세스 전 온도 데이터는 또한 기판 지지부 어셈블리의 온도 출력과 열적 제어 엘리먼트들로의 전력 입력 간의 관계를 결정하기 위해 초기 기준을 형성하도록 사용될 수 있고, 이 기준은 시간 상으로 나중에 수집된 후속하는 프로세스 전 온도 데이터와 비교될 수 있다. 또한, 프로세스 온도 데이터는 기판 지지부 어셈블리의 조건들을 변화시키는 것을 고려하여 기준 온도 데이터를 조정하도록 사용될 수 있다. 기준 온도 데이터를 결정하고 조정하는 예시적인 방법은 전체가 참조로서 본 명세서에 통합된, 공동으로 양도된 미국 특허 제 7,899,627 호에서 찾을 수 있다.
일 실시예에서, 이 방법은 프로세스 전 온도 데이터, 프로세스 후 온도 데이터, 프로세스 전 온도 데이터와 프로세스 후 온도 데이터 간의 비교, 및/또는 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 목표된 허용 범위 내에 있는지 여부의 결정을 출력, 디스플레이, 및/또는 저장하는 단계를 더 포함한다. 바람직한 실시예에서, 이 방법은 프로세스 온도 데이터, 프로세스 온도 데이터와 기준 온도 데이터 간의 비교, 및/또는 프로세스 온도 데이터가 기준 온도 데이터의 목표된 허용 범위 내에 있는지 여부의 결정을 출력, 디스플레이, 및/또는 저장하는 단계를 더 포함한다.
프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 목표된 허용 범위 밖이거나, 대안적으로, 프로세스 온도 데이터가 기준 온도 데이터의 목표된 허용 범위 밖이면, 사용자에게 경계하는 (alert) 것이 바람직하다. 일 실시예에서, 목표된 허용 범위는 관대한 허용 범위를 포함하고, 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 관대한 허용 범위 밖이거나, 대안적으로, 프로세스 온도 데이터가 기준 온도 데이터의 관대한 허용 범위 밖이면 사용자에게 경고 (warning) 한다. 목표된 허용 레벨은 또한 엄격한 (hard) 허용 범위를 포함하는 것이 바람직하고, 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 엄격한 허용 범위 밖이거나, 대안적으로, 프로세스 온도 데이터가 기준 온도 데이터의 엄격한 허용 범위 밖이면 사용자에게 경고하거나 후속 플라즈마 프로세스가 중단된다. 관대한 허용 범위 및 엄격한 허용 범위는 기판 지지부 어셈블리의 열적 안정성의 열적 안정성이 열화되거나 기판 지지부 어셈블리의 열적 안정성이 허용가능하지 않은 것으로 간주될 때를 결정하도록 확립될 수도 있다. 관대한 허용 범위 및 엄격한 허용 범위는 퍼센티지 차이, 또는 프로세스에 따라, 프로세스 전 온도 데이터 또는 기준 온도 데이터 이상 및/또는 이하의 양을 참조한다. 엄격한 허용 범위는 관대한 허용 범위를 포괄한다. 기판 지지부 어셈블리의 열적 안정성은 통상적으로 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 엄격한 허용 범위 내이거나, 대안적으로, 프로세스 온도 데이터가 기준 온도 데이터의 엄격한 허용 범위 내인 한 허용가능한 것으로 간주될 수도 있다.
사용자의 요청에 따라, 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 관대한 허용 범위 밖이거나, 대안적으로, 프로세스 온도 데이터가 기준 온도 데이터의 관대한 허용 범위 밖에 있는 기판 지지부 어셈블리는 주의를 끌 수도 있고, 알람이 발생될 수도 있다. 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 엄격한 허용 범위 밖이거나, 대안적으로, 프로세스 온도 데이터가 기준 온도 데이터의 엄격한 허용 범위 밖이면, 프로세스 또는 후속 프로세스가 중단될 수도 있다. 따라서, 프로세스 후 온도 데이터를 레코딩하는 동안, 또는 프로세스 온도 데이터를 레코딩하는 동안 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 엄격한 허용 범위 밖이거나 프로세스 온도 데이터가 기준 온도 데이터의 엄격한 허용 범위 밖인 것과 같은 문제가 온도 데이터의 파라미터와 함께 검출되면, 사용자는 중요한 파라미터, 이러한 파라미터와 연관된 플라즈마 프로세싱 장치의 특정한 컴포넌트, 및 특정한 프로세스 단계 또는 심지어 특정한 프로세스 단계의 서브단계를 빨리 정확히 찾아낼 수 있고, 파라미터 값은 예상된 범위로부터 벗어나기 시작한다. 이 정보는 플라즈마 프로세싱 장치가 빠르게 생산으로 돌아가게 하는, 프로세스 조정 또는 수리 프로세스를 결정하기 위해 사용될 수 있다.
바람직한 실시예에서 프로세스 온도 데이터는 프로세스 온도 데이터를 레코딩하는 동안 수집된 잡음을 저감시키도록 필터링된다. 프로세스 온도 데이터는 프로세스 온도 데이터를 시간에 걸쳐 평균화함으로써, 프로세스 온도 데이터의 평균 값, 최대 값, 또는 최소 값을 찾음으로써 필터링될 수 있다. 프로세스 전 온도 데이터 및/또는 프로세스 후 온도 데이터는 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도의 정상 상태를 달성하기 위해 기판 지지부 어셈블리에 전력이 공급되는 동안 수집되는 것이 바람직하다. 일 실시예에서, 프로세스 전 온도 데이터 및 프로세스 후 온도 데이터의 평균 값은 이들 간의 비교 동안 찾아진다.
바람직한 실시예에서, 프로세스 온도 데이터가 기준 온도 데이터를 확립하거나 업데이트하기 위해 사용되고, 레코딩된 가외 온도 데이터는 프로세스 온도 데이터로부터 제거된다. 가외 온도 데이터는 가외 온도 데이터가 프로세스 온도 데이터로부터의 기준을 결정하는 전체 결과들에 영향을 줄 수도 있는 임의의 잠재적인 문제들을 설명하기 위해 제거되는, 통계적인 방법을 사용하여 결정되는 것이 바람직하다. 바람직하게, 가외 온도 데이터는 프로세스 온도 데이터로부터 의심되는 가외 온도 데이터를 제거하기 위해 Dixon Q-테스트를 사용함으로써 제거된다. Dixon Q-테스트는 90 %의 신뢰 수준으로, 보다 바람직하게 95 % 또는 99 %의 신뢰 수준으로 레코딩된 프로세스 온도 데이터를 분석함으로써 가외 프로세스 온도 데이터를 제거하도록 구성되는 것이 바람직하다.
바람직한 실시예에서, 기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도가 결정될 수 있도록, 보다 바람직하게, 기판 지지부 어셈블리의 독립적으로 제어가능한 히터 영역들 각각의 온도 출력이 결정될 수 있도록, 프로세스 전 온도 데이터, 프로세스 후 온도 데이터, 및/또는 프로세스 온도 데이터가 분석되는 것이 바람직하다. 바람직한 실시예에서, 기판 지지부 어셈블리의 독립적으로 제어가능한 히터 영역 각각은 플라즈마 프로세싱 장치 내에서 프로세싱될 기판의 각각의 디바이스 다이 위치에 대응하도록 형성된다. 바람직하게, 프로세스 전 온도 데이터, 프로세스 후 온도 데이터, 및/또는 프로세스 온도 데이터는 수적인 분석 및/또는 분석적인 모델링을 통해 분석된다. 이러한 방식으로, 기판의 각각의 디바이스 다이 위치에 대응하는 독립적으로 제어가능한 히터 영역 각각의 열적 안정성이 결정될 수 있다. 따라서, 기판 지지부 어셈블리의 열적 안정성, 및 플라즈마 프로세싱 장치 내에서 프로세싱될 기판의 각각의 디바이스 다이 위치에 대응하는 독립적으로 제어가능한 히터 영역 각각의 열적 안정성이 결정될 수 있다. 따라서, 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 목표된 허용 범위 내에 있지 않거나, 대안적으로 프로세스 온도 데이터가 기준 온도 데이터의 목표된 허용 범위 내에 있지 않으면, 플라즈마 프로세싱 장치의 컴포넌트 또는 플라즈마 프로세싱 장치 내에서 수행된 프로세스가 검사, 유지 보수, 수리 및/또는 업데이트된 입력 파라미터들을 요구함으로써 식별될 수 있다.
바람직한 실시예에서, 기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도는 기판 지지부 어셈블리 내에 포함된 열적 제어 엘리먼트들의 어레이의 열적 제어 엘리먼트들의 공간적 주파수에 독립적인 공간적 주파수에 맵핑되고, 보다 바람직하게, 기판 지지부 어셈블리의 상부 표면 상에 지지된 기판의 상부 표면의 공간적 및 시간적 온도는 기판 지지부 어셈블리 내에 포함된 열적 제어 엘리먼트들의 어레이의 열적 제어 엘리먼트들의 공간적 주파수에 독립적인 공간 주파수에 맵핑된다. 이러한 방식으로, 기판 지지부 어셈블리의 상부 표면 및/또는 기판 지지부 어셈블리의 상부 표면 상에 지지된 기판의 공간적 및 시간적 온도는 모니터링될 수 있고 기판 지지부 어셈블리에 포함된 단일 독립적인 열적 제어 엘리먼트의 길이보다 짧은 치수들로 제어될 수 있다.
바람직한 실시예에서, 열적 제어 엘리먼트들의 어레이는 전력 공급부에 의해 열적 제어 엘리먼트들의 독립적으로 제어가능한 히터 영역들의 스케일링 가능한 멀티플렉싱 레이아웃 스킴을 통해 전력이 공급된다. 독립적으로 제어가능한 히터 영역들을 형성하는 열적 제어 엘리먼트들의 전력을 튜닝함으로써, 독립적으로 제어가능한 히터 영역 각각은 열적 제어 엘리먼트들의 어레이의 하나 이상의 열적 제어 엘리먼트들에 의해 형성되고, 기판 지지부 어셈블리의 상부 표면의 온도 프로파일은 시간적으로 제어될 수 있고, 프로세싱 동안, 방사상으로, 방위각적으로, 및 다이별로 또는 배치 좌표 양자로 성형될 수 있다.
열적 제어 엘리먼트들의 어레이에 전기적으로 접속된 전력 공급부는 기판 아래의 독립적으로 제어가능한 히터 영역 각각에서 목표된 온도를 달성하기에 충분한 시간 기간 및 충분한 범위의 전류를 공급하도록 제어될 수 있고, 기판 지지부 어셈블리는 기판 지지부 어셈블리의 상부 표면 상에 지지된 기판의 각각의 다이 위치에 대응하는 독립적으로 제어가능한 히터 영역을 포함하는 것이 바람직하다. 바람직한 실시예에서, 열적 제어 엘리먼트 각각 또는 열적 제어 엘리먼트들의 어레이의 열적 제어 엘리먼트들의 그룹 각각에 걸친 전압은 일정하게 유지된다. 예를 들어, 전력 공급부는 기판 아래에 독립적으로 제어가능한 히터 영역들을 형성하는 열적 제어 엘리먼트들 각각에 동일한 값을 갖는 전류들을 공급할 수 있다. 대안적으로, 독립적으로 제어가능한 히터 영역을 형성하는 열적 제어 엘리먼트들 각각에 공급된 전류들은 상이한 값들을 가질 수도 있고, 열적 제어 엘리먼트 각각에 공급된 전류는 독립적으로 제어가능한 히터 영역의 목표된 온도를 달성하도록 선택된다. 또한, 독립적으로 제어가능한 히터 영역의 동적 온도 제어를 허용하도록 독립적으로 제어가능한 히터 영역을 형성하는 열적 제어 엘리먼트들에 전류가 공급된다. 이러한 동적 온도 제어는 기판 표면에 걸쳐 목표된 온도 분포가 프로세싱 동안 유지될 수 있도록, 반도체 프로세싱으로 인한 기판 표면의 상이한 지역들에서 기판 표면의 온도들의 차이를 보상할 수 있다.
기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도 출력, 또는 대안적으로 하나 이상의 열적 제어 엘리먼트들에 의해 형성된 기판 지지부 어셈블리의 하나 이상의 독립적으로 제어가능한 히터 영역들의 공간적 및 시간적 온도 출력은 레코딩된 시간 분해된 프로세스 전 온도 데이터, 시간 분해된 프로세스 온도 데이터, 및/또는 시간 분해된 프로세스 후 온도 데이터의 적어도 2 개의 파라미터들을 분석함으로써 결정될 수 있다. 파라미터들은 독립적으로 제어가능한 히터 영역 각각을 형성하는 기판 지지부 어셈블리 내의 하나 이상의 열적 제어 엘리먼트들에 공급된 전력 레벨 (본 명세서에서 전력으로 사용됨), 독립적으로 제어가능한 히터 영역 각각을 형성하는 기판 지지부 어셈블리 내의 하나 이상의 열적 제어 엘리먼트들에 걸친 전압 (본 명세서에서 전압으로 사용됨), 독립적으로 제어가능한 히터 영역 각각을 형성하는 기판 지지부 어셈블리 내의 하나 이상의 열적 제어 엘리먼트들에 공급된 전류 (본 명세서에서 전류로 사용됨), 독립적으로 제어가능한 히터 영역 각각을 형성하는 하나 이상의 열적 제어 엘리먼트들의 저항값 (본 명세서에서 저항값으로 사용됨), 기판 지지부 어셈블리의 상부 표면의 온도 출력, 및/또는 기판 지지부 어셈블리의 하나 이상의 독립적으로 제어가능한 히터 영역의 온도 출력과 같은 측정된 파라미터들 및 도출된 파라미터들을 포함할 수 있다. 독립적으로 제어가능한 히터 영역을 형성하는 하나 이상의 열적 제어 엘리먼트들에 공급된 전력과 독립적으로 제어가능한 히터 영역의 온도 출력 간의 공지의 관계가 기판 지지부 어셈블리의 상부 표면의 온도 출력, 및/또는 기판 지지부 어셈블리의 하나 이상의 독립적으로 제어가능한 히터 영역들의 온도 출력을 결정하기 위해 사용될 수 있다. 또한, 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도로부터 결정된 기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도의 최대 편차가 결정되는 것이 바람직하다.
일 실시예에서, 전류 및/또는 전압 데이터가 시간의 함수로서 레코딩된다. 바람직하게, (측정되거나 도출된) 레코딩될 온도 데이터의 임의의 다른 파라미터뿐만 아니라 전류 및 전압 데이터가 높은 반복 레이트로 레코딩되고, 낮은 반복 레이트는 덜 바람직하다. 예를 들어, 전류 및 전압 데이터는 약 5 ㎐보다 낮은 레이트로 레코딩되는 것이 바람직하고, 약 1 ㎐와 같이 약 0.1 내지 2 ㎐의 레이트가 보다 바람직하다. 독립적으로 제어가능한 히터 영역을 형성하는 열적 제어 엘리먼트들 또는 독립적으로 제어가능한 히터 영역 각각을 형성하는 열적 제어 엘리먼트들 각각의 저항값 및 전력과 같은 온도 데이터의 다른 파라미터들뿐만 아니라 전류 및 전압은 임의의 시간 의존적인 영향들을 제거하기 위해, 프로세싱 전, 프로세싱 및/또는 프로세싱 후 동안 동시에 또는 매우 짧은 지연으로 연속하여 레코딩되어야 한다. 바람직한 실시예에서, 독립적으로 제어가능한 히터 영역을 형성하는 열적 제어 엘리먼트들과 같은, 열적 제어 엘리먼트들의 어레이의 열적 제어 엘리먼트들, 또는 독립적으로 제어가능한 히터 영역 각각을 형성하는 열적 제어 엘리먼트들은 이들의 각각의 저항값들이 이들의 온도에 의존하도록 구성된다. 일 실시예에서, 독립적으로 제어가능한 히터 영역을 형성하는 열적 제어 엘리먼트들과 같은, 열적 제어 엘리먼트들의 어레이의 적어도 하나의 열적 제어 엘리먼트 또는 독립적으로 제어가능한 히터 영역 각각을 형성하는 열적 제어 엘리먼트들에 공급된 전류는 홀 센서, DC 전류 변압기, 또는 저항성 션트 (resistive shunt) 를 사용하여 측정된다.
일 실시예에서, 독립적으로 제어가능한 히터 영역을 형성하는 열적 제어 엘리먼트들, 또는 독립적으로 제어가능한 히터 영역 각각을 형성하는 열적 제어 엘리먼트들에 공급된 전력과 기판 지지부 어셈블리의 온도 출력 또는 기판 지지부 어셈블리의 하나 이상의 독립적으로 제어가능한 히터 영역들의 온도 출력 간의 관계는 공지의 관계이다. 관계를 형성하기 위해, 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터가 레코딩되는 동안 기판 지지부 어셈블리의 상부 표면의 온도 출력 또는 하나 이상의 독립적으로 제어가능한 히터 영역들에 대응하는 기판 지지부 어셈블리의 상부 표면의 일부가 모니터링된다. 따라서, 레코딩된 온도 데이터가 프로세싱 전, 프로세싱, 또는 프로세싱 후 동안 기판 지지부 어셈블리의 온도 출력을 결정하기 위해 사용될 수 있도록 열적 제어 엘리먼트들의 어레이의 전류, 전압, 전력 및/또는 저항값과 같은 온도 데이터의 파라미터들은 레코딩될 수 있고 전술한 파라미터들과 기판 지지부 어셈블리의 모니터링된 온도 출력간의 관계가 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터에 대해 확립될 수 있다.
다른 실시예에서, 기판 지지부 어셈블리의 상부 표면의 공간적 및 시간적 온도 출력, 또는 대안적으로 하나 이상의 열적 제어 엘리먼트들에 의해 형성된 기판 지지부 어셈블리의 하나 이상의 독립적으로 제어가능한 히터 영역들의 공간적 및 시간적 온도 출력은 유체-냉각된 히트 싱크 및/또는 히터 플레이트와 같은 기판 지지부 어셈블리 내에 포함된 다른 온도 제어 컴포넌트들뿐만 아니라, 하나 이상의 열적 제어 엘리먼트들의 레코딩된 시간 분해된 프로세스 전 온도 데이터, 시간 분해된 프로세스 온도 데이터, 및/또는 시간 분해된 프로세스 후 온도 데이터의 적어도 2 개의 파라미터들을 분석함으로써 결정될 수 있고, 유체 제어된 히트 싱크 및/또는 히터 플레이트의 파라미터들은 측정되거나 공지되었다.
열적 측정 디바이스는 기판 지지부 어셈블리의 상부 표면의 온도 출력을 모니터링하도록 사용될 수 있고, 온도 측정 디바이스는 기판 지지부 어셈블리의 전체 상부 표면, 또는 대안적으로 기판 지지부 어셈블리의 상부 표면의 일부를 모니터링하는 것이 바람직하다. 일 실시예에서, 열적 측정 디바이스는 써모커플 (thermocouples), IR 카메라, 스캐닝 IR 써모미터, 또는 스캐닝 써모커플 프로브를 포함하는 테스트 기판일 수 있다. 대안적인 실시예에서, 스캐닝 프로브는 기판 지지부 어셈블리의 상부 표면의 특정한 지역을 측정하도록 사용될 수 있다. 열적 측정 디바이스는 기판 지지부 어셈블리의 상부 표면의 온도 출력과 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터 간의 관계를 확립하도록 사용될 수 있다. 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터와 기판 지지부 어셈블리의 상부 표면의 온도 출력 간의 관계가 확립된 후, 이 관계는 기판 지지부 어셈블리의 상부 표면의 열적 안정성을 결정하기 위해 사용될 수 있다.
일 실시예에서, 사용자는 전류 및/또는 전압 및/또는 임의의 측정되거나 도출된 파라미터, 예를 들어, 기판 지지부 어셈블리의 상부 표면의 도출된 표면 온도, 열적 제어 엘리먼트 또는 열적 제어 엘리먼트들의 그룹에 걸친 전력 입력, 저항값과 같은 파라미터들에 대하여 프로세스 온도 데이터 및/또는 프로세스 후 온도 데이터에 대하여 SPC 제한들을 설정할 수 있다. 또한, 시간에 걸쳐 수집된 레코딩된 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터는 프로세스 또는 다수의 프로세스들 동안 이들의 값들 및 경향들 및 이들 간의 관계를 보여주기 위해 사용자에게 제공될 수 있다. 레코딩된 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터는 피드백 방법으로 사용될 수 있고 사용자에게 제공되거나 프로세스가 설정된 제어 제한들 내에 있다고 결정하기 위한 알고리즘으로 공급될 수 있다. 레코딩된 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터는 또한 피드 포워드 방법에서 사용될 수 있고, 이 방법에서, 다음 프로세스를 위한 입력 파라미터들은 임의의 프로세스 변화들에 대해 보상하기 위해 사용자에 의해 변경되거나 자동으로 변경된다. 사용자 입력은 레코딩된 파라미터들의 추천, 일정한 값에 기초하거나 사용자에 의해 자유롭게 입력될 수 있다.
또한, 결정된 기판 지지부 어셈블리의 열적 안정성에 기초하여, 입력 파라미터들은 다음 기판 또는 기판들의 다음 배치가 프로세싱되기 전에 정정될 수 있다. 입력 파라미터들은 자동 보상에 의해, 또는 사용자에게 통지하고 사용자가 입력 파라미터 값들을 정정하게 함으로써 정정될 수 있다. 후자에 대해, 장치는 추천들을 제공할 수 있고, 또는 사용자가 어느 파라미터를 얼마만큼 변화시킬 것인지 결정하게 할 수 있다. 실시간 진단 및 분석의 이러한 방식에서, 입력 파라미터들은 프로세스 또는 프로세스의 단계 각각 후에 조정될 수 있어서, 기판을 손상시킬 위험을 최소화하거나 다수의 기판들에 대해 감소된 칩을 산출한다. 예를 들어, 입력 파라미터들은 프로세스 온도 데이터가 기준 온도 데이터의 목표된 허용 범위 밖이고/이거나 프로세스 후 온도 데이터가 프로세스 전 온도 데이터의 목표된 허용 범위 밖이면, 후속 플라즈마 프로세스에 대해 변경될 수 있다. 따라서, 입력 파라미터들은 후속하는 플라즈마 프로세스 동안 수집된 후속 온도 데이터가 프로세스 전 온도 데이터의 목표된 허용 범위 내에 있도록 정정될 수 있다.
측정되거나 도출된 파라미터들 각각의 프로세스 전 온도 데이터, 프로세스 온도 데이터, 및/또는 프로세스 후 온도 데이터는 기판 지지부 어셈블리의 열적 안정성의 장기적인 경향에 대해 저장될 수 있다. 경향들은 기판 지지부 어셈블리의 열적 안정성의 규정된 SPC 제한들로 자동 알고리즘에 의해 모니터링되거나 바람직하게 그래픽적으로, 또는 대안적으로, 표 형태로 사용자에게 제공될 수 있다.
본 명세서에 개시된 방법들의 실시예들이 이들의 구체적인 실시예들을 참조하여 상세하게 기술되었지만, 첨부된 청구항들의 범위로부터 벗어나지 않고 다양한 변화들 및 수정들이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 당업자에게 명백할 것이다.

Claims (20)

  1. 플라즈마 프로세싱 장치 내에서 기판 지지부 어셈블리의 상부 표면의 열적 안정성을 결정하는 방법에 있어서,
    플라즈마 프로세싱 장치 내에서 적어도 하나의 기판의 프로세싱 전 그리고 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 상기 기판 지지부 어셈블리의 프로세스 전 온도 데이터 (pre-process temperature data) 를 레코딩하는 단계로서,
    상기 열적 제어 엘리먼트들의 어레이는 (i) 상기 기판 지지부 어셈블리의 독립적으로 제어가능한 영역들을 형성하고 그리고 (ii) 상기 기판 지지부 어셈블리의 상기 상부 표면의 공간적 및 시간적 온도를 제어하도록 동작가능하고,
    상기 열적 제어 엘리먼트들 중 적어도 일부는 전력 공급될 때 열을 생성하는, 상기 기판 지지부 어셈블리의 프로세스 전 온도 데이터를 레코딩하는 단계;
    상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 프로세싱 후 그리고 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 프로세스 후 온도 데이터 (post-process temperature data) 를 레코딩하는 단계;
    상기 프로세스 후 온도 데이터를 상기 프로세스 전 온도 데이터에 비교하는 단계; 및
    상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 미리 결정된 허용 범위 (tolerance range) 내에 있는지 여부를 결정하는 단계를 포함하는, 열적 안정성 결정 방법.
  2. 제 1 항에 있어서,
    상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 상기 미리 결정된 허용 범위 밖이면 경보 (alert) 를 선택적으로 발생하는 단계를 더 포함하는, 열적 안정성 결정 방법.
  3. 제 1 항에 있어서,
    상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 상기 미리 결정된 허용 범위 밖이면 플라즈마 프로세스를 선택적으로 중단하는 단계를 더 포함하는, 열적 안정성 결정 방법.
  4. 제 1 항에 있어서,
    상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터 각각은,
    상기 열적 제어 엘리먼트들에 각각 공급되는 전력 레벨들;
    상기 열적 제어 엘리먼트들 각각에 걸친 전압들;
    상기 열적 제어 엘리먼트들에 각각 공급된 전류들;
    상기 열적 제어 엘리먼트들 각각의 저항들;
    상기 기판 지지부 어셈블리의 상기 상부 표면의 온도들; 및
    상기 독립적으로 제어가능한 영역들 각각의 온도들 중 적어도 2 개를 포함하는, 열적 안정성 결정 방법.
  5. 제 4 항에 있어서,
    상기 프로세스 전 온도 데이터는 상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 상기 프로세싱 전 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 제 1 기간 동안 제 1 시간들로부터 제 1 복수의 측정값들을 포함하고,
    상기 프로세스 후 온도 데이터는 상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 상기 프로세싱 후 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 제 2 기간 동안 제 2 시간들로부터 제 2 복수의 측정값들을 포함하고, 그리고
    상기 제 1 시간들은 상기 제 2 시간들이 상기 제 2 기간 동안 발생하는 것과 동시에 상기 제 1 기간 동안 발생하는, 열적 안정성 결정 방법.
  6. 제 1 항에 있어서,
    상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터로부터 의심되는 가외 (outlier) 온도 데이터를 제거하는 단계를 더 포함하는, 열적 안정성 결정 방법.
  7. 제 6 항에 있어서,
    상기 의심되는 가외 온도 데이터를 제거하는 단계는 Dixon Q-테스트를 사용하여 상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터로부터 의심되는 가외 온도 데이터를 제거하는 단계를 포함하는, 열적 안정성 결정 방법.
  8. 제 1 항에 있어서,
    상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터 중 적어도 하나를 필터링하는 단계를 더 포함하는, 열적 안정성 결정 방법.
  9. 제 1 항에 있어서,
    상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 것은 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 열적 제어 엘리먼트들에 독립적으로 전류를 공급하는 것을 포함하는, 열적 안정성 결정 방법.
  10. 실행되면, 플라즈마 프로세싱 장치 내에서 기판 지지부 어셈블리의 상부 표면의 열적 안정성을 결정하는 방법을 수행하는 인스트럭션들을 포함하는 비일시적인 컴퓨터 판독가능 매체에 있어서,
    상기 방법은,
    플라즈마 프로세싱 장치 내에서 적어도 하나의 기판의 프로세싱 전 그리고 기판 지지부 어셈블리의 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 상기 기판 지지부 어셈블리의 프로세스 전 온도 데이터를 레코딩하는 단계로서,
    상기 열적 제어 엘리먼트들의 어레이는 (i) 상기 기판 지지부 어셈블리의 독립적으로 제어가능한 영역들을 형성하고 그리고 (ii) 상기 기판 지지부 어셈블리의 상기 상부 표면의 공간적 및 시간적 온도를 제어하도록 동작가능하고,
    상기 열적 제어 엘리먼트들 중 적어도 일부는 전력 공급될 때 열을 생성하는, 상기 기판 지지부 어셈블리의 프로세스 전 온도 데이터를 레코딩하는 단계;
    상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 프로세싱 후 그리고 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 프로세스 후 온도 데이터를 레코딩하는 단계;
    상기 프로세스 후 온도 데이터를 상기 프로세스 전 온도 데이터에 비교하는 단계; 및
    상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 미리 결정된 허용 범위 내에 있는지를 결정하는 단계를 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  11. 제 10 항에 있어서,
    실행되면, 상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 상기 미리 결정된 허용 범위 밖이면 경보를 선택적으로 발생하는 인스트럭션들을 더 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  12. 제 10 항에 있어서,
    실행되면, 상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 상기 미리 결정된 허용 범위 밖이면 플라즈마 프로세스를 선택적으로 중단하는 인스트럭션들을 더 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  13. 제 10 항에 있어서,
    상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터 각각은,
    상기 열적 제어 엘리먼트들에 각각 공급되는 전력 레벨들;
    상기 열적 제어 엘리먼트들 각각에 걸친 전압들;
    상기 열적 제어 엘리먼트들에 각각 공급된 전류들;
    상기 열적 제어 엘리먼트들 각각의 저항들;
    상기 기판 지지부 어셈블리의 상기 상부 표면의 온도들; 및
    상기 독립적으로 제어가능한 영역들 각각의 온도들 중 적어도 하나를 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  14. 제 13 항에 있어서,
    상기 프로세스 전 온도 데이터는 상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 상기 프로세싱 전 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 제 1 기간 동안 제 1 시간들로부터 제 1 복수의 측정값들을 포함하고,
    상기 프로세스 후 온도 데이터는 상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 상기 프로세싱 후 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 제 2 기간 동안 제 2 시간들로부터 제 2 복수의 측정값들을 포함하고, 그리고
    상기 제 1 시간들은 상기 제 2 시간들이 상기 제 2 기간 동안 발생하는 것과 동시에 상기 제 1 기간 동안 발생하는, 비일시적인 컴퓨터 판독가능 매체.
  15. 제 10 항에 있어서,
    실행되면, 상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터로부터 의심되는 가외 온도 데이터를 제거하는 인스트럭션들을 더 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  16. 제 15 항에 있어서,
    상기 의심되는 가외 온도 데이터를 제거하는 인스트럭션들은 실행되면, Dixon Q-테스트를 사용하여 상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터로부터 의심되는 가외 온도 데이터를 제거하는 인스트럭션들을 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  17. 제 10 항에 있어서,
    실행되면, 상기 프로세스 전 온도 데이터 및 상기 프로세스 후 온도 데이터 중 적어도 하나를 필터링하는 인스트럭션들을 더 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  18. 제 10 항에 있어서,
    상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하기 위한 인스트럭션들은 실행되면, 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 열적 제어 엘리먼트들에 독립적으로 전류를 공급하는 인스트럭션들을 포함하는, 비일시적인 컴퓨터 판독가능 매체.
  19. 플라즈마 프로세싱 장치에 있어서,
    상부 표면을 갖는 기판 지지부 어셈블리;
    (i) 상기 기판 지지부 어셈블리의 독립적으로 제어가능한 영역들을 형성하고 그리고 (ii) 상기 기판 지지부 어셈블리의 상기 상부 표면의 공간적 및 시간적 온도를 제어하도록 동작가능한 열적 제어 엘리먼트들의 어레이; 및
    실행되면, 상기 기판 지지부 어셈블리의 상기 상부 표면의 열적 안정성을 결정하는 방법을 수행하는 인스트럭션들을 포함하는 비일시적인 컴퓨터 판독가능 매체를 포함하고,
    상기 방법은,
    상기 플라즈마 프로세싱 장치 내에서 적어도 하나의 기판의 프로세싱 전 그리고 상기 기판 지지부 어셈블리의 상기 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 상기 기판 지지부 어셈블리의 프로세스 전 온도 데이터를 레코딩하는 단계;
    상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 프로세싱 후 그리고 상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 프로세스 후 온도 데이터를 레코딩하는 단계;
    상기 프로세스 후 온도 데이터를 상기 프로세스 전 온도 데이터에 비교하는 단계; 및
    상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 미리 결정된 허용 범위 내에 있는지 여부를 결정하는 단계를 포함하는, 플라즈마 프로세싱 장치.
  20. 플라즈마 프로세싱 장치에 있어서,
    상부 표면을 갖는 기판 지지부 어셈블리;
    (i) 상기 기판 지지부 어셈블리의 독립적으로 제어가능한 영역들을 형성하고 그리고 (ii) 상기 기판 지지부 어셈블리의 상기 상부 표면의 공간적 및 시간적 온도를 제어하도록 동작가능한 열적 제어 엘리먼트들의 어레이; 및
    회로를 포함하고,
    상기 회로는,
    상기 플라즈마 프로세싱 장치에서 적어도 하나의 기판의 프로세싱 전에,
    상기 기판 지지부 어셈블리의 상기 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하고, 그리고
    상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 상기 기판 지지부 어셈블리의 프로세스 전 온도 데이터를 레코딩하고;
    상기 플라즈마 프로세싱 장치 내에서 상기 적어도 하나의 기판의 프로세싱 후에,
    상기 기판 지지부 어셈블리의 상기 상부 표면의 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 기판 지지부 어셈블리의 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하고, 그리고
    상기 기판 지지부 어셈블리의 상기 상부 표면의 상기 목표된 공간적 및 시간적 온도를 달성하기 위해 상기 열적 제어 엘리먼트들의 어레이에 전력을 공급하는 동안, 프로세스 후 온도 데이터를 레코딩하고;
    상기 프로세스 후 온도 데이터를 상기 프로세스 전 온도 데이터에 비교하고; 그리고
    상기 프로세스 후 온도 데이터가 상기 프로세스 전 온도 데이터의 미리 결정된 허용 범위 내에 있는지 여부를 결정하도록 구성되는, 플라즈마 프로세싱 장치.
KR1020140182620A 2013-12-17 2014-12-17 기판 지지부 어셈블리의 열적 안정성 결정 방법 KR102360230B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/109,020 US9716022B2 (en) 2013-12-17 2013-12-17 Method of determining thermal stability of a substrate support assembly
US14/109,020 2013-12-17

Publications (2)

Publication Number Publication Date
KR20150070981A KR20150070981A (ko) 2015-06-25
KR102360230B1 true KR102360230B1 (ko) 2022-02-07

Family

ID=53368336

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140182620A KR102360230B1 (ko) 2013-12-17 2014-12-17 기판 지지부 어셈블리의 열적 안정성 결정 방법

Country Status (4)

Country Link
US (2) US9716022B2 (ko)
KR (1) KR102360230B1 (ko)
CN (2) CN104716064B (ko)
TW (2) TWI693491B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
KR20210129247A (ko) * 2019-03-15 2021-10-27 램 리써치 코포레이션 멀티-존 기판 지지부들의 온도 천이들 (temperature transients) 을 조절함으로써 임계 치수 불균일의 신속 튜닝
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US20220262602A1 (en) * 2019-07-26 2022-08-18 Jusung Engineering Co., Ltd. Substrate processing apparatus and interlock method thereof
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
KR20240004672A (ko) * 2021-04-28 2024-01-11 램 리써치 코포레이션 높은 tcr 기반 제어에서 신호 필터링 방식들의 사용

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123843A (ja) 2005-09-30 2007-05-17 Tokyo Electron Ltd 載置台、基板処理装置、プラズマ処理装置、載置台の制御方法、プラズマ処理装置の制御方法、制御プログラム、及び記憶媒体
US20110092072A1 (en) 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP2011108596A (ja) 2009-11-20 2011-06-02 Kokusai Electric Semiconductor Service Inc 電力供給システム
JP2013519192A (ja) 2010-01-29 2013-05-23 アプライド マテリアルズ インコーポレイテッド プラズマ処理装置のフィードフォワード温度制御

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6351723B1 (en) 1996-08-29 2002-02-26 Fujitsu Limited Failure diagnostic method and apparatus for equipment and recording medium in which program causing computer system to execute process in accordance with such method is stored
US7010336B2 (en) 1997-08-14 2006-03-07 Sensys Medical, Inc. Measurement site dependent data preprocessing method for robust calibration and prediction
US6463391B1 (en) 1999-12-22 2002-10-08 General Electric Company Method and apparatus for calculating confidence intervals
US6622286B1 (en) 2000-06-30 2003-09-16 Lam Research Corporation Integrated electronic hardware for wafer processing control and diagnostic
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US6825050B2 (en) 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
US7295954B2 (en) 2002-09-26 2007-11-13 Lam Research Corporation Expert knowledge methods and systems for data analysis
US7653515B2 (en) 2002-12-20 2010-01-26 Lam Research Corporation Expert knowledge methods and systems for data analysis
US6794302B1 (en) * 2003-03-20 2004-09-21 Taiwan Semiconductor Manufacturing Co., Ltd Dynamic feed forward temperature control to achieve CD etching uniformity
WO2004095531A2 (en) * 2003-03-28 2004-11-04 Tokyo Electron Ltd Method and system for temperature control of a substrate
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
TWI336823B (en) 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
JP4343151B2 (ja) * 2004-08-11 2009-10-14 東京エレクトロン株式会社 加熱プレートの温度測定方法、基板処理装置及び加熱プレートの温度測定用のコンピュータプログラム
US20060042757A1 (en) * 2004-08-27 2006-03-02 Seiichiro Kanno Wafer processing apparatus capable of controlling wafer temperature
US7529644B2 (en) 2004-08-31 2009-05-05 Watlow Electric Manufacturing Company Method of diagnosing an operations systems
US7397555B2 (en) 2004-12-17 2008-07-08 Lam Research Corporation System, method and apparatus for in-situ substrate inspection
JP2006216822A (ja) * 2005-02-04 2006-08-17 Hitachi High-Technologies Corp ウェハ処理装置およびウェハ処理方法
US7404123B1 (en) 2005-03-28 2008-07-22 Lam Research Corporation Automated test and characterization data analysis methods and arrangement
US7802917B2 (en) 2005-08-05 2010-09-28 Lam Research Corporation Method and apparatus for chuck thermal calibration
US7956310B2 (en) * 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US7528944B2 (en) 2006-05-22 2009-05-05 Kla-Tencor Technologies Corporation Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7534627B2 (en) 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7899627B2 (en) 2006-09-28 2011-03-01 Lam Research Corporation Automatic dynamic baseline creation and adjustment
US7813893B2 (en) 2007-01-18 2010-10-12 Tech Semiconductor Singapore Pte Ltd Method of process trend matching for identification of process variable
US20080228308A1 (en) 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
US8572155B2 (en) 2007-08-23 2013-10-29 Applied Materials, Inc. Virtual sensors
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5239988B2 (ja) 2009-03-24 2013-07-17 東京エレクトロン株式会社 載置台構造及び処理装置
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
WO2011081645A2 (en) 2009-12-15 2011-07-07 Lam Research Corporation Adjusting substrate temperature to improve cd uniformity
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP5774331B2 (ja) 2011-03-03 2015-09-09 株式会社日立国際電気 基板処理システム、管理装置、データ解析方法、及びデータ解析プログラム
JP5732941B2 (ja) * 2011-03-16 2015-06-10 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
CA2847342C (en) 2011-08-30 2016-10-04 Watlow Electric Manufacturing Company Method of manufacturing a high definition heater system
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123843A (ja) 2005-09-30 2007-05-17 Tokyo Electron Ltd 載置台、基板処理装置、プラズマ処理装置、載置台の制御方法、プラズマ処理装置の制御方法、制御プログラム、及び記憶媒体
US20110092072A1 (en) 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP2011108596A (ja) 2009-11-20 2011-06-02 Kokusai Electric Semiconductor Service Inc 電力供給システム
JP2013519192A (ja) 2010-01-29 2013-05-23 アプライド マテリアルズ インコーポレイテッド プラズマ処理装置のフィードフォワード温度制御

Also Published As

Publication number Publication date
TWI693491B (zh) 2020-05-11
KR20150070981A (ko) 2015-06-25
US9716022B2 (en) 2017-07-25
CN104716064A (zh) 2015-06-17
TW201921206A (zh) 2019-06-01
CN104716064B (zh) 2019-04-09
CN110246774B (zh) 2023-08-15
TWI659280B (zh) 2019-05-11
US20150168962A1 (en) 2015-06-18
TW201537318A (zh) 2015-10-01
CN110246774A (zh) 2019-09-17
US20170322546A1 (en) 2017-11-09
US10437236B2 (en) 2019-10-08

Similar Documents

Publication Publication Date Title
US10437236B2 (en) Method of determining thermal stability of a substrate support assembly
US11533783B2 (en) Multi-zone heater model-based control in semiconductor manufacturing
KR102299595B1 (ko) 온도 제어 방법
TWI608537B (zh) 電漿蝕刻系統
US9543171B2 (en) Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
KR102254047B1 (ko) 2 차원 온도 출력 프로파일을 달성하기 위해 열 제어 엘리먼트들의 어레이로의 전력 입력 계산
US20060191482A1 (en) Apparatus and method for processing wafer
TWI687637B (zh) 溫度控制裝置、溫度控制方法及程式
JP2012503339A (ja) 自己診断半導体装置
TWI782133B (zh) 電漿處理裝置、溫度控制方法及溫度控制程式
US10892144B2 (en) Plasma processing apparatus, monitoring method, and monitoring program
US20200367320A1 (en) Plasma processing apparatus and temperature control method
KR20190056323A (ko) 플라즈마 처리 장치, 온도 제어 방법 및 온도 제어 프로그램
KR20210027232A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP2002305237A (ja) 半導体製造方法および製造装置
JP2023099617A (ja) プラズマ処理装置、監視方法および監視プログラム
JP2010050178A (ja) プラズマ処理装置及びプラズマ処理方法
JP2010093047A (ja) 処理装置の管理システム
JP2022139247A (ja) 熱処理装置および熱処理方法
WO2024034355A1 (ja) パラメータ推定システム、パラメータ推定方法、コンピュータプログラム及び基板処理装置
WO2022191242A1 (ja) 基板処理装置、異常検知方法及び半導体装置の製造方法
TW202044411A (zh) 熱處理裝置、熱處理系統及熱處理方法
JP2018113115A (ja) 加熱装置及び加熱方法
TW202013414A (zh) 考慮射束功率輸入的晶圓溫度控制
JP2013134676A (ja) 運転状態管理方法および同一生産物の生産方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant