KR102277549B1 - Apparatus and Method for treating a substrate - Google Patents

Apparatus and Method for treating a substrate Download PDF

Info

Publication number
KR102277549B1
KR102277549B1 KR1020190087030A KR20190087030A KR102277549B1 KR 102277549 B1 KR102277549 B1 KR 102277549B1 KR 1020190087030 A KR1020190087030 A KR 1020190087030A KR 20190087030 A KR20190087030 A KR 20190087030A KR 102277549 B1 KR102277549 B1 KR 102277549B1
Authority
KR
South Korea
Prior art keywords
substrate
temperature
process chamber
processing
chamber
Prior art date
Application number
KR1020190087030A
Other languages
Korean (ko)
Other versions
KR20210009890A (en
Inventor
방제오
안영서
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020190087030A priority Critical patent/KR102277549B1/en
Publication of KR20210009890A publication Critical patent/KR20210009890A/en
Application granted granted Critical
Publication of KR102277549B1 publication Critical patent/KR102277549B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

본 발명은 기판을 처리하는 장치를 제공한다. 기판을 처리하는 장치는, 내부에 처리 공간을 가지는 공정 챔버와; 상기 처리 공간으로 처리 가스를 공급하는 가스 공급 유닛과; 상기 처리 공간에서 기판을 지지하는 지지 유닛과; 상기 지지 유닛에 지지된 기판의 온도를 측정하는 측정 부재와; 상기 공정 챔버의 온도를 조절하는 온도 조절 부재와; 그리고, 제어기를 포함하되, 상기 제어기는, 상기 측정 부재가 측정하는 기판의 온도에 따라 상기 공정 챔버의 온도를 조절하도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.The present invention provides an apparatus for processing a substrate. An apparatus for processing a substrate, comprising: a process chamber having a processing space therein; a gas supply unit supplying a processing gas to the processing space; a support unit for supporting a substrate in the processing space; a measuring member for measuring a temperature of the substrate supported by the support unit; a temperature control member for controlling a temperature of the process chamber; And, including a controller, wherein the controller may control the measuring member and the temperature adjusting member to adjust the temperature of the process chamber according to the temperature of the substrate measured by the measuring member.

Description

기판 처리 장치 및 기판 처리 방법{Apparatus and Method for treating a substrate}Apparatus and Method for treating a substrate

본 발명은 기판을 처리하는 장치 및 기판을 처리하는 방법에 관한 것으로, 보다 구체적으로 기판에 처리 가스를 공급하여 기판을 처리하는 기판 처리 장치 및 기판 처리 방법에 관한 것이다.The present invention relates to an apparatus for processing a substrate and a method for processing a substrate, and more particularly, to a substrate processing apparatus and a method for processing a substrate by supplying a processing gas to the substrate to process the substrate.

반도체 제조 공정 중 사진 공정(photo-lithography process)은 웨이퍼 상에 원하는 패턴을 형성시키는 공정이다. 사진 공정은 보통 노광 설비가 연결되어 도포 공정, 노광 공정, 그리고 현상 공정을 연속적으로 처리하는 스피너(spinner local) 설비에서 진행된다. 이러한 스피너 설비는 헥사메틸다이사이레인(Hexamethyl disilazane, 이하, HMDS라 한다) 처리 공정, 도포 공정, 열처리 공정, 그리고 현상 공정을 순차적으로 수행한다. 여기서, HMDS 처리 공정은 감광액(PR:Photo-resist)의 밀착 효율을 상승시키기 위해 감광액 도포 전에 웨이퍼 상에 HMDS 가스를 공급하는 공정이다.A photo-lithography process among semiconductor manufacturing processes is a process of forming a desired pattern on a wafer. The photographic process is usually carried out in a spinner local facility that is connected to an exposure facility and continuously processes the coating process, the exposure process, and the developing process. This spinner facility sequentially performs a hexamethyl disilazane (HMDS) treatment process, a coating process, a heat treatment process, and a developing process. Here, the HMDS treatment process is a process of supplying HMDS gas onto the wafer before application of the photoresist in order to increase the adhesion efficiency of the photoresist (PR).

일반적으로 HMDS 처리 공정은 베이크 챔버에서 수행된다. 베이크 챔버에는 기판을 지지하는 지지부가 제공된다. 지지부에는 지지부의 온도를 조절하는 히터가 제공된다. 히터는 지지부의 온도를 높인다. 지지부는 지지부에 지지된 기판으로 열을 전달한다. 이에 기판은 가열된다. 그리고 HMDS 가스는 베이크 챔버 내에서 가열되는 기판으로 공급된다. 이 과정에서, 기판과 베이크 챔버의 온도는 서로 상이하다. 일 예로, 기판의 온도가 베이크 챔버의 온도보다 높을 수 있다. 기판으로 공급되는 HMDS 가스의 유동은 기판과 베이크 챔버의 온도 편차로 인하여 변화한다. 기판과 베이크 챔버의 온도 차이로 베이크 챔버 내부 공간에서 열 대류 현상이 발생하기 때문이다. 즉, 기판과 베이크 챔버의 온도 편차로 인하여 베이크 챔버 내에서 HMDS 가스에 와류가 발생한다. 이에, HMDS 가스가 기판으로 적절히 공급되지 않는다.Typically, the HMDS processing process is performed in a bake chamber. The bake chamber is provided with a support for supporting the substrate. The support is provided with a heater for controlling the temperature of the support. The heater raises the temperature of the support. The support transfers heat to the substrate supported on the support. Accordingly, the substrate is heated. And the HMDS gas is supplied to the substrate heated in the bake chamber. In this process, the temperature of the substrate and the bake chamber are different from each other. For example, the temperature of the substrate may be higher than the temperature of the bake chamber. The flow of the HMDS gas supplied to the substrate changes due to the temperature difference between the substrate and the bake chamber. This is because thermal convection occurs in the space inside the bake chamber due to the temperature difference between the substrate and the bake chamber. That is, a vortex is generated in the HMDS gas in the bake chamber due to the temperature difference between the substrate and the bake chamber. Accordingly, the HMDS gas is not properly supplied to the substrate.

본 발명은 기판에 소수화 가스를 공급하여 처리하는 공정을 효율적으로 수행할 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하는 것을 일 목적으로 한다.An object of the present invention is to provide a substrate processing apparatus and a substrate processing method capable of efficiently performing a process of supplying a hydrophobicization gas to a substrate and processing the substrate.

또한, 본 발명은 공정 챔버 내에서 소수화 가스에 와류가 발생하는 것을 최소화 할 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하는 것을 일 목적으로 한다.Another object of the present invention is to provide a substrate processing apparatus and a substrate processing method capable of minimizing the occurrence of a vortex in a hydrophobization gas in a process chamber.

또한, 본 발명은 기판과 기판에 공급된 소수화 가스 사이의 콘택트 앵글을 제어할 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하는 것을 일 목적으로 한다.Another object of the present invention is to provide a substrate processing apparatus and a substrate processing method capable of controlling a contact angle between a substrate and a hydrophobicization gas supplied to the substrate.

본 발명이 해결하고자 하는 과제는 여기에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다. The problems to be solved by the present invention are not limited thereto, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

본 발명은 기판을 처리하는 장치를 제공한다. 기판을 처리하는 장치는, 내부에 처리 공간을 가지는 공정 챔버와; 상기 처리 공간으로 처리 가스를 공급하는 가스 공급 유닛과; 상기 처리 공간에서 기판을 지지하는 지지 유닛과; 상기 지지 유닛에 지지된 기판의 온도를 측정하는 측정 부재와; 상기 공정 챔버의 온도를 조절하는 온도 조절 부재와; 그리고, 제어기를 포함하되, 상기 제어기는, 상기 측정 부재가 측정하는 기판의 온도에 따라 상기 공정 챔버의 온도를 조절하도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.The present invention provides an apparatus for processing a substrate. An apparatus for processing a substrate, comprising: a process chamber having a processing space therein; a gas supply unit supplying a processing gas to the processing space; a support unit for supporting a substrate in the processing space; a measuring member for measuring a temperature of the substrate supported by the support unit; a temperature control member for controlling a temperature of the process chamber; And, including a controller, wherein the controller may control the measuring member and the temperature adjusting member to adjust the temperature of the process chamber according to the temperature of the substrate measured by the measuring member.

일 실시 예에 의하면, 상기 제어기는, 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.In an embodiment, the controller may control the measuring member and the temperature adjusting member so that a difference between the temperature of the process chamber and the temperature of the substrate supported by the support unit is reduced.

일 실시 예에 의하면, 상기 처리 가스는 기판을 소수화시키는 가스이고, 상기 제어기는, 상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 크게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.In an embodiment, the processing gas is a gas that hydrophobizes the substrate, and the controller is configured to increase a contact angle between the surface of the substrate supported by the support unit and the processing gas supplied to the substrate supported by the support unit. In this case, the measuring member and the temperature adjusting member may be controlled so that a difference between the temperature of the process chamber and the temperature of the substrate supported by the support unit is reduced.

일 실시 예에 의하면, 상기 처리 가스는 기판을 소수화시키는 가스이고, 상기 제어기는, 상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 작게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 커지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.In an embodiment, the processing gas is a gas that hydrophobizes a substrate, and the controller is configured to reduce a contact angle between a surface of a substrate supported on the support unit and a processing gas supplied to the substrate supported on the support unit. In this case, the measuring member and the temperature adjusting member may be controlled to increase a temperature difference between the temperature of the process chamber and the substrate supported by the support unit.

일 실시 예에 의하면, 상기 공정 챔버는, 상부 챔버와; 그리고 상기 상부 챔버의 하부에 배치되는 하부 챔버를 포함하고, 상기 온도 조절 부재는 상기 상부 챔버에 제공될 수 있다.In an embodiment, the process chamber may include an upper chamber; and a lower chamber disposed under the upper chamber, wherein the temperature control member may be provided in the upper chamber.

일 실시 예에 의하면, 상기 온도 조절 부재는, 히터이고, 상기 상부 챔버 내에 제공될 수 있다.According to an embodiment, the temperature control member may be a heater and may be provided in the upper chamber.

일 실시 예에 의하면, 상기 가스 공급 유닛은, 상기 상부 챔버에 연결되는 가스 공급관을 포함할 수 있다.According to an embodiment, the gas supply unit may include a gas supply pipe connected to the upper chamber.

일 실시 예에 의하면, 상기 장치는, 상기 처리 공간을 배기하는 배기 유닛을 포함하되, 상기 배기 유닛은, 상기 공정 챔버에 연결되는 배기 라인과; 상기 배기 라인에 감압을 제공하는 감압 부재를 포함할 수 있다.According to an embodiment, the apparatus includes an exhaust unit evacuating the processing space, the exhaust unit comprising: an exhaust line connected to the process chamber; It may include a pressure reducing member for providing pressure reduction to the exhaust line.

일 실시 예에 의하면, 상기 공정 챔버는, 금속을 포함하는 재질로 제공되고, 상기 공정 챔버의 표면은 산화 알루미늄 또는 니켈을 포함하는 재질로 도금 처리 될 수 있다.According to an embodiment, the process chamber may be provided with a material including a metal, and a surface of the process chamber may be plated with a material including aluminum oxide or nickel.

또한, 본 발명은 기판을 처리하는 방법을 제공한다. 기판을 처리하는 방법은, 공정 챔버가 가지는 처리 공간에 처리 가스를 공급하여 상기 기판을 처리하되, 상기 기판의 온도를 측정하고, 상기 기판의 온도에 근거하여 상기 공정 챔버의 온도를 조절할 수 있다.The invention also provides a method of processing a substrate. In the method of processing the substrate, a processing gas may be supplied to a processing space of a process chamber to process the substrate, the temperature of the substrate may be measured, and the temperature of the process chamber may be adjusted based on the temperature of the substrate.

일 실시 예에 의하면, 상기 기판의 온도와 상기 공정 챔버의 온도 차이가 작아지도록 상기 공정 챔버의 온도를 조절 할 수 있다.According to an embodiment, the temperature of the process chamber may be adjusted so that a difference between the temperature of the substrate and the temperature of the process chamber is reduced.

일 실시 예에 의하면, 상기 처리 가스는 상기 기판을 소수화 시키는 가스이고, 상기 기판의 표면과 상기 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 크게 하는 경우 상기 공정 챔버와 상기 기판의 온도 차이가 작아지도록 상기 공정 챔버의 온도를 조절할 수 있다.In an embodiment, the processing gas is a gas that hydrophobizes the substrate, and when a contact angle between the surface of the substrate and the processing gas supplied to the substrate is increased, the temperature difference between the process chamber and the substrate is reduced. The temperature of the process chamber may be adjusted.

일 실시 예에 의하면, 상기 처리 가스는 상기 기판을 소수화 시키는 가스이고, 상기 기판의 표면과 상기 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 작게 하는 경우 상기 공정 챔버와 상기 기판의 온도 차이가 커지도록 상기 공정 챔버의 온도를 조절할 수 있다.In an embodiment, the processing gas is a gas that hydrophobizes the substrate, and when the contact angle between the surface of the substrate and the processing gas supplied to the substrate is reduced, the temperature difference between the process chamber and the substrate is increased. The temperature of the process chamber may be adjusted.

일 실시 예에 의하면, 상기 공정 챔버의 온도를 조절은, 상기 공정 챔버가 포함하는 상부 챔버와, 상기 상부 챔버의 하부에 배치되는 하부 챔버 중 상기 상부 챔버의 온도 조절로 이루어질 수 있다.According to an embodiment, the control of the temperature of the process chamber may include temperature control of the upper chamber among the upper chamber included in the process chamber and the lower chamber disposed below the upper chamber.

일 실시 예에 의하면, 상기 처리 가스의 공급은 상기 상부 챔버에 연결되는 가스 공급관을 통해 이루어질 수 있다.According to an embodiment, the supply of the processing gas may be performed through a gas supply pipe connected to the upper chamber.

또한, 본 발명은 기판을 처리하는 장치를 제공한다. 기판을 처리하는 장치는, 내부에 처리 공간을 가지는 공정 챔버와; 상기 처리 공간으로 처리 가스를 공급하는 가스 공급 유닛과; 상기 처리 공간에서 기판을 지지하는 지지 유닛과; 상기 공정 챔버의 온도를 조절하는 온도 조절 부재를 포함하고, 상기 공정 챔버는, 상부 챔버와; 상기 상부 챔버의 하부에 배치되는 하부 챔버를 포함하고, 상기 온도 조절 부재는, 상기 상부 챔버의 온도를 조절하는 제1온도 조절 부재와; 상기 하부 챔버의 온도를 조절하는 제2온도 조절 부재를 포함할 수 있다.The present invention also provides an apparatus for processing a substrate. An apparatus for processing a substrate, comprising: a process chamber having a processing space therein; a gas supply unit supplying a processing gas to the processing space; a support unit for supporting a substrate in the processing space; and a temperature control member for controlling a temperature of the process chamber, wherein the process chamber includes: an upper chamber; a lower chamber disposed under the upper chamber, wherein the temperature control member includes: a first temperature control member for controlling a temperature of the upper chamber; It may include a second temperature control member for controlling the temperature of the lower chamber.

일 실시 예에 의하면, 상기 장치는, 상기 지지 유닛에 지지된 기판의 온도를 측정하는 측정 부재와; 제어기를 더 포함하되, 상기 제어기는, 상기 측정 부재가 측정하는 기판의 온도에 따라 상기 공정 챔버의 온도를 조절하도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.According to an embodiment, the apparatus may include: a measuring member configured to measure a temperature of a substrate supported by the support unit; The apparatus may further include a controller, wherein the controller may control the measuring member and the temperature adjusting member to adjust the temperature of the process chamber according to the temperature of the substrate measured by the measuring member.

일 실시 예에 의하면, 상기 제어기는, 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.In an embodiment, the controller may control the measuring member and the temperature adjusting member so that a difference between the temperature of the process chamber and the temperature of the substrate supported by the support unit is reduced.

일 실시 예에 의하면, 상기 처리 가스는 기판을 소수화시키는 가스이고, 상기 제어기는, 상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 크게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.In an embodiment, the processing gas is a gas that hydrophobizes the substrate, and the controller is configured to increase a contact angle between the surface of the substrate supported by the support unit and the processing gas supplied to the substrate supported by the support unit. In this case, the measuring member and the temperature adjusting member may be controlled so that a difference between the temperature of the process chamber and the temperature of the substrate supported by the support unit is reduced.

일 실시 예에 의하면, 상기 처리 가스는 기판을 소수화시키는 가스이고, 상기 제어기는, 상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 작게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 커지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어할 수 있다.In an embodiment, the processing gas is a gas that hydrophobizes a substrate, and the controller is configured to reduce a contact angle between a surface of a substrate supported on the support unit and a processing gas supplied to the substrate supported on the support unit. In this case, the measuring member and the temperature adjusting member may be controlled to increase a temperature difference between the temperature of the process chamber and the substrate supported by the support unit.

본 발명의 일 실시 예에 의하면, 기판에 소수화 가스를 공급하여 처리하는 공정을 효율적으로 수행할 수 있다.According to an embodiment of the present invention, a process of supplying a hydrophobicization gas to the substrate for treatment can be efficiently performed.

또한, 본 발명의 일 실시 예에 의하면, 공정 챔버 내에서 소수화 가스에 와류가 발생하는 것을 최소화 할 수 있다.In addition, according to an embodiment of the present invention, it is possible to minimize the occurrence of a vortex in the hydrophobization gas in the process chamber.

또한, 본 발명의 일 실시 예에 의하면, 기판과 기판에 공급된 소수화 가스 사이의 콘택트 앵글을 제어할 수 있다.In addition, according to an embodiment of the present invention, it is possible to control the contact angle between the substrate and the hydrophobization gas supplied to the substrate.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.Effects of the present invention are not limited to the above-described effects, and effects not mentioned will be clearly understood by those of ordinary skill in the art to which the present invention belongs from the present specification and accompanying drawings.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 개략적으로 보여주는 사시도이다.
도 2는 도 1의 도포 블럭 또는 현상 블럭을 보여주는 기판 처리 장치의 단면도이다.
도 3는 도 1의 기판 처리 장치의 평면도이다.
도 4은 도 3의 반송 로봇의 핸드의 일 예를 보여주는 도면이다.
도 5은 도 3의 열처리 챔버의 일 예를 개략적으로 보여주는 평단면도이다.
도 6은 도 5의 열처리 챔버의 정단면도이다.
도 7은 도 6의 가열 유닛에 제공되는 기판 처리 장치를 보여주는 단면도 이다.
도 8은 기판과 공정 챔버 사이의 온도 차이가 작은 경우, 공정 챔버로 공급되는 가스의 유동을 보여주는 도면이다.
도 9는 기판과 공정 챔버 사이의 온도 차이가 큰 경우, 공정 챔버로 공급되는 가스의 유동을 보여주는 도면이다.
도 10은 기판과 공정 챔버 사이의 온도 차이가 작은 경우, 처리 가스와 기판 표면 사이의 콘택트 앵글을 보여주는 도면이다.
도 11은 기판과 공정 챔버 사이의 온도 차이가 큰 경우, 처리 가스와 기판 표면 사이의 콘택트 앵글을 보여주는 도면이다.
도 12는 본 발명의 다른 실시 예에 따른 기판 처리 장치를 보여주는 단면도이다.
1 is a perspective view schematically showing a substrate processing apparatus according to an embodiment of the present invention.
FIG. 2 is a cross-sectional view of the substrate processing apparatus showing the application block or the developing block of FIG. 1 .
3 is a plan view of the substrate processing apparatus of FIG. 1 .
FIG. 4 is a view showing an example of a hand of the transport robot of FIG. 3 .
5 is a plan cross-sectional view schematically illustrating an example of the heat treatment chamber of FIG. 3 .
6 is a front cross-sectional view of the heat treatment chamber of FIG. 5 .
7 is a cross-sectional view illustrating a substrate processing apparatus provided in the heating unit of FIG. 6 .
8 is a diagram illustrating a flow of gas supplied to the process chamber when the temperature difference between the substrate and the process chamber is small.
9 is a diagram illustrating a flow of gas supplied to the process chamber when a temperature difference between the substrate and the process chamber is large.
10 is a diagram illustrating a contact angle between a processing gas and a substrate surface when the temperature difference between the substrate and the process chamber is small.
11 is a diagram illustrating a contact angle between a processing gas and a substrate surface when a temperature difference between the substrate and the process chamber is large.
12 is a cross-sectional view illustrating a substrate processing apparatus according to another exemplary embodiment.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장 및 축소된 것이다.Hereinafter, an embodiment of the present invention will be described in more detail with reference to the accompanying drawings. Embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more completely explain the present invention to those of ordinary skill in the art. Accordingly, the shapes of elements in the drawings are exaggerated and reduced to emphasize a clearer description.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 개략적으로 보여주는 사시도이고, 도 2는 도 1의 도포 블럭 또는 현상 블럭을 보여주는 기판 처리 장치의 단면도이며, 도 3는 도 1의 기판 처리 장치의 평면도이다.1 is a perspective view schematically showing a substrate processing apparatus according to an embodiment of the present invention, FIG. 2 is a cross-sectional view of the substrate processing apparatus showing the application block or the developing block of FIG. 1 , and FIG. 3 is the substrate processing apparatus of FIG. 1 is a plan view of

도 1 내지 도 3을 참조하면, 기판 처리 장치(1)는 인덱스 모듈(20,index module), 처리 모듈(30, treating module), 그리고 인터페이스 모듈(40, interface module)을 포함한다. 일 실시예에 의하며, 인덱스 모듈(20), 처리 모듈(30), 그리고 인터페이스 모듈(40)은 순차적으로 일렬로 배치된다. 이하, 인덱스 모듈(20), 처리 모듈(30), 그리고 인터페이스 모듈(40)이 배열된 방향을 X축 방향(12)이라 하고, 상부에서 바라볼 때 X축 방향(12)과 수직한 방향을 Y축 방향(14)이라 하고, X축 방향(12) 및 Y축 방향(14)에 모두 수직한 방향을 Z축 방향(16)이라 한다.1 to 3 , the substrate processing apparatus 1 includes an index module 20 , a processing module 30 , and an interface module 40 . According to an embodiment, the index module 20 , the processing module 30 , and the interface module 40 are sequentially arranged in a line. Hereinafter, a direction in which the index module 20 , the processing module 30 , and the interface module 40 are arranged is referred to as an X-axis direction 12 , and a direction perpendicular to the X-axis direction 12 when viewed from the top The Y-axis direction 14 is called, and the direction perpendicular to both the X-axis direction 12 and the Y-axis direction 14 is called the Z-axis direction 16 .

인덱스 모듈(20)은 기판(W)이 수납된 용기(10)로부터 기판(W)을 처리 모듈(30)로 반송하고, 처리가 완료된 기판(W)을 용기(10)로 수납한다. 인덱스 모듈(20)의 길이 방향은 Y축 방향(14)으로 제공된다. 인덱스 모듈(20)은 로드포트(22)와 인덱스 프레임(24)을 가진다. 인덱스 프레임(24)을 기준으로 로드포트(22)는 처리 모듈(30)의 반대 측에 위치된다. 기판(W)들이 수납된 용기(10)는 로드포트(22)에 놓인다. 로드포트(22)는 복수 개가 제공될 수 있으며, 복수의 로드포트(22)는 Y축 방향(14)을 따라 배치될 수 있다. The index module 20 transfers the substrate W from the container 10 in which the substrate W is accommodated to the processing module 30 , and accommodates the processed substrate W in the container 10 . The longitudinal direction of the index module 20 is provided in the Y-axis direction 14 . The index module 20 has a load port 22 and an index frame 24 . With reference to the index frame 24 , the load port 22 is located on the opposite side of the processing module 30 . The container 10 in which the substrates W are accommodated is placed on the load port 22 . A plurality of load ports 22 may be provided, and the plurality of load ports 22 may be disposed along the Y-axis direction 14 .

용기(10)로는 전면 개방 일체 식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기(10)가 사용될 수 있다. 용기(10)는 오버헤드 트랜스퍼(Overhead Transfer), 오버헤드 컨베이어(Overhead Conveyor), 또는 자동 안내 차량(Automatic GuidedVehicle)과 같은 이송 수단(도시되지 않음)이나 작업자에 의해 로드포트(22)에 놓일 수 있다. As the container 10, a closed container 10 such as a Front Open Unified Pod (FOUP) may be used. Vessel 10 may be placed in loadport 22 by an operator or by a transfer means (not shown) such as an Overhead Transfer, Overhead Conveyor, or Automatic GuidedVehicle. have.

인덱스 프레임(24)의 내부에는 인덱스 로봇(2200)이 제공된다. 인덱스 프레임(24) 내에는 길이 방향이 Y축 방향(14)으로 제공된 가이드 레일(2300)이 제공되고, 인덱스 로봇(2200)은 가이드 레일(2300) 상에서 이동 가능하게 제공될 수 있다. 인덱스 로봇(2200)은 기판(W)이 놓이는 핸드(2220)를 포함하며, 핸드(2220)는 전진 및 후진 이동, Z축 방향(16)을 축으로 한 회전, 그리고 Z축 방향(16)을 따라 이동 가능하게 제공될 수 있다.An index robot 2200 is provided inside the index frame 24 . A guide rail 2300 having a longitudinal direction in the Y-axis direction 14 is provided in the index frame 24 , and the index robot 2200 may be provided to be movable on the guide rail 2300 . The index robot 2200 includes a hand 2220 on which the substrate W is placed, and the hand 2220 moves forward and backward, rotates about the Z-axis direction 16, and performs the Z-axis direction 16. It may be provided to be movable along with it.

처리 모듈(30)은 기판(W)에 대해 도포 공정 및 현상 공정을 수행한다. 처리 모듈(30)은 도포 블럭(30a) 및 현상 블럭(30b)을 가진다. 도포 블럭(30a)은 기판(W)에 대해 도포 공정을 수행하고, 현상 블럭(30b)은 기판(W)에 대해 현상 공정을 수행한다. 도포 블럭(30a)은 복수 개가 제공되며, 이들은 서로 적층되게 제공된다. 현상 블럭(30b)은 복수 개가 제공되며, 현상 블럭들(30b)은 서로 적층되게 제공된다. 도 3의 실시예에 의하면, 도포 블럭(30a)은 2개가 제공되고, 현상 블럭(30b)은 2개가 제공된다. 도포 블럭들(30a)은 현상 블럭들(30b)의 아래에 배치될 수 있다. 일 예에 의하면, 2개의 도포 블럭들(30a)은 서로 동일한 공정을 수행하며, 서로 동일한 구조로 제공될 수 있다. 또한, 2개의 현상 블럭들(30b)은 서로 동일한 공정을 수행하며, 서로 동일한 구조로 제공될 수 있다.The processing module 30 performs a coating process and a developing process on the substrate W. The processing module 30 has an application block 30a and a developing block 30b. The application block 30a performs a coating process on the substrate W, and the developing block 30b performs a development process on the substrate W. A plurality of application blocks 30a are provided, and they are provided to be stacked on each other. A plurality of developing blocks 30b are provided, and the developing blocks 30b are provided to be stacked on each other. According to the embodiment of Fig. 3, two application blocks 30a are provided, and two development blocks 30b are provided. The application blocks 30a may be disposed below the developing blocks 30b. According to an example, the two application blocks 30a may perform the same process as each other, and may be provided in the same structure. Also, the two developing blocks 30b may perform the same process as each other, and may be provided in the same structure.

도 3을 참조하면, 도포 블럭(30a)은 열처리 챔버(3200), 반송 챔버(3400), 액 처리 챔버(3600), 그리고 버퍼 챔버(3800)를 가진다. 열처리 챔버(3200)는 기판(W)에 대해 열처리 공정을 수행한다. 열처리 공정은 냉각 공정 및 가열 공정을 포함할 수 있다. 액처리 챔버(3600)는 기판(W) 상에 액을 공급하여 액막을 형성한다. 액막은 포토레지스트막 또는 반사방지막일 수 있다. 반송 챔버(3400)는 도포 블럭(30a) 내에서 열처리 챔버(3200)와 액처리 챔버(3600) 간에 기판(W)을 반송한다. Referring to FIG. 3 , the application block 30a includes a heat treatment chamber 3200 , a transfer chamber 3400 , a liquid processing chamber 3600 , and a buffer chamber 3800 . The heat treatment chamber 3200 performs a heat treatment process on the substrate W. The heat treatment process may include a cooling process and a heating process. The liquid processing chamber 3600 supplies a liquid on the substrate W to form a liquid film. The liquid film may be a photoresist film or an antireflection film. The transfer chamber 3400 transfers the substrate W between the heat treatment chamber 3200 and the liquid treatment chamber 3600 in the application block 30a.

반송 챔버(3400)는 그 길이 방향이 X축 방향(12)과 평행하게 제공된다. 반송 챔버(3400)에는 반송 유닛(3420)이 제공된다. 반송 유닛(3420)은 열처리 챔버(3200), 액처리 챔버(3600), 그리고 버퍼 챔버(3800) 간에 기판을 반송한다. 일 예에 의하면, 반송 유닛(3420)은 기판(W)이 놓이는 핸드(A)를 가지며, 핸드(A)는 전진 및 후진 이동, Z축 방향(16)을 축으로 한 회전, 그리고 Z축 방향(16)을 따라 이동 가능하게 제공될 수 있다. 반송 챔버(3400) 내에는 그 길이 방향이 X축 방향(12)과 평행하게 제공되는 가이드 레일(3300)이 제공되고, 반송 유닛(3420)은 가이드 레일(3300) 상에서 이동 가능하게 제공될 수 있다. The transfer chamber 3400 is provided so that its longitudinal direction is parallel to the X-axis direction 12 . The transfer chamber 3400 is provided with a transfer unit 3420 . The transfer unit 3420 transfers a substrate between the heat treatment chamber 3200 , the liquid processing chamber 3600 , and the buffer chamber 3800 . According to an example, the transfer unit 3420 has a hand A on which the substrate W is placed, and the hand A moves forward and backward, rotates about the Z-axis direction 16 , and the Z-axis direction. It may be provided movably along (16). A guide rail 3300 having a longitudinal direction parallel to the X-axis direction 12 is provided in the transfer chamber 3400 , and the transfer unit 3420 may be provided movably on the guide rail 3300 . .

도 4는 도 3의 반송 로봇의 핸드의 일 예를 보여주는 도면이다. 도 6을 참조하면, 핸드(A)는 베이스(3428) 및 지지 돌기(3429)를 가진다. 베이스(3428)는 원주의 일부가 절곡된 환형의 링 형상을 가질 수 있다. 베이스(3428)는 기판(W)의 직경보다 큰 내경을 가진다. 지지 돌기(3429)는 베이스(3428)로부터 그 내측으로 연장된다. 지지 돌기(3429)는 복수 개가 제공되며, 기판(W)의 가장자리 영역을 지지한다. 일 예에 의하며, 지지 돌기(3429)는 등 간격으로 4개가 제공될 수 있다.FIG. 4 is a view showing an example of a hand of the transport robot of FIG. 3 . Referring to FIG. 6 , the hand A has a base 3428 and a support protrusion 3429 . The base 3428 may have an annular ring shape in which a portion of the circumference is bent. The base 3428 has an inner diameter greater than the diameter of the substrate W. As shown in FIG. A support protrusion 3429 extends from the base 3428 inward thereof. A plurality of support protrusions 3429 are provided, and support an edge region of the substrate W. As shown in FIG. According to an example, four support protrusions 3429 may be provided at equal intervals.

다시 도 2와 도 3을 참조하면, 열처리 챔버(3200)는 복수 개로 제공된다. 열처리 챔버들(3200)은 제1방향(12)을 따라 나열되게 배치된다. 열처리 챔버들(3200)은 반송 챔버(3400)의 일측에 위치된다.Referring back to FIGS. 2 and 3 , a plurality of heat treatment chambers 3200 are provided. The heat treatment chambers 3200 are arranged in a row along the first direction 12 . The heat treatment chambers 3200 are located at one side of the transfer chamber 3400 .

도 5은 도 3의 열처리 챔버의 일 예를 개략적으로 보여주는 평단면도이고, 도 6은 도 5의 열처리 챔버의 정단면도이다. 열처리 챔버(3200)는 하우징(3210), 냉각 유닛(3220), 가열 유닛(5000), 그리고 반송 플레이트(3240)를 가진다. 5 is a plan sectional view schematically illustrating an example of the heat treatment chamber of FIG. 3 , and FIG. 6 is a front cross-sectional view of the heat treatment chamber of FIG. 5 . The heat treatment chamber 3200 includes a housing 3210 , a cooling unit 3220 , a heating unit 5000 , and a conveying plate 3240 .

하우징(3210)은 대체로 직육면체의 형상으로 제공된다. 하우징(3210)의 측벽에는 기판(W)이 출입되는 반입구(도시되지 않음)가 형성된다. 반입구는 개방된 상태로 유지될 수 있다. 선택적으로 반입구를 개폐하도록 도어(도시되지 않음)가 제공될 수 있다. 냉각 유닛(3220), 가열 유닛(5000), 그리고 반송 플레이트(3240)는 하우징(3210) 내에 제공된다. 냉각 유닛(3220) 및 가열 유닛(5000)은 Y축 방향(14)을 따라 나란히 제공된다. 일 예에 의하면, 냉각 유닛(3220)은 가열 유닛(5000)에 비해 반송 챔버(3400)에 더 가깝게 위치될 수 있다.The housing 3210 is provided in the shape of a substantially rectangular parallelepiped. An inlet (not shown) through which the substrate W enters and exits is formed on the sidewall of the housing 3210 . The inlet may remain open. A door (not shown) may optionally be provided to open and close the inlet. A cooling unit 3220 , a heating unit 5000 , and a conveying plate 3240 are provided in the housing 3210 . The cooling unit 3220 and the heating unit 5000 are provided side by side along the Y-axis direction 14 . According to an example, the cooling unit 3220 may be located closer to the transfer chamber 3400 than the heating unit 5000 .

냉각 유닛(3220)은 냉각판(3222)을 가진다. 냉각판(3222)은 상부에서 바라볼 때 대체로 원형의 형상을 가질 수 있다. 냉각판(3222)에는 냉각부재(3224)가 제공된다. 일 예에 의하면, 냉각부재(3224)는 냉각판(3222)의 내부에 형성되며, 냉각 유체가 흐르는 유로로 제공될 수 있다. The cooling unit 3220 has a cooling plate 3222 . The cooling plate 3222 may have a generally circular shape when viewed from the top. The cooling plate 3222 is provided with a cooling member 3224 . According to an example, the cooling member 3224 is formed inside the cooling plate 3222 and may be provided as a flow path through which the cooling fluid flows.

반송 플레이트(3240)는 대체로 원판 형상을 제공되고, 기판(W)과 대응되는 직경을 가진다. 반송 플레이트(3240)의 가장자리에는 노치(3244)가 형성된다. 노치(3244)는 상술한 반송 로봇(3420)의 핸드(A)에 형성된 돌기(3429)와 대응되는 형상을 가질 수 있다. 또한, 노치(3244)는 핸드(A)에 형성된 돌기(3429)와 대응되는 수로 제공되고, 돌기(3429)와 대응되는 위치에 형성된다. 핸드(A)와 반송 플레이트(3240)가 상하 방향으로 정렬된 위치에서 핸드(A)와 반송 플레이트(3240)의 상하 위치가 변경하면 핸드(A)와 반송 플레이트(3240) 간에 기판(W)의 전달이 이루어진다. 반송 플레이트(3240)는 가이드 레일(3249) 상에 장착되고, 구동기(3246)에 의해 가이드 레일(3249)을 따라 이동된다. 반송 플레이트(3240)에는 슬릿 형상의 가이드 홈(3242)이 복수 개 제공된다. 가이드 홈(3242)은 반송 플레이트(3240)의 끝단에서 반송 플레이트(3240)의 내부까지 연장된다. 가이드 홈(3242)은 그 길이 방향이 Y축 방향(14)을 따라 제공되고, 가이드 홈(3242)들은 X축 방향(12)을 따라 서로 이격되게 위치된다. 가이드 홈(3242)은 반송 플레이트(3240)와 가열 유닛(5000) 간에 기판(W)의 인수인계가 이루어질 때 반송 플레이트(3240)와 리프트 핀이 서로 간섭되는 것을 방지한다. The transport plate 3240 is provided in a substantially circular plate shape and has a diameter corresponding to that of the substrate W. A notch 3244 is formed at an edge of the conveying plate 3240 . The notch 3244 may have a shape corresponding to the protrusion 3429 formed on the hand A of the transfer robot 3420 described above. In addition, the notch 3244 is provided in a number corresponding to the protrusions 3429 formed on the hand A, and is formed at positions corresponding to the protrusions 3429 . When the upper and lower positions of the hand A and the transfer plate 3240 are changed in the position where the hand A and the transfer plate 3240 are aligned in the vertical direction, the substrate W is moved between the hand A and the transfer plate 3240. transmission takes place The conveying plate 3240 is mounted on the guide rail 3249 and is moved along the guide rail 3249 by the actuator 3246 . A plurality of slit-shaped guide grooves 3242 are provided in the carrying plate 3240 . The guide groove 3242 extends from the end of the carrying plate 3240 to the inside of the carrying plate 3240 . The guide grooves 3242 are provided along the Y-axis direction 14 in their longitudinal direction, and the guide grooves 3242 are spaced apart from each other along the X-axis direction 12 . The guide groove 3242 prevents the transfer plate 3240 and the lift pins from interfering with each other when the substrate W is transferred between the transfer plate 3240 and the heating unit 5000 .

열처리 챔버들(3200) 중 일부의 열처리 챔버(3200)에 제공된 가열 유닛(5000)은 기판(W) 가열 중에 가스를 공급하여 포토레지스트의 기판(W) 부착력을 향상시킬 수 있다. 일 예에 의하면, 가스는 헥사메틸다이사이레인(hexamethyldisilane) 가스일 수 있다. 이하에서는, 열처리 챔버(3200)에 제공된 가열 유닛(5000) 중 기판에 포토레지스트의 부착력을 향상시키는 가스를 공급하는 장치를 예를 들어 설명한다.The heating unit 5000 provided in some of the heat treatment chambers 3200 may supply a gas while heating the substrate W to improve adhesion of the photoresist to the substrate W. According to an example, the gas may be hexamethyldisilane gas. Hereinafter, an apparatus for supplying a gas for improving adhesion of a photoresist to a substrate among the heating units 5000 provided in the heat treatment chamber 3200 will be described as an example.

도 7은 도 6의 가열 유닛에 제공되는 기판 처리 장치를 보여주는 단면도이다. 이하, 도 7을 참조하면, 가열 유닛(5000)에 제공되는 기판 처리 장치는, 공정 챔버(5010), 실링 부재(5020), 지지 유닛(5030), 가스 공급 유닛(5050), 배기 유닛(5070), 온도 조절 부재(5100), 측정 부재(5200), 그리고 제어기(5090)를 포함한다.7 is a cross-sectional view illustrating a substrate processing apparatus provided in the heating unit of FIG. 6 . Hereinafter, referring to FIG. 7 , the substrate processing apparatus provided in the heating unit 5000 includes a process chamber 5010 , a sealing member 5020 , a support unit 5030 , a gas supply unit 5050 , and an exhaust unit 5070 . ), a temperature control member 5100 , a measurement member 5200 , and a controller 5090 .

공정 챔버(5010)는 내부에 처리 공간(5001)을 제공한다. 공정 챔버(5010)는 금속을 포함하는 재질로 제공될 수 있다. 예컨대, 공정 챔버(5010)는 알루미늄 또는 스테인리스 스틸을 포함하는 재질로 제공될 수 있다. 또한, 공정 챔버(5010)의 표면은 산화 알루미늄 또는 니켈을 포함하는 재질로 도금 처리 될 수 있다. 공정 챔버(5010)의 표면에 제공되는 산화 알루미늄은 애노다이징(Anodizing)을 통해 형성된 산화 알루미늄일 수 있다.The process chamber 5010 provides a processing space 5001 therein. The process chamber 5010 may be made of a material including a metal. For example, the process chamber 5010 may be made of a material including aluminum or stainless steel. In addition, the surface of the process chamber 5010 may be plated with a material including aluminum oxide or nickel. The aluminum oxide provided on the surface of the process chamber 5010 may be aluminum oxide formed through anodizing.

공정 챔버(5010)는 원통 형상으로 제공될 수 있다. 이와 달리, 직육면체 형상으로 제공될 수 있다. 공정 챔버(5010)는 상부 챔버(5011)와 하부 챔버(5013)를 포함할 수 있다. 상부 챔버(5010)와 하부 챔버(5013)는 서로 조합되어 내부에 처리 공간(5001)을 가질 수 있다.The process chamber 5010 may be provided in a cylindrical shape. Alternatively, it may be provided in a rectangular parallelepiped shape. The process chamber 5010 may include an upper chamber 5011 and a lower chamber 5013 . The upper chamber 5010 and the lower chamber 5013 may be combined with each other to have a processing space 5001 therein.

상부 챔버(5011)는 상부에서 바라 볼 때, 원형의 형상으로 제공될 수 있다. 하부 챔버(5013)는 상부 챔버(5011)의 하부에 위치할 수 있다. 하부 챔버(5013)는 상부에서 바라 볼 때, 원형의 형상으로 제공될 수 있다.The upper chamber 5011 may be provided in a circular shape when viewed from above. The lower chamber 5013 may be located below the upper chamber 5011 . The lower chamber 5013 may be provided in a circular shape when viewed from the top.

구동기(5015)는 상부 챔버(5011)와 결합할 수 있다. 구동기(5015)는 상부 챔버(5011)를 상하로 승하강시킬 수 있다. 구동기(5015)는 공정 챔버(5010) 내부로 기판(W)을 반입시 상부 챔버(5011)를 상부로 이동시켜 공정 챔버(5010)의 내부를 개방할 수 있다. 구동기(5015)는 기판(W)을 처리하는 공정 시 상부(5011)를 하부 챔버(5013)와 접촉시켜 공정 챔버(5010) 내부를 밀폐시킬 수 있다. 본 실시예에서는 구동기(5015)가 상부챔버(5011)와 연결되어 제공되는 것을 예로 들었으나, 이와 달리 구동기(5015)는 하부 챔버(5013)와 연결되어 하부챔버(5013)를 승하강 시킬 수 있다.The actuator 5015 may be coupled to the upper chamber 5011 . The actuator 5015 may move the upper chamber 5011 up and down. The driver 5015 may open the interior of the process chamber 5010 by moving the upper chamber 5011 upward when the substrate W is loaded into the process chamber 5010 . The driver 5015 may seal the inside of the process chamber 5010 by bringing the upper part 5011 into contact with the lower chamber 5013 during the process of processing the substrate W. In this embodiment, the actuator 5015 is connected to the upper chamber 5011 and provided as an example, but unlike this, the actuator 5015 is connected to the lower chamber 5013 to raise and lower the lower chamber 5013. .

실링 부재(5020)는 처리 공간(5001)의 외부로부터 밀폐시킨다. 실링 부재(5020)는 상부 챔버(5011)와 하부 챔버(5013)의 접촉면에 설치된다. 일 예로 실링 부재(5020)는 하부 챔버(5013)의 접촉면에서 설치될 수 있다.The sealing member 5020 seals the processing space 5001 from the outside. The sealing member 5020 is installed on a contact surface between the upper chamber 5011 and the lower chamber 5013 . For example, the sealing member 5020 may be installed on the contact surface of the lower chamber 5013 .

지지 유닛(5030)은 기판(W)을 지지할 수 있다. 지지 유닛(5030)은 처리 공간(5001) 내에서 기판(W)을 지지할 수 있다. 지지 유닛(5030)은 상부에서 바라 볼 때, 원형의 형상으로 제공될 수 있다. 지지 유닛(5030)의 상면은 기판(W)보다 큰 단면적을 가질 수 있다. 지지 유닛(5030)은 열 전도성이 좋은 재질로 제공될 수 있다. 지지 유닛(5030)은 내열성이 우수한 재질로 제공될 수 있다.The support unit 5030 may support the substrate W. The support unit 5030 may support the substrate W in the processing space 5001 . The support unit 5030 may be provided in a circular shape when viewed from the top. The upper surface of the support unit 5030 may have a larger cross-sectional area than the substrate W. The support unit 5030 may be made of a material having good thermal conductivity. The support unit 5030 may be made of a material having excellent heat resistance.

지지 유닛(5030)은 기판(W)을 승하강 시키는 리프트 핀 모듈(5032)을 포함할 수 있다. 리프트 핀 모듈(5032)은 공정 챔버(5010) 외부의 반송 수단으로부터 기판(W)을 인수받아 지지 유닛(5030) 상에 내려 놓거나, 기판(W)을 들어올려 공정 챔버(5010)의 외부의 반송 수단으로 인계할 수 있다. 일 예에 의하면, 리프트 핀 모듈(5032)의 리프트 핀은 3개가 제공될 수 있다.The support unit 5030 may include a lift pin module 5032 for elevating the substrate W. The lift pin module 5032 receives the substrate W from the transfer means outside the process chamber 5010 and puts it down on the support unit 5030 , or lifts the substrate W to transfer the substrate W to the outside of the process chamber 5010 . can be transferred by means. According to an example, three lift pins of the lift pin module 5032 may be provided.

또한, 지지 유닛(5030)은 지지 유닛(5030)에 놓인 기판(W)을 가열하는 가열 부재(5040)를 포함할 수 있다. 예컨대, 가열 부재(5040)는 지지 유닛(5030)의 내부에 위치할 수 있다. 일 예로, 가열 유닛(5040)은 히터로 제공될 수 있다. 히터는 지지 유닛(5030)의 내부에 복수 개로 제공될 수 있다.In addition, the support unit 5030 may include a heating member 5040 for heating the substrate W placed on the support unit 5030 . For example, the heating member 5040 may be located inside the support unit 5030 . For example, the heating unit 5040 may be provided as a heater. A plurality of heaters may be provided inside the support unit 5030 .

가스 공급 유닛(5050)은 처리 공간(5001) 내에 위치한 기판(W)으로 처리 가스를 공급할 수 있다. 처리 가스는 밀착용 가스를 포함할 수 있다. 일 예로 처리 가스는 헥사메틸다이사이레인(HMDS)을 포함할 수 있다. 처리 가스는 기판(W)의 성질을 친수성에서 소수성으로 변화시킬 수 있다. 즉, 처리 가스는 소수화 가스일 수 있다. 또한, 처리 가스는 액상의 헥사메틸다이사이레인(HMDS)이 입자화 된 가스일 수 있다. 예컨대, 액상의 헥사메틸다이사이레인(HMDS)이 버블링되어 입자화 된 가스일 수 있다. 또한 처리 가스는 캐리어 가스와 혼합되어 제공될 수 있다. 캐리어 가스는 불활성가스로 제공될 수 있다. 일 예로 불활성 가스는 질소 가스일 수 있다. The gas supply unit 5050 may supply a processing gas to the substrate W located in the processing space 5001 . The processing gas may include a gas for adhesion. For example, the processing gas may include hexamethyldisyrein (HMDS). The processing gas may change the properties of the substrate W from hydrophilicity to hydrophobicity. That is, the processing gas may be a hydrophobization gas. In addition, the processing gas may be a gas in which liquid hexamethyldicyrein (HMDS) is granulated. For example, it may be a gas in which liquid hexamethyldicyrein (HMDS) is bubbled and granulated. In addition, the processing gas may be provided mixed with the carrier gas. The carrier gas may be provided as an inert gas. For example, the inert gas may be nitrogen gas.

가스 공급 유닛(5050)은 가스 공급관(5051)과 가스 공급 라인(5053)을 포함할 수 있다. 가스 공급관(5051)은 상부 챔버(5011)의 중앙 영역에 연결될 수 있다. 가스 공급관(5051)은 가스 공급 라인(5053)에서 전달된 처리 가스를 기판(W)으로 공급할 수 있다. 가스 공급관(5051)이 공급하는 처리 가스의 공급 위치는 기판(W)의 중앙 상부 영역과 대향 되도록 위치할 수 있다.The gas supply unit 5050 may include a gas supply pipe 5051 and a gas supply line 5053 . The gas supply pipe 5051 may be connected to the central region of the upper chamber 5011 . The gas supply pipe 5051 may supply the processing gas delivered from the gas supply line 5053 to the substrate W. A supply position of the processing gas supplied by the gas supply pipe 5051 may be positioned to face the central upper region of the substrate W. Referring to FIG.

배기 유닛(5070)은 처리 공간(5001)을 배기한다. 배기 유닛(5070)은 배기 라인(5071) 그리고 감압 부재(5075)를 포함할 수 있다.The exhaust unit 5070 exhausts the processing space 5001 . The exhaust unit 5070 may include an exhaust line 5071 and a pressure reducing member 5075 .

배기 라인(5071)은 처리 공간(5001)을 배기할 수 있다. 배기 라인(5071)은 공정 챔버(5010)의 측벽에 제공될 수 있다. 이에 배기 라인(5071)은 처리 공간(5001)의 내부를 측방향으로 배기할 수 있다. 배기 라인(5071)은 지지 유닛(5030)의 상면의 주변 위치에서 처리 공간(5001)을 배기할 수 있다. 예컨대, 배기 라인(5071)은 지지 유닛(5030)의 상면과 같거나 높은 위치에서 처리 공간(5001)을 배기할 수 있다. 또한, 배기 라인(5071)은 배기홀(5072)과 연결될 수 있다. 배기홀(5072)은 하부 챔버(5013)에 형성될 수 있다. 예컨대, 배기홀(5072)은 상부 챔버(5011)와 하부 챔버(5013)가 접촉되는 영역에 제공될 수 있다. 또한, 배기홀(5072)은 지지 유닛(5030)을 기준으로 실링 부재(5020)보다 내측에 형성될 수 있다. 배기홀(5072)은 하부 챔버(5013)에 링 형상으로 제공될 수 있다. 이와 달리 배기홀(5072)은 복수개의 홀로 제공될 수 있다. 배기 라인(5071)은 배기홀(5072)과 연결되어 처리 공간(5001)을 배기할 수 있다. 배기 라인(5071)은 배기홀(5072)과 대응되는 개수로 제공될 수 있다.The exhaust line 5071 may exhaust the processing space 5001 . The exhaust line 5071 may be provided on a sidewall of the process chamber 5010 . Accordingly, the exhaust line 5071 may laterally exhaust the interior of the processing space 5001 . The exhaust line 5071 may exhaust the processing space 5001 at a position around the upper surface of the support unit 5030 . For example, the exhaust line 5071 may exhaust the processing space 5001 at a position equal to or higher than the upper surface of the support unit 5030 . Also, the exhaust line 5071 may be connected to the exhaust hole 5072 . The exhaust hole 5072 may be formed in the lower chamber 5013 . For example, the exhaust hole 5072 may be provided in a region where the upper chamber 5011 and the lower chamber 5013 contact each other. Also, the exhaust hole 5072 may be formed inside the sealing member 5020 with respect to the support unit 5030 . The exhaust hole 5072 may be provided in the lower chamber 5013 in a ring shape. Alternatively, the exhaust hole 5072 may be provided with a plurality of holes. The exhaust line 5071 may be connected to the exhaust hole 5072 to exhaust the processing space 5001 . The exhaust line 5071 may be provided in a number corresponding to the exhaust hole 5072 .

감압 부재(5075)는 배기 라인(5071)에 감압을 제공할 수 있다. 감압 부재(5075)는 배기 라인(5071)에 설치되는 밸브(5078)를 포함할 수 있다. 감압 부재(5075)는 펌프로 제공될 수 있다. 이와 달리 감압 부재는 감압을 제공하는 공지의 장치로 제공될 수 있다.The pressure reducing member 5075 may provide pressure reduction to the exhaust line 5071 . The pressure reducing member 5075 may include a valve 5078 installed in the exhaust line 5071 . The pressure reducing member 5075 may be provided as a pump. Alternatively, the pressure reducing member may be provided with a known device for providing pressure reduction.

온도 조절 부재(5100)는 공정 챔버(5010)의 온도를 조절할 수 있다. 온도 조절 부재(5100)는 공정 챔버(5100) 중 상부 챔버(5011)에 제공될 수 있다. 온도 조절 부재(5100)는 상부 챔버(5011)의 온도를 조절할 수 있다. 온도 조절 부재(5100)는 상부 챔버(5011) 내에 제공될 수 있다. 그러나 이에 한정되는 것은 아니고 온도 조절 부재(5100)는 상부 챔버(5011)의 내측면에 장착될 수도 있다.The temperature control member 5100 may control the temperature of the process chamber 5010 . The temperature control member 5100 may be provided in the upper chamber 5011 of the process chamber 5100 . The temperature control member 5100 may control the temperature of the upper chamber 5011 . The temperature control member 5100 may be provided in the upper chamber 5011 . However, the present invention is not limited thereto, and the temperature control member 5100 may be mounted on the inner surface of the upper chamber 5011 .

온도 조절 부재(5100)는 히터일 수 있다. 온도 조절 부재(5100)는 시즈 히터일 수 있다. 온도 조절 부재(5100)는 상부에서 바라볼 때 판 형상을 가질 수 있다. 온도 조절 부재(5100)는 상부에서 바라볼 때 중앙에 개구가 형성된 판 형상을 가질 수 있다. 온도 조절 부재(5100)에 형성된 개구는 가스 공급관(5051)이 삽입될 수 있다. 가스 공급관(5051)은 온도 조절 부재(5100)에 의해 일정 온도로 가열될 수 있다. 이에, 가스 공급관(5051)을 통해 공급되는 처리 가스는 일정 온도로 가열될 수 있다. 이에, 가열된 기판(W)의 온도와 처리 가스의 온도 차이를 줄일 수 있다. 기판(W)의 온도와 처리 가스의 온도 차이로 발생되는 문제점들을 최소화 할 수 있다. 예컨대, 기판(W)의 온도와 처리 가스의 온도 차이로 기판(W)에 전달되는 열 응력에 의해 기판(W)이 파손되는 것을 최소화 할 수 있다. 또한, 기판(W)의 온도와 처리 가스의 온도 차이로 처리 공간(5001)에서 열 대류 현상이 발생하는 것을 최소화 할 수 있다. The temperature control member 5100 may be a heater. The temperature control member 5100 may be a sheath heater. The temperature control member 5100 may have a plate shape when viewed from the top. The temperature control member 5100 may have a plate shape in which an opening is formed in the center when viewed from the top. A gas supply pipe 5051 may be inserted into the opening formed in the temperature control member 5100 . The gas supply pipe 5051 may be heated to a predetermined temperature by the temperature control member 5100 . Accordingly, the processing gas supplied through the gas supply pipe 5051 may be heated to a predetermined temperature. Accordingly, a difference between the temperature of the heated substrate W and the temperature of the processing gas may be reduced. Problems caused by a difference between the temperature of the substrate W and the temperature of the processing gas may be minimized. For example, it is possible to minimize damage to the substrate W due to thermal stress transferred to the substrate W due to a temperature difference between the temperature of the substrate W and the processing gas. In addition, it is possible to minimize the occurrence of thermal convection in the processing space 5001 due to a temperature difference between the temperature of the substrate W and the processing gas.

측정 부재(5200)는 지지 유닛(5030)에 지지된 기판(W)의 온도를 측정할 수 있다. 측정 부재(5200)는 기판(W)으로 광을 조사하여 기판(W)의 온도를 측정할 수 있다. 측정 부재(5200)는 기판(W)으로 레이저를 조사하여 기판(W)의 온도를 측정할 수 있다. 그러나, 이에 한정되는 것은 아니고 측정 부재(5200)는 기판(W)의 온도를 측정할 수 있는 공지의 장치로 다양하게 변형될 수 있다. 또한, 측정 부재(5200)는 공정 챔버(5010)의 내측면에 장착될 수 있다. 측정 부재(5200)는 상부 챔버(5011)의 내측면에 장착될 수 있다. 측정 부재(5200)는 지지 유닛(5030)보다 상부에 배치될 수 있다. 그러나, 이에 한정되는 것은 아니고 측정 부재(5200)는 지지 유닛(5030)에 지지된 기판(W)의 온도를 측정할 수 있는 다양한 위치에 제공될 수 있다.The measuring member 5200 may measure the temperature of the substrate W supported by the support unit 5030 . The measuring member 5200 may measure the temperature of the substrate W by irradiating light to the substrate W. The measuring member 5200 may measure the temperature of the substrate W by irradiating a laser to the substrate W. However, the present invention is not limited thereto, and the measuring member 5200 may be variously modified into a known device capable of measuring the temperature of the substrate W. Also, the measuring member 5200 may be mounted on the inner surface of the process chamber 5010 . The measuring member 5200 may be mounted on an inner surface of the upper chamber 5011 . The measuring member 5200 may be disposed above the support unit 5030 . However, the present invention is not limited thereto, and the measuring member 5200 may be provided at various positions capable of measuring the temperature of the substrate W supported by the support unit 5030 .

제어기(5090)는 기판 처리 장치(1)를 제어할 수 있다. 제어기(5090)는 이하에서 설명하는 기판 처리 방법을 수행할 수 있도록 기판 처리 장치(1)를 제어할 수 있다. 예컨대, 제어기(5090)는 가스 공급 유닛(5050)과 배기 유닛(5070)을 제어할 수 있다. 제어기(5090)는 온도 조절 부재(5100), 그리고 측정 부재(5200)를 제어할 수 있다. 제어기(5090)는 측정 부재(5200)가 측정하는 기판(W)의 온도에 따라 공정 챔버(5010)의 온도를 조절하도록 측정 부재(5200), 그리고 온도 조절 부재(5100)를 제어할 수 있다.The controller 5090 may control the substrate processing apparatus 1 . The controller 5090 may control the substrate processing apparatus 1 to perform a substrate processing method described below. For example, the controller 5090 may control the gas supply unit 5050 and the exhaust unit 5070 . The controller 5090 may control the temperature adjusting member 5100 and the measuring member 5200 . The controller 5090 may control the measuring member 5200 and the temperature adjusting member 5100 to adjust the temperature of the process chamber 5010 according to the temperature of the substrate W measured by the measuring member 5200 .

이하에서는 본 발명의 일 실시 예에 따른 기판 처리 방법을 설명한다. 도 8은 기판과 공정 챔버 사이의 온도 차이가 작은 경우, 공정 챔버로 공급되는 가스의 유동을 보여주는 도면이고, 도 9는 기판과 공정 챔버 사이의 온도 차이가 큰 경우, 공정 챔버로 공급되는 가스의 유동을 보여주는 도면이다. 예컨대, 도 8은 공정 챔버(5010)의 온도와 기판(W)의 온도 차이가 제1온도차인 경우 처리 가스(G)의 유동을 보여주는 도면이다. 또한, 도 9는 공정 챔버(5010)의 온도와 기판(W)의 온도 차이가 제2온도차인 경우 처리 가스(G)의 유동을 보여주는 도면이다. 제1온도차는 제2온도차보다 작은 온도 차이일 수 있다.Hereinafter, a substrate processing method according to an embodiment of the present invention will be described. 8 is a view showing the flow of gas supplied to the process chamber when the temperature difference between the substrate and the process chamber is small, and FIG. 9 is the flow of gas supplied to the process chamber when the temperature difference between the substrate and the process chamber is large. A diagram showing the flow. For example, FIG. 8 is a diagram illustrating the flow of the processing gas G when the difference between the temperature of the process chamber 5010 and the temperature of the substrate W is the first temperature difference. Also, FIG. 9 is a diagram illustrating the flow of the processing gas G when the difference between the temperature of the process chamber 5010 and the temperature of the substrate W is the second temperature difference. The first temperature difference may be a smaller temperature difference than the second temperature difference.

도 8과 도9를 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 방법은 공정 챔버(5010)가 가지는 처리 공간(5001)에 처리 가스(G)를 공급하여 기판(W)을 처리할 수 있다. 그리고, 공정 챔버(5010) 내에 제공되는 지지 유닛(5030)은 기판(W)을 가열할 수 있다. 공정 챔버(5010)에 제공되는 측정 부재(5200)는 기판(W)의 온도를 측정할 수 있다. 측정 부재(5200)가 측정한 기판(W)의 온도 값은 제어기(5090)로 전달될 수 있다. 제어기(5090)는 측정 부재(5200)가 측정한 기판(W)의 온도 값에 근거하여 온도 조절 부재(5100)를 제어할 수 있다. 즉, 온도 조절 부재(5100)는 기판(W)의 온도 값에 근거하여 공정 챔버(5010)의 온도를 조절할 수 있다. 온도 조절 부재(5100)는 상부 챔버(5011)의 온도를 조절하여 공정 챔버(5010)의 온도를 조절할 수 있다. 일 예로, 온도 조절 부재(5100)는 기판(W)의 온도와 공정 챔버(5010)의 온도 차이가 작아지도록 공정 챔버(5010)의 온도를 조절할 수 있다. 기판(W)의 온도와 공정 챔버(5010)의 온도 차이가 작아지면, 처리 공간(5001) 내에서 열의 대류는 적게 발생한다. 이에, 처리 공간(5001)으로 공급되는 처리 가스(G)에 와류가 발생하는 것을 최소화 할 수 있다.8 and 9 , in the substrate processing method according to an embodiment of the present invention, the substrate W may be processed by supplying the processing gas G to the processing space 5001 of the process chamber 5010 . have. In addition, the support unit 5030 provided in the process chamber 5010 may heat the substrate W. The measuring member 5200 provided in the process chamber 5010 may measure the temperature of the substrate W. The temperature value of the substrate W measured by the measuring member 5200 may be transmitted to the controller 5090 . The controller 5090 may control the temperature adjusting member 5100 based on the temperature value of the substrate W measured by the measuring member 5200 . That is, the temperature control member 5100 may control the temperature of the process chamber 5010 based on the temperature value of the substrate W. Referring to FIG. The temperature control member 5100 may control the temperature of the process chamber 5010 by adjusting the temperature of the upper chamber 5011 . For example, the temperature control member 5100 may adjust the temperature of the process chamber 5010 so that the difference between the temperature of the substrate W and the temperature of the process chamber 5010 is small. When the difference between the temperature of the substrate W and the temperature of the process chamber 5010 is small, heat convection is less generated in the processing space 5001 . Accordingly, it is possible to minimize the occurrence of a vortex in the processing gas G supplied to the processing space 5001 .

또한, 본 발명의 일 실시 예에 따른 기판 처리 방법에 의하면 공정 챔버(5010)와 기판(W) 사이의 온도 차이를 조절하여 기판(W)의 표면과 처리 가스(G)의 콘택트 앵글을 제어할 수 있다. 예를 들어, 기판(W)의 온도와 공정 챔버(5010) 사이의 온도 차이가 제1온도차 인 경우, 처리 가스(G)는 강한 하강 기류로 처리 공간(5001)에 공급될 수 있다. 이는 처리 공간(5001)에 열의 대류가 적게 발생하기 때문이다. 또한, 기판(W)의 온도와 공정 챔버(5010) 사이의 온도 차이가 제2온도차 인 경우, 처리 가스(G)는 약한 하강 기류로 처리 공간(5001)에 공급될 수 있다. 이는 처리 공간(5001)에 열의 대류가 발생하기 때문이다.In addition, according to the substrate processing method according to an embodiment of the present invention, the contact angle between the surface of the substrate W and the processing gas G may be controlled by adjusting the temperature difference between the process chamber 5010 and the substrate W. can For example, when the temperature difference between the temperature of the substrate W and the process chamber 5010 is the first temperature difference, the processing gas G may be supplied to the processing space 5001 as a strong descending airflow. This is because less convection of heat is generated in the processing space 5001 . In addition, when the temperature difference between the temperature of the substrate W and the process chamber 5010 is the second temperature difference, the processing gas G may be supplied to the processing space 5001 as a weak downward airflow. This is because heat convection occurs in the processing space 5001 .

처리 가스(G)가 강한 하강 기류로 처리 공간(5001)에 공급되는 경우, 기판(W)의 표면과 처리 가스 사이의 콘택트 앵글은 도 10에 도시된 바와 같이 제1각도(A1)일 수 있다. 처리 가스(G)가 약한 하강 기류로 처리 공간(5001)에 공급되는 경우, 기판(W)의 표면과 처리 가스 사이의 콘택트 앵글은 도 11에 도시된 바와 같이 제2각도(A2)일 수 있다. 제1각도(A1)는 제2각도(A2)보다 큰 각도일 수 있다.When the processing gas G is supplied to the processing space 5001 as a strong descending airflow, the contact angle between the surface of the substrate W and the processing gas may be a first angle A1 as shown in FIG. 10 . . When the processing gas G is supplied to the processing space 5001 with a weak downdraft flow, the contact angle between the surface of the substrate W and the processing gas may be a second angle A2 as shown in FIG. 11 . . The first angle A1 may be greater than the second angle A2.

기판(W)의 표면과 처리 가스(G) 사이에 콘택트 앵글이 지나치게 작은 경우 기판(W)에 대한 소수화 처리가 적절히 수행되지 않을 수 있다. 또한, 기판(W)의 표면과 처리 가스(G) 사이에 콘택트 앵글이 지나치게 큰 경우, 이후의 처리 단계에서 공급되는 감광액이 설정 값 이상으로 두껍게 도포될 수 있다. 즉, 본 발명의 일 실시 예에 따른 기판 처리 방법은, 기판(W)의 표면과 처리 가스(G) 사이의 콘택트 앵글을 크게하는 경우 공정 챔버(5010)의 온도와 기판(W)의 온도 차이를 작게 할 수 있다. 또한, 기판(W)의 표면과 처리 가스(G) 사이의 콘택트 앵글을 작게하는 경우 공정 챔버(5010)의 온도와 기판(W)의 온도 차이를 크게 할 수 있다. 이에, 이후에 수행되는 도포 단계에서 감광액이 기 설정된 두께로 도포될 수 있도록 한다.If the contact angle between the surface of the substrate W and the processing gas G is too small, the hydrophobization treatment for the substrate W may not be properly performed. In addition, when the contact angle between the surface of the substrate W and the processing gas G is too large, the photoresist supplied in the subsequent processing step may be applied thicker than a set value. That is, in the substrate processing method according to an embodiment of the present invention, when the contact angle between the surface of the substrate W and the processing gas G is increased, the temperature difference between the temperature of the process chamber 5010 and the substrate W can be made smaller In addition, when the contact angle between the surface of the substrate W and the processing gas G is reduced, the temperature difference between the temperature of the process chamber 5010 and the substrate W may be increased. Accordingly, the photoresist may be applied to a predetermined thickness in the subsequent application step.

다시 도 2 및 도 3을 참조하면, 버퍼 챔버(3800)는 복수 개로 제공된다. 버퍼 챔버들(3800) 중 일부는 인덱스 모듈(20)과 반송 챔버(3400) 사이에 배치된다. 이하, 이들 버퍼 챔버를 전단 버퍼(3802)(front buffer)라 칭한다. 전단 버퍼들(3802)은 복수 개로 제공되며, 상하 방향을 따라 서로 적층되게 위치된다. 버퍼 챔버들(3802, 3804) 중 다른 일부는 반송 챔버(3400)와 인터페이스 모듈(40) 사이에 배치된다 이하. 이들 버퍼 챔버를 후단 버퍼(3804)(rear buffer)라 칭한다. 후단 버퍼들(3804)은 복수 개로 제공되며, 상하 방향을 따라 서로 적층되게 위치된다. 전단 버퍼들(3802) 및 후단 버퍼들(3804) 각각은 복수의 기판들(W)을 일시적으로 보관한다. 전단 버퍼(3802)에 보관된 기판(W)은 인덱스 로봇(2200) 및 반송 로봇(3420)에 의해 반입 또는 반출된다. 후단 버퍼(3804)에 보관된 기판(W)은 반송 로봇(3420) 및 제1로봇(4602)에 의해 반입 또는 반출된다. Referring back to FIGS. 2 and 3 , a plurality of buffer chambers 3800 are provided. Some of the buffer chambers 3800 are disposed between the index module 20 and the transfer chamber 3400 . Hereinafter, these buffer chambers are referred to as a front buffer 3802 (front buffer). The front-end buffers 3802 are provided in plurality, and are positioned to be stacked on each other in the vertical direction. Another portion of the buffer chambers 3802 and 3804 is disposed between the transfer chamber 3400 and the interface module 40 below. These buffer chambers are referred to as rear buffer 3804 (rear buffer). The rear end buffers 3804 are provided in plurality, and are positioned to be stacked on each other in the vertical direction. Each of the front-end buffers 3802 and the back-end buffers 3804 temporarily stores a plurality of substrates W. As shown in FIG. The substrate W stored in the shear buffer 3802 is loaded or unloaded by the index robot 2200 and the transfer robot 3420 . The substrate W stored in the downstream buffer 3804 is carried in or carried out by the transfer robot 3420 and the first robot 4602 .

현상 블럭(30b)은 열처리 챔버(3200), 반송 챔버(3400), 그리고 액처리 챔버(3600)를 가진다. 현상 블럭(30b)의 열처리 챔버(3200), 반송 챔버(3400), 그리고 액처리 챔버(3600)는 도포 블럭(30a)의 열처리 챔버(3200), 반송 챔버(3400), 그리고 액처리 챔버(3600)와 대체로 유사한 구조 및 배치로 제공되므로, 이에 대한 설명은 생략한다. 다만, 현상 블록(30b)에서 액처리 챔버들(3600)은 모두 동일하게 현상액을 공급하여 기판을 현상 처리하는 현상 챔버(3600)로 제공된다.The developing block 30b has a heat treatment chamber 3200 , a transfer chamber 3400 , and a liquid treatment chamber 3600 . The heat treatment chamber 3200 , the transfer chamber 3400 , and the liquid treatment chamber 3600 of the developing block 30b are the heat treatment chamber 3200 , the transfer chamber 3400 , and the liquid treatment chamber 3600 of the application block 30a . ) and is provided in a structure and arrangement substantially similar to those of the above, a description thereof is omitted. However, in the developing block 30b, all of the liquid processing chambers 3600 are provided as the developing chamber 3600 for processing the substrate by supplying a developer in the same manner.

인터페이스 모듈(40)은 처리 모듈(30)을 외부의 노광 장치(50)와 연결한다. 인터페이스 모듈(40)은 인터페이스 프레임(4100), 부가 공정 챔버(4200), 인터페이스 버퍼(4400), 그리고 반송 부재(4600)를 가진다. The interface module 40 connects the processing module 30 to the external exposure apparatus 50 . The interface module 40 includes an interface frame 4100 , an additional process chamber 4200 , an interface buffer 4400 , and a transfer member 4600 .

인터페이스 프레임(4100)의 상단에는 내부에 하강기류를 형성하는 팬필터유닛이 제공될 수 있다. 부가 공정 챔버(4200), 인터페이스 버퍼(4400), 그리고 반송 부재(4600)는 인터페이스 프레임(4100)의 내부에 배치된다. 부가 공정 챔버(4200)는 도포 블럭(30a)에서 공정이 완료된 기판(W)이 노광 장치(50)로 반입되기 전에 소정의 부가 공정을 수행할 수 있다. 선택적으로 부가 공정 챔버(4200)는 노광 장치(50)에서 공정이 완료된 기판(W)이 현상 블럭(30b)으로 반입되기 전에 소정의 부가 공정을 수행할 수 있다. 일 예에 의하면, 부가 공정은 기판(W)의 에지 영역을 노광하는 에지 노광 공정, 또는 기판(W)의 상면을 세정하는 상면 세정 공정, 또는 기판(W)의 하면을 세정하는 하면 세정공정일 수 있다. 부가 공정 챔버(4200)는 복수 개가 제공되고, 이들은 서로 적층되도록 제공될 수 있다. 부가 공정 챔버(4200)는 모두 동일한 공정을 수행하도록 제공될 수 있다. 선택적으로 부가 공정 챔버(4200)들 중 일부는 서로 다른 공정을 수행하도록 제공될 수 있다.A fan filter unit for forming a descending airflow therein may be provided at an upper end of the interface frame 4100 . The additional process chamber 4200 , the interface buffer 4400 , and the transfer member 4600 are disposed inside the interface frame 4100 . The additional process chamber 4200 may perform a predetermined additional process before the substrate W, which has been processed in the application block 30a, is loaded into the exposure apparatus 50 . Optionally, the additional process chamber 4200 may perform a predetermined additional process before the substrate W, which has been processed in the exposure apparatus 50 , is loaded into the developing block 30b. According to an example, the additional process is an edge exposure process of exposing an edge region of the substrate W, a top surface cleaning process of cleaning the upper surface of the substrate W, or a lower surface cleaning process of cleaning the lower surface of the substrate W can A plurality of additional process chambers 4200 may be provided, and they may be provided to be stacked on each other. All of the additional process chambers 4200 may be provided to perform the same process. Optionally, some of the additional process chambers 4200 may be provided to perform different processes.

인터페이스 버퍼(4400)는 도포 블럭(30a), 부가 공정챔버(4200), 노광 장치(50), 그리고 현상 블럭(30b) 간에 반송되는 기판(W)이 반송도중에 일시적으로 머무르는 공간을 제공한다. 인터페이스 버퍼(4400)는 복수 개가 제공되고, 복수의 인터페이스 버퍼들(4400)은 서로 적층되게 제공될 수 있다.The interface buffer 4400 provides a space in which the substrate W transferred between the application block 30a, the additional process chamber 4200, the exposure apparatus 50, and the developing block 30b temporarily stays during the transfer. A plurality of interface buffers 4400 may be provided, and a plurality of interface buffers 4400 may be provided to be stacked on each other.

일 예에 의하면, 반송 챔버(3400)의 길이 방향의 연장선을 기준으로 일 측면에는 부가 공정 챔버(4200)가 배치되고, 다른 측면에는 인터페이스 버퍼(4400)가 배치될 수 있다.According to an example, the additional process chamber 4200 may be disposed on one side of the transfer chamber 3400 along the lengthwise extension line, and the interface buffer 4400 may be disposed on the other side thereof.

반송 부재(4600)는 도포 블럭(30a), 부가 공정챔버(4200), 노광 장치(50), 그리고 현상 블럭(30b) 간에 기판(W)을 반송한다. 반송 부재(4600)는 1개 또는 복수 개의 로봇으로 제공될 수 있다. 일 예에 의하면, 반송 부재(4600)는 제1로봇(4602) 및 제2로봇(4606)을 가진다. 제1로봇(4602)은 도포 블럭(30a), 부가 공정챔버(4200), 그리고 인터페이스 버퍼(4400) 간에 기판(W)을 반송하고, 인터페이스 로봇(4606)은 인터페이스 버퍼(4400)와 노광 장치(50) 간에 기판(W)을 반송하고, 제2로봇(4604)은 인터페이스 버퍼(4400)와 현상 블럭(30b) 간에 기판(W)을 반송하도록 제공될 수 있다.The transfer member 4600 transfers the substrate W between the coating block 30a, the addition process chamber 4200, the exposure apparatus 50, and the developing block 30b. The transfer member 4600 may be provided as one or a plurality of robots. According to an example, the conveying member 4600 has a first robot 4602 and a second robot 4606 . The first robot 4602 transfers the substrate W between the application block 30a, the additional process chamber 4200, and the interface buffer 4400, and the interface robot 4606 uses the interface buffer 4400 and the exposure apparatus ( 50), and the second robot 4604 may be provided to transfer the substrate W between the interface buffer 4400 and the developing block 30b.

제1로봇(4602) 및 제2로봇(4606)은 각각 기판(W)이 놓이는 핸드를 포함하며, 핸드는 전진 및 후진 이동, Z축 방향(16)에 평행한 축을 기준으로 한 회전, 그리고 Z축 방향(16)을 따라 이동 가능하게 제공될 수 있다.The first robot 4602 and the second robot 4606 each include a hand on which a substrate W is placed, and the hand moves forward and backward, rotates about an axis parallel to the Z-axis direction 16, and Z It may be provided to be movable along the axial direction 16 .

상술한 예에서는 온도 조절 부재(5100)가 상부 챔버(5011)에 제공되는 것을 예로 들어 설명하였으나 이에 한정되는 것은 아니다. 예컨대 도 12에 도시된 바와 같이 온도 조절 부재(5100)는 제1온도 조절 부재(5101)와 제2온도 조절 부재(5102)를 포함할 수 있다. 제1온도 조절 부재(5101)는 상부 챔버(5011)에 제공될 수 있다. 제1온도 조절 부재(5101)는 상부 챔버(5011) 내에 제공될 수 있다. 제1온도 조절 부재(5101)는 상부 챔버(5011)의 온도를 조절할 수 있다. 제2온도 조절 부재(5102)는 하부 챔버(5013)에 제공될 수 있다. 제2온도 조절 부재(5102)는 하부 챔버(5013) 내에 제공될 수 있다. 제2온도 조절 부재(5102)는 하부 챔버(5013)의 온도를 조절할 수 있다. 제1온도 조절 부재(5101)의 형상은 상술한 내용과 동일 또는 유사하므로 자세한 설명은 생략한다. 제2온도 조절 부재(5102)는 판 형상을 가질 수 있다. 제2온도 조절 부재(5102)는 중앙에 개구가 형성된 판 형상을 가질 수 있다. 제2온도 조절 부재(5102)는 상부에서 바라볼 때 지지 유닛(5030)의 둘레를 감싸도록 제공될 수 있다. 또한, 제1온도 조절 부재(5102)가 상부 챔버(5011)에 제공되고 제2온도 조절 부재(5102)가 하부 챔버(5013)에 제공되는 경우에도 상술한 기판 처리 방법은 동일 또는 유사하게 적용 가능하다. In the above-described example, it has been described that the temperature control member 5100 is provided in the upper chamber 5011 as an example, but is not limited thereto. For example, as shown in FIG. 12 , the temperature control member 5100 may include a first temperature control member 5101 and a second temperature control member 5102 . The first temperature control member 5101 may be provided in the upper chamber 5011 . The first temperature control member 5101 may be provided in the upper chamber 5011 . The first temperature control member 5101 may control the temperature of the upper chamber 5011 . The second temperature control member 5102 may be provided in the lower chamber 5013 . The second temperature control member 5102 may be provided in the lower chamber 5013 . The second temperature control member 5102 may control the temperature of the lower chamber 5013 . Since the shape of the first temperature control member 5101 is the same as or similar to that described above, detailed description thereof will be omitted. The second temperature control member 5102 may have a plate shape. The second temperature control member 5102 may have a plate shape with an opening in the center. The second temperature control member 5102 may be provided to surround the circumference of the support unit 5030 when viewed from above. In addition, even when the first temperature control member 5102 is provided in the upper chamber 5011 and the second temperature control member 5102 is provided in the lower chamber 5013, the above-described substrate processing method can be applied in the same or similar manner. Do.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The above detailed description is illustrative of the present invention. In addition, the above description shows and describes preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications, and environments. That is, changes or modifications are possible within the scope of the concept of the invention disclosed herein, the scope equivalent to the written disclosure, and/or within the scope of skill or knowledge in the art. The written embodiment describes the best state for implementing the technical idea of the present invention, and various changes required in the specific application field and use of the present invention are possible. Accordingly, the detailed description of the present invention is not intended to limit the present invention to the disclosed embodiments. Also, the appended claims should be construed to include other embodiments.

공정 챔버: 5010
실링부재 : 5020
지지 유닛 : 5030
가스 공급 유닛 : 5050
배기 유닛 : 5070
제어기 : 5090
온도 조절 부재 : 5100
측정 부재 : 5200
Process Chamber: 5010
Sealing member: 5020
Support unit: 5030
Gas supply unit: 5050
Exhaust unit: 5070
Controller: 5090
Temperature control member: 5100
Measuring member: 5200

Claims (20)

기판을 처리하는 장치에 있어서,
내부에 처리 공간을 가지는 공정 챔버와;
상기 처리 공간으로 처리 가스를 공급하는 가스 공급 유닛과;
상기 처리 공간에서 기판을 지지하는 지지 유닛과;
상기 지지 유닛에 지지된 기판의 온도를 측정하는 측정 부재와;
상기 공정 챔버의 온도를 조절하는 온도 조절 부재와; 그리고,
제어기를 포함하되,
상기 제어기는,
상기 측정 부재가 측정하는 기판의 온도에 따라 상기 공정 챔버의 온도를 조절하도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하고,
상기 처리 가스는 기판을 소수화시키는 가스이고,
상기 제어기는,
상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 크게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하는 기판 처리 장치.
An apparatus for processing a substrate, comprising:
a process chamber having a processing space therein;
a gas supply unit supplying a processing gas to the processing space;
a support unit for supporting a substrate in the processing space;
a measuring member for measuring a temperature of the substrate supported by the support unit;
a temperature control member for controlling a temperature of the process chamber; And,
a controller;
The controller is
controlling the measuring member and the temperature adjusting member to adjust the temperature of the process chamber according to the temperature of the substrate measured by the measuring member;
The processing gas is a gas that hydrophobizes the substrate,
The controller is
When the contact angle between the surface of the substrate supported on the support unit and the processing gas supplied to the substrate supported on the support unit is increased, the temperature difference between the temperature of the process chamber and the substrate supported on the support unit is reduced. A measuring member, and a substrate processing apparatus for controlling the temperature adjusting member.
제1항에 있어서,
상기 제어기는,
상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하는 기판 처리 장치.
According to claim 1,
The controller is
and controlling the measuring member and the temperature adjusting member such that a difference between a temperature of the process chamber and a temperature difference between a temperature of the substrate supported by the support unit is reduced.
삭제delete 제1항에 있어서,
상기 제어기는,
상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 작게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 커지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하는 기판 처리 장치.
According to claim 1,
The controller is
When the contact angle between the surface of the substrate supported by the support unit and the processing gas supplied to the substrate supported by the support unit is made small, the temperature difference between the temperature of the process chamber and the substrate supported by the support unit becomes large. A measuring member, and a substrate processing apparatus for controlling the temperature adjusting member.
제1항, 제2항 및 제4항 중 어느 한 항에 있어서,
상기 공정 챔버는,
상부 챔버와;
그리고 상기 상부 챔버의 하부에 배치되는 하부 챔버를 포함하고,
상기 온도 조절 부재는 상기 상부 챔버에 제공되는 기판 처리 장치.
5. The method of any one of claims 1, 2 and 4,
The process chamber,
an upper chamber;
and a lower chamber disposed under the upper chamber,
The temperature control member is provided in the upper chamber.
제5항에 있어서,
상기 온도 조절 부재는,
히터이고,
상기 상부 챔버 내에 제공되는 기판 처리 장치.
6. The method of claim 5,
The temperature control member,
a heater,
A substrate processing apparatus provided in the upper chamber.
제5항에 있어서,
상기 가스 공급 유닛은,
상기 상부 챔버에 연결되는 가스 공급관을 포함하는 기판 처리 장치.
6. The method of claim 5,
The gas supply unit,
and a gas supply pipe connected to the upper chamber.
제1항, 제2항 및 제4항 중 어느 한 항에 있어서,
상기 장치는,
상기 처리 공간을 배기하는 배기 유닛을 포함하되,
상기 배기 유닛은,
상기 공정 챔버에 연결되는 배기 라인과;
상기 배기 라인에 감압을 제공하는 감압 부재를 포함하는 기판 처리 장치.
5. The method of any one of claims 1, 2 and 4,
The device is
an exhaust unit evacuating the processing space;
The exhaust unit is
an exhaust line connected to the process chamber;
and a pressure reducing member for providing pressure reduction to the exhaust line.
제1항, 제2항 및 제4항 중 어느 한 항에 있어서,
상기 공정 챔버는,
금속을 포함하는 재질로 제공되고,
상기 공정 챔버의 표면은 산화 알루미늄 또는 니켈을 포함하는 재질로 도금 처리되는 기판 처리 장치.
5. The method of any one of claims 1, 2 and 4,
The process chamber,
It is provided with a material containing metal,
A substrate processing apparatus in which a surface of the process chamber is plated with a material including aluminum oxide or nickel.
기판을 처리하는 방법에 있어서,
공정 챔버가 가지는 처리 공간에 처리 가스를 공급하여 상기 기판을 처리하되,
상기 기판의 온도를 측정하고,
상기 기판의 온도에 근거하여 상기 공정 챔버의 온도를 조절하고,
상기 처리 가스는 상기 기판을 소수화 시키는 가스이고,
상기 기판의 표면과 상기 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 크게 하는 경우 상기 공정 챔버와 상기 기판의 온도 차이가 작아지도록 상기 공정 챔버의 온도를 조절하는 기판 처리 방법.
A method of processing a substrate, comprising:
The substrate is processed by supplying a processing gas to a processing space of the processing chamber,
measuring the temperature of the substrate;
adjusting the temperature of the process chamber based on the temperature of the substrate;
The processing gas is a gas that hydrophobizes the substrate,
When a contact angle between the surface of the substrate and the processing gas supplied to the substrate is increased, the temperature of the process chamber is adjusted so that a temperature difference between the process chamber and the substrate is reduced.
제10항에 있어서,
상기 기판의 온도와 상기 공정 챔버의 온도 차이가 작아지도록 상기 공정 챔버의 온도를 조절하는 기판 처리 방법.
11. The method of claim 10,
A substrate processing method for controlling a temperature of the process chamber so that a temperature difference between the temperature of the substrate and the process chamber is reduced.
삭제delete 제10항에 있어서,
상기 기판의 표면과 상기 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 작게 하는 경우 상기 공정 챔버와 상기 기판의 온도 차이가 커지도록 상기 공정 챔버의 온도를 조절하는 기판 처리 방법.
11. The method of claim 10,
When a contact angle between the surface of the substrate and the processing gas supplied to the substrate is reduced, the temperature of the process chamber is adjusted so that a temperature difference between the process chamber and the substrate is increased.
제10항, 제11항 및 제13항 중 어느 한 항에 있어서,
상기 공정 챔버의 온도를 조절은,
상기 공정 챔버가 포함하는 상부 챔버와, 상기 상부 챔버의 하부에 배치되는 하부 챔버 중 상기 상부 챔버의 온도 조절로 이루어지는 기판 처리 방법.
14. The method of any one of claims 10, 11 and 13,
Controlling the temperature of the process chamber,
A substrate processing method comprising: an upper chamber included in the process chamber; and temperature control of the upper chamber among lower chambers disposed below the upper chamber.
제14항에 있어서,
상기 처리 가스의 공급은 상기 상부 챔버에 연결되는 가스 공급관을 통해 이루어지는 기판 처리 방법.
15. The method of claim 14,
The processing gas is supplied through a gas supply pipe connected to the upper chamber.
기판을 처리하는 장치에 있어서,
내부에 처리 공간을 가지는 공정 챔버와;
상기 처리 공간으로 처리 가스를 공급하는 가스 공급 유닛과;
상기 처리 공간에서 기판을 지지하는 지지 유닛과;
상기 공정 챔버의 온도를 조절하는 온도 조절 부재와;
상기 지지 유닛에 지지된 기판의 온도를 측정하는 측정 부재와;
제어기를 포함하고,
상기 공정 챔버는,
상부 챔버와;
상기 상부 챔버의 하부에 배치되는 하부 챔버를 포함하고,
상기 온도 조절 부재는,
상기 상부 챔버의 온도를 조절하는 제1온도 조절 부재와;
상기 하부 챔버의 온도를 조절하는 제2온도 조절 부재를 포함하고,
상기 처리 가스는 기판을 소수화시키는 가스이고,
상기 제어기는,
상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 크게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하는 기판 처리 장치.
An apparatus for processing a substrate, comprising:
a process chamber having a processing space therein;
a gas supply unit supplying a processing gas to the processing space;
a support unit for supporting a substrate in the processing space;
a temperature control member for controlling a temperature of the process chamber;
a measuring member for measuring a temperature of the substrate supported by the support unit;
comprising a controller;
The process chamber,
an upper chamber;
and a lower chamber disposed below the upper chamber;
The temperature control member,
a first temperature control member for controlling the temperature of the upper chamber;
A second temperature control member for controlling the temperature of the lower chamber,
The processing gas is a gas that hydrophobizes the substrate,
The controller is
When the contact angle between the surface of the substrate supported on the support unit and the processing gas supplied to the substrate supported on the support unit is increased, the temperature difference between the temperature of the process chamber and the substrate supported on the support unit is reduced. A measuring member, and a substrate processing apparatus for controlling the temperature adjusting member.
제16항에 있어서,
상기 제어기는,
상기 측정 부재가 측정하는 기판의 온도에 따라 상기 공정 챔버의 온도를 조절하도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하는 기판 처리 장치.
17. The method of claim 16,
The controller is
A substrate processing apparatus for controlling the measuring member and the temperature adjusting member to adjust the temperature of the process chamber according to the temperature of the substrate measured by the measuring member.
제17항에 있어서,
상기 제어기는,
상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 작아지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하는 기판 처리 장치.
18. The method of claim 17,
The controller is
and controlling the measuring member and the temperature adjusting member such that a difference between a temperature of the process chamber and a temperature difference between a temperature of the substrate supported by the support unit is reduced.
삭제delete 제17항에 있어서,
상기 제어기는,
상기 지지 유닛에 지지된 기판의 표면과 상기 지지 유닛에 지지된 기판으로 공급된 처리 가스 사이의 콘택트 앵글을 작게 하는 경우 상기 공정 챔버의 온도와 상기 지지 유닛에 지지된 기판의 온도 차이가 커지도록 상기 측정 부재, 그리고 상기 온도 조절 부재를 제어하는 기판 처리 장치.
18. The method of claim 17,
The controller is
When the contact angle between the surface of the substrate supported by the support unit and the processing gas supplied to the substrate supported by the support unit is made small, the temperature difference between the temperature of the process chamber and the substrate supported by the support unit becomes large. A measuring member, and a substrate processing apparatus for controlling the temperature adjusting member.
KR1020190087030A 2019-07-18 2019-07-18 Apparatus and Method for treating a substrate KR102277549B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190087030A KR102277549B1 (en) 2019-07-18 2019-07-18 Apparatus and Method for treating a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190087030A KR102277549B1 (en) 2019-07-18 2019-07-18 Apparatus and Method for treating a substrate

Publications (2)

Publication Number Publication Date
KR20210009890A KR20210009890A (en) 2021-01-27
KR102277549B1 true KR102277549B1 (en) 2021-07-15

Family

ID=74238585

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190087030A KR102277549B1 (en) 2019-07-18 2019-07-18 Apparatus and Method for treating a substrate

Country Status (1)

Country Link
KR (1) KR102277549B1 (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR100893366B1 (en) * 2007-08-08 2009-04-17 세메스 주식회사 Apparatus and method for controlling temperature of semiconductor manufacturing equipment
KR101509632B1 (en) * 2008-09-19 2015-04-08 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
JP6006145B2 (en) * 2013-03-01 2016-10-12 東京エレクトロン株式会社 Hydrophobic treatment apparatus, hydrophobic treatment method, and recording medium for hydrophobic treatment

Also Published As

Publication number Publication date
KR20210009890A (en) 2021-01-27

Similar Documents

Publication Publication Date Title
KR102303593B1 (en) Apparatus and Method for treating substrate
KR102247822B1 (en) Liquid supply unit and substrate processing apparatus
KR102222455B1 (en) Apparatus for treating substrate
KR102277549B1 (en) Apparatus and Method for treating a substrate
KR102282145B1 (en) Apparatus and Method for treating substrate
KR102319197B1 (en) Apparatus and Method for treating substrate
KR102343638B1 (en) Apparatus and method for treating substrate
KR20220014475A (en) Apparatus for treating substrate
KR102277545B1 (en) Apparatus and Method for treating a substrate
KR102296276B1 (en) Apparatus for treating substrate
KR20210078996A (en) Apparatus for treating substrate
KR102255278B1 (en) Apparatus and Method for treating a substrate
KR102315663B1 (en) Method and Apparatus for treating a substrate
KR20210011547A (en) Apparatus and Method for treating substrate
KR102282146B1 (en) Apparatus and Method for treating substrate
KR102385266B1 (en) Apparatus for treating substrate
KR102175073B1 (en) Appparatus and Method for treating substrate
KR102324409B1 (en) Apparatus and Method for treating substrate
KR102303595B1 (en) Supporting Unit And Apparatus For Treating Substrate
KR102282147B1 (en) Apparatus and Method for treating substrate
KR102298086B1 (en) Unit and Method for supplying gas, and Apparatus and Method for treating substrate with the unit
KR102319198B1 (en) Apparatus and Method for treating substrate
KR102277543B1 (en) Apparatus and method for treating substrate
KR102303596B1 (en) Apparatus and Method for treating substrate
KR102296280B1 (en) Apparatus for treating substrate

Legal Events

Date Code Title Description
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right