KR102167145B1 - Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate - Google Patents

Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate Download PDF

Info

Publication number
KR102167145B1
KR102167145B1 KR1020190099569A KR20190099569A KR102167145B1 KR 102167145 B1 KR102167145 B1 KR 102167145B1 KR 1020190099569 A KR1020190099569 A KR 1020190099569A KR 20190099569 A KR20190099569 A KR 20190099569A KR 102167145 B1 KR102167145 B1 KR 102167145B1
Authority
KR
South Korea
Prior art keywords
chamber
buffer
substrate
module
cooling
Prior art date
Application number
KR1020190099569A
Other languages
Korean (ko)
Inventor
전재식
최혜원
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020190099569A priority Critical patent/KR102167145B1/en
Application granted granted Critical
Publication of KR102167145B1 publication Critical patent/KR102167145B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Provided is a substrate processing device which can cool an electric box without using a heat dissipation fan. The substrate processing device comprises: a process chamber; an air conditioning duct connected to the process chamber to provide an exhaust pressure to the process chamber; and an electric box controlling the process chamber. The air conditioning duct can include suction ports inhaling an atmosphere around the electric box.

Description

기판 처리 장치 및 기판 처리 장치에서의 전장 박스 냉각 방법{Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate} TECHNICAL FIELD The method for cooling an electric box in a substrate processing apparatus and a substrate processing apparatus TECHNICAL FIELD [Apparatus for treating substrate and cooling method]

본 발명은 기판 처리 장치 및 기판 처리 장치에서의 전장 박스 냉각 방법에 관한 것이다.The present invention relates to a substrate processing apparatus and a method for cooling an electric box in a substrate processing apparatus.

일반적으로 반도체 소자를 제조하기 위해서는 사진, 식각, 증착, 이온주입, 그리고 세정 등과 같은 다양한 기판 처리 설비에서 공정이 수행된다. 이러한 기판처리 설비에는 전원 케이블 및 통신 케이블 등이 각각의 처리 모듈에 연결되는데, 일반적으로 프레임 내부에 배치된 배기 덕트 사이 공간을 활용하여 케이블이 포설된다. In general, in order to manufacture a semiconductor device, processes are performed in various substrate processing facilities such as photography, etching, deposition, ion implantation, and cleaning. In such a substrate processing facility, a power cable and a communication cable are connected to each processing module. In general, a cable is laid using a space between exhaust ducts arranged inside a frame.

그리고, 이러한 케이블들은 노이즈 현상 등의 간섭 현상을 방지하기 위해 케이블 성분(AC/ DC/ Serial)에 따라 구분하여 포설해야 하기 때문에 필연적으로 설비 내부에 케이블 배선 공간이 충분히 확보되어야 할 필요가 있다.In addition, since these cables must be separately installed according to cable components (AC/DC/Serial) to prevent interference such as noise phenomenon, it is inevitably necessary to secure a sufficient cable wiring space inside the facility.

그리고, 케이블 포설은 현장 맞춤 작업 방식으로 작업자 및 작업 환경에 따른 배열 및 공간 활용 통일화가 어렵고, 성분 구분을 위한 추가 배선덕트 설치 및 케이블 타이 등을 이용한 부재 추가 작업이 요구된다.In addition, it is difficult to unify the arrangement and space utilization according to the operator and the work environment, and additional work of members using cable ties and the installation of additional wiring ducts for component classification is required.

본 발명의 일 과제는 방열 팬을 사용하지 않고 전장 박스 냉각이 가능한 기판 처리 장치 및 기판 처리 장치에서의 전장 박스 냉각 방법을 제공하는데 있다.An object of the present invention is to provide a substrate processing apparatus capable of cooling an electric box without using a heat dissipation fan, and a method of cooling an electric box in a substrate processing apparatus.

본 발명이 해결하고자 하는 과제는 여기에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다. The problem to be solved by the present invention is not limited thereto, and other problems that are not mentioned will be clearly understood by those skilled in the art from the following description.

본 발명의 일측면에 따르면, 공정 챔버; 상기 공정 챔버에 연결되어 상기 공정 챔버에 배기압을 제공하는 공조 덕트; 및 상기 공정 챔버를 제어하기 위한 전장 박스를 포함하되; 상기 공조 덕트는 상기 전장 박스 주변 분위기를 흡입하는 흡입구들을 포함하는 기판 처리 장치가 제공될 수 있다.According to an aspect of the present invention, a process chamber; An air conditioning duct connected to the process chamber to provide an exhaust pressure to the process chamber; And an electric box for controlling the process chamber; The air conditioning duct may be provided with a substrate processing apparatus including suction ports for inhaling the atmosphere around the electric box.

또한, 상기 전장 박스는 상기 흡입구들에 인접하게 배치되어 상기 흡입구로 유입되는 공기 흐름에 의해 냉각될 수 있다.In addition, the electric box may be disposed adjacent to the intake ports to be cooled by an air flow introduced into the intake ports.

또한, 상기 흡입구는 슬롯 형태를 가질 수 있다.In addition, the suction port may have a slot shape.

또한, 상기 전장 박스는 내부 공간에 설치된 전기 부품에서 발생되는 열이 상기 흡입구들을 통해 원활하게 배기될 수 있도록 개구들을 갖는 케이스를 포함할 수 있다. In addition, the electrical box may include a case having openings so that heat generated from an electric component installed in an internal space can be smoothly exhausted through the inlets.

본 발명의 다른 측면에 따르면, 기판 처리 장치에 설치되는 전장 박스의 냉각 방법에 있어서, 상기 전장 박스 내부 및 주변 분위기가 공조 덕트의 흡입구들을 통해 흡입되는 공기 흐름에 의해 자연 냉각되는 전장 박스의 냉각 방법이 제공될 수 있다.According to another aspect of the present invention, in a method for cooling an electrical box installed in a substrate processing apparatus, a method for cooling an electrical box in which the interior and surrounding atmosphere of the electrical box is naturally cooled by an air flow sucked through inlets of an air conditioning duct. Can be provided.

본 발명의 일 실시예에 의하면, 기판 처리 장치에 연결되는 공조 덕트의 배기압을 활용하여 제어 박스를 자연 냉각함으로써, 소음 발생을 해소할 수 있고, 전장 박스의 공간 활용성이 향상되고, 별도의 전력 사용 없이 전장 박스를 소정 온도로 유지시킬 수 있는 각별한 효과를 갖는다. According to an embodiment of the present invention, by naturally cooling the control box by using the exhaust pressure of the air conditioning duct connected to the substrate processing apparatus, noise generation can be eliminated, space utilization of the electrical box is improved, and additional It has a special effect of maintaining the electric box at a predetermined temperature without using power.

본 발명의 효과가 상술한 효과들로 제한되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-described effects, and effects that are not mentioned will be clearly understood by those of ordinary skill in the art from the present specification and the accompanying drawings.

도 1은 기판 처리 설비를 상부에서 바라본 도면이다.
도 2는 도 1의 설비를 A-A 방향에서 바라본 도면이다.
도 3은 도 1의 설비를 B-B 방향에서 바라본 도면이다.
도 4는 도 1의 설비를 C-C 방향에서 바라본 도면이다.
도 5는 본 발명의 실시예에 따른 기판 처리 장치를 보여주는 도면이다.
도 6은 도 5에 도시된 전장 박스와 공조 덕트를 보여주는 도면이다.
도 7은 도 5의 요부 확대도면이다.
1 is a view of a substrate processing facility as viewed from above.
FIG. 2 is a view of the facility of FIG. 1 viewed from the direction AA.
3 is a view of the facility of FIG. 1 as viewed from the BB direction.
4 is a view of the facility of FIG. 1 as viewed from the CC direction.
5 is a view showing a substrate processing apparatus according to an embodiment of the present invention.
6 is a view showing an electric box and an air conditioning duct shown in FIG. 5.
7 is an enlarged view of a main part of FIG. 5.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장된 것이다.Hereinafter, embodiments of the present invention will be described in more detail with reference to the accompanying drawings. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more completely explain the present invention to those with average knowledge in the industry. Therefore, the shape of the element in the drawings is exaggerated to emphasize a more clear description.

도 1은 기판 처리 설비를 상부에서 바라본 도면이고, 도 2는 도 1의 설비를 A-A 방향에서 바라본 도면이고, 도 3은 도 1의 설비를 B-B 방향에서 바라본 도면이고, 도 4는 도 1의 설비를 C-C 방향에서 바라본 도면이다. FIG. 1 is a view of the substrate processing facility viewed from the top, FIG. 2 is a view of the facility of FIG. 1 viewed from the AA direction, FIG. 3 is a view of the facility of FIG. 1 viewed from the BB direction, and FIG. 4 is Is a view viewed from the CC direction.

도 1 내지 도 4를 참조하면, 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다.1 to 4, the substrate processing facility 1 includes a load port 100, an index module 200, a first buffer module 300, a coating and developing module 400, and a second buffer module 500. ), a pre-exposure processing module 600, and an interface module 700. The load port 100, the index module 200, the first buffer module 300, the coating and development module 400, the second buffer module 500, the pre-exposure processing module 600, and the interface module 700 Are sequentially arranged in a line in one direction.

이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다.Hereinafter, the load port 100, the index module 200, the first buffer module 300, the coating and developing module 400, the second buffer module 500, the pre-exposure processing module 600, and the interface module ( The direction in which 700) is arranged is called the first direction 12, the direction perpendicular to the first direction 12 when viewed from above is called the second direction 14, and the first direction 12 and the second A direction perpendicular to the direction 14 is referred to as a third direction 16.

기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 이때 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다.The substrate W is moved in a state accommodated in the cassette 20. At this time, the cassette 20 has a structure that can be sealed from the outside. For example, as the cassette 20, a front open integrated pod (FOUP) having a door in the front may be used.

이하에서는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)에 대해 상세히 설명한다.Hereinafter, the load port 100, the index module 200, the first buffer module 300, the coating and developing module 400, the second buffer module 500, the pre-exposure processing module 600, and the interface module ( 700) will be described in detail.

로드 포트(100)는 기판들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(200)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 1에서는 4개의 재치대(120)가 제공되었다. The load port 100 has a mounting table 120 on which the cassette 20 in which the substrates W are accommodated is placed. A plurality of placement tables 120 are provided, and the placement tables 200 are arranged in a row along the second direction 14. In FIG. 1, four mounting tables 120 were provided.

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 제 1 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 제 1 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 기판(W)을 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 가진다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다. The index module 200 transfers the substrate W between the cassette 20 placed on the mounting table 120 of the load port 100 and the first buffer module 300. The index module 200 includes a frame 210, an index robot 220, and a guide rail 230. The frame 210 is provided in a rectangular parallelepiped shape with an empty inside, and is disposed between the load port 100 and the first buffer module 300. The frame 210 of the index module 200 may be provided at a lower height than the frame 310 of the first buffer module 300 to be described later. The index robot 220 and the guide rail 230 are disposed in the frame 210. The index robot 220 is driven by four axes so that the hand 221 that directly handles the substrate W can move and rotate in the first direction 12, the second direction 14, and the third direction 16. It has this possible structure. The index robot 220 has a hand 221, an arm 222, a support 223, and a pedestal 224. The hand 221 is fixedly installed on the arm 222. The arm 222 is provided in a stretchable structure and a rotatable structure. The support 223 is disposed along the third direction 16 in its longitudinal direction. The arm 222 is coupled to the support 223 to be movable along the support 223. Support 223 is fixedly coupled to the pedestal 224. The guide rail 230 is provided so that its longitudinal direction is disposed along the second direction 14. The pedestal 224 is coupled to the guide rail 230 so as to be able to move linearly along the guide rail 230. Further, although not shown, a door opener for opening and closing the door of the cassette 20 is further provided on the frame 210.

제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 위치된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The first buffer module 300 includes a frame 310, a first buffer 320, a second buffer 330, a cooling chamber 350, and a first buffer robot 360. The frame 310 is provided in the shape of an empty rectangular parallelepiped, and is disposed between the index module 200 and the coating and developing module 400. The first buffer 320, the second buffer 330, the cooling chamber 350, and the first buffer robot 360 are located in the frame 310. The cooling chamber 350, the second buffer 330, and the first buffer 320 are sequentially disposed along the third direction 16 from below. The first buffer 320 is positioned at a height corresponding to the coating module 401 of the coating and developing module 400 to be described later, and the second buffer 330 and the cooling chamber 350 are applied to a coating and developing module ( It is located at a height corresponding to the developing module 402 of 400). The first buffer robot 360 is positioned to be spaced apart by a predetermined distance from the second buffer 330, the cooling chamber 350, and the first buffer 320 and the second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 기판들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 기판(W)이 놓인다. 하우징(331)은 인덱스 로봇(220), 제 1 버퍼 로봇(360), 그리고 후술하는 현상 모듈(402)의 현상부 로봇(482)이 하우징(331) 내 지지대(332)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향, 제 1 버퍼 로봇(360)이 제공된 방향, 그리고 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 후술하는 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. The first buffer 320 and the second buffer 330 temporarily store a plurality of substrates W, respectively. The second buffer 330 has a housing 331 and a plurality of supports 332. The supports 332 are disposed in the housing 331 and are provided to be spaced apart from each other along the third direction 16. One substrate W is placed on each of the supports 332. In the housing 331, the index robot 220, the first buffer robot 360, and the developing unit robot 482 of the developing module 402 to be described later attach the substrate W to the support 332 in the housing 331. An opening (not shown) is provided in a direction in which the index robot 220 is provided, a direction in which the first buffer robot 360 is provided, and a direction in which the developing unit robot 482 is provided so as to be carried in or out. The first buffer 320 has a structure substantially similar to that of the second buffer 330. However, the housing 321 of the first buffer 320 has an opening in a direction in which the first buffer robot 360 is provided, and in a direction in which the applicator robot 432 positioned in the application module 401 to be described later is provided. The number of supports 322 provided to the first buffer 320 and the number of supports 332 provided to the second buffer 330 may be the same or different.

일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. According to an example, the number of supports 332 provided to the second buffer 330 may be greater than the number of supports 322 provided to the first buffer 320.

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 가진다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 단순히 핸드(361)가 제 2 방향(14) 및 제3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the substrate W between the first buffer 320 and the second buffer 330. The first buffer robot 360 has a hand 361, an arm 362, and a support 363. The hand 361 is fixedly installed on the arm 362. The arm 362 is provided in a stretchable structure so that the hand 361 is movable along the second direction 14. The arm 362 is coupled to the support 363 so as to be able to move linearly in the third direction 16 along the support 363. The support 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320. The support 363 may be provided longer than this in an upward or downward direction. The first buffer robot 360 may be provided so that the hand 361 is simply driven only by two axes along the second direction 14 and the third direction 16.

냉각 챔버(350)는 각각 기판(W)을 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 가진다. 냉각 플레이트(352)는 기판(W)이 놓이는 상면 및 기판(W)을 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 기판(W)을 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 후술하는 현상 모듈(402)에 제공된 현상부 로봇(482)이 냉각 플레이트(352)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다.Each of the cooling chambers 350 cools the substrate W. The cooling chamber 350 has a housing 351 and a cooling plate 352. The cooling plate 352 has an upper surface on which the substrate W is placed and a cooling means 353 for cooling the substrate W. As the cooling means 353, various methods, such as cooling using cooling water or cooling using a thermoelectric element, may be used. In addition, a lift pin assembly (not shown) for positioning the substrate W on the cooling plate 352 may be provided in the cooling chamber 350. The housing 351 includes the index robot 220 so that the developing unit robot 482 provided to the index robot 220 and the developing module 402 to be described later can carry the substrate W into or out of the cooling plate 352. The provided direction and the developing unit robot 482 have an opening (not shown) in the provided direction. In addition, doors (not shown) for opening and closing the above-described opening may be provided in the cooling chamber 350.

도포 및 현상 모듈(400)은 노광 공정 전에 기판(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 기판(W)을 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상모듈(400)은 도포 모듈(401)과 현상 모듈(402)을 가진다. 도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다. The coating and developing module 400 performs a process of applying a photoresist onto the substrate W before the exposure process and a process of developing the substrate W after the exposure process. The coating and developing module 400 has a substantially rectangular parallelepiped shape. The coating and developing module 400 has a coating module 401 and a developing module 402. The coating module 401 and the developing module 402 are arranged to be partitioned into layers therebetween. According to an example, the application module 401 is located above the developing module 402.

도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)를 가진다. 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(410)와 베이크 챔버(420)는 반송 챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 레지스트 도포 챔버(410)는 복수개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(410)가 제공된 예가 도시되었다. 베이크 챔버(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수개씩 제공된다. 도면에서는 6개의 베이크 챔버(420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(420)는 더 많은 수로 제공될 수 있다.The coating module 401 includes a process of applying a photoresist, such as a photoresist, to the substrate W, and a heat treatment process such as heating and cooling to the substrate W before and after the resist coating process. The application module 401 has a resist application chamber 410, a bake chamber 420, and a transfer chamber 430. The resist coating chamber 410, the bake chamber 420, and the transfer chamber 430 are sequentially disposed along the second direction 14. Accordingly, the resist coating chamber 410 and the bake chamber 420 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 430 interposed therebetween. A plurality of resist application chambers 410 are provided, and a plurality of resist coating chambers 410 are provided in each of the first direction 12 and the third direction 16. In the drawing, an example in which six resist application chambers 410 are provided is shown. A plurality of bake chambers 420 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, an example in which six bake chambers 420 are provided is shown. However, unlike this, the number of bake chambers 420 may be provided.

반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 챔버들(420), 레지스트 도포 챔버들(400), 제 1 버퍼 모듈(300)의 제 1 버퍼(320), 그리고 후술하는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(520) 간에 기판(W)을 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. The transfer chamber 430 is positioned parallel to the first buffer 320 of the first buffer module 300 in the first direction 12. In the transfer chamber 430, an application unit robot 432 and a guide rail 433 are positioned. The transfer chamber 430 has a generally rectangular shape. The applicator robot 432 is the first of the bake chambers 420, the resist coating chambers 400, the first buffer 320 of the first buffer module 300, and the second buffer module 500 to be described later. The substrate W is transferred between the cooling chambers 520. The guide rail 433 is arranged such that its longitudinal direction is parallel to the first direction 12. The guide rail 433 guides the applicator robot 432 to linearly move in the first direction 12. The applicator robot 432 has a hand 434, an arm 435, a support 436, and a pedestal 437. The hand 434 is fixedly installed on the arm 435. The arm 435 is provided in a stretchable structure to allow the hand 434 to move in the horizontal direction. The support 436 is provided such that its longitudinal direction is disposed along the third direction 16. The arm 435 is coupled to the support 436 so as to be able to move linearly along the support 436 in the third direction 16.

지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다. The support 436 is fixedly coupled to the support 437, and the support 437 is coupled to the guide rail 433 so as to be movable along the guide rail 433.

레지스트 도포 챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. All of the resist application chambers 410 have the same structure. However, the types of photoresists used in each resist coating chamber 410 may be different from each other. As an example, a chemical amplification resist may be used as the photo resist. The resist application chamber 410 applies a photoresist onto the substrate W.

레지스트 도포 챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 기판(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 레지스트 도포 챔버(410)에는 포토 레지스트가 도포된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. The resist application chamber 410 has a housing 411, a support plate 412, and a nozzle 413. The housing 411 has a cup shape with an open top. The support plate 412 is located in the housing 411 and supports the substrate W. The support plate 412 is provided rotatably. The nozzle 413 supplies a photoresist onto the substrate W placed on the support plate 412. The nozzle 413 has a circular tubular shape and may supply a photoresist to the center of the substrate W. Optionally, the nozzle 413 may have a length corresponding to the diameter of the substrate W, and a discharge port of the nozzle 413 may be provided as a slit. In addition, a nozzle 414 supplying a cleaning solution such as deionized water may be further provided in the resist application chamber 410 to clean the surface of the substrate W on which the photoresist is applied.

베이크 챔버(420)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(420)은 포토 레지스트를 도포하기 전에 기판(W)을 소정의 온도로 가열하여 기판(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 기판(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행하고, 각각의 가열 공정 이후에 기판(W)을 냉각하는 냉각 공정 등을 수행한다.The bake chamber 420 heats the substrate W. For example, the bake chambers 420 heat the substrate W to a predetermined temperature before applying the photoresist to remove organic matter or moisture from the surface of the substrate W, or use a photoresist as a substrate ( A soft bake process or the like performed after coating on W) is performed, and a cooling process or the like of cooling the substrate W is performed after each heating process.

도 5는 본 발명의 실시예에 따른 기판 처리 장치를 보여주는 도면이고, 도 6은 도 5에 도시된 전장 박스와 공조 덕트를 보여주는 도면이며, 도 7은 도 5의 요부 확대도이다.5 is a view showing a substrate processing apparatus according to an embodiment of the present invention, FIG. 6 is a view showing an electric box and an air conditioning duct shown in FIG. 5, and FIG. 7 is an enlarged view of a main part of FIG. 5.

도 5 내지 도 7을 참조하면, 기판 처리 장치(800)는 기판을 처리한다. 일 실시 예에 따르면, 기판 처리 장치(800)는 공정 챔버(810), 공조 배기 유닛(830) 그리고 전장 박스(880)를 포함할 수 있다. 5 to 7, the substrate processing apparatus 800 processes a substrate. According to an embodiment, the substrate processing apparatus 800 may include a process chamber 810, an air conditioning and exhaust unit 830, and an electric box 880.

공정 챔버(810)는 내부에 기판을 처리하는 처리 공간이 제공된다. 일 실시 예에 따르면, 공정 챔버(810)는 기판을 열처리하는 열처리 챔버로 제공될 수 있다. The process chamber 810 is provided with a processing space for processing a substrate therein. According to an embodiment, the process chamber 810 may be provided as a heat treatment chamber that heats a substrate.

예를 들면, 공정 챔버(810)는 도 1 내지 도 3의 기판 처리 설비(1)의 기판을 가열하는 가열 유닛이 내부에 제공된 베이크 챔버(420, 470)로 제공될 수 있다. 이와 달리, 공정 챔버(810)는 내부의 기체의 배기가 요구되는 기판에 대해 다양한 종류의 공정을 수행하는 챔버일 수 있다. For example, the process chamber 810 may be provided as bake chambers 420 and 470 provided therein with a heating unit for heating a substrate of the substrate processing facility 1 of FIGS. 1 to 3. Alternatively, the process chamber 810 may be a chamber that performs various types of processes on a substrate requiring exhaust of the internal gas.

공정 챔버(810)의 처리 공간 내의 기체는 공조 배기 유닛(830)의 덕트(840)를 통해 배기된다. 공정 챔버(810)가 상술한 베이크 챔버(420, 470)와 같이 적층되는 경우, 덕트(840)는 각 공정 챔버(810)와 연결되도록 각각의 배기포트(842)들이 제공될 수 있다. The gas in the processing space of the process chamber 810 is exhausted through the duct 840 of the air conditioning and exhaust unit 830. When the process chamber 810 is stacked together with the above-described bake chambers 420 and 470, the duct 840 may be provided with respective exhaust ports 842 to be connected to each process chamber 810.

공조 배기 유닛(830)은 공정 챔버(810)의 처리 공간으로부터 배기하고자 하는 기체를 덕트(840)를 통해 메인 배기 덕트(890)로 배기한다. 덕트(840)는 일측면에 전장 박스(880) 주변 분위기를 흡입하는 흡입구(844)들을 갖는다. 흡입구(844)는 슬롯 형태로 제공될 수 있다. 그러나 흡입구(844)의 형태는 이에 한정되는 것은 아니다. The air conditioning exhaust unit 830 exhausts gas to be exhausted from the processing space of the process chamber 810 through the duct 840 to the main exhaust duct 890. The duct 840 has suction ports 844 that suck the atmosphere around the electric box 880 on one side. The suction port 844 may be provided in the form of a slot. However, the shape of the suction port 844 is not limited thereto.

전장 박스(880)는 케이스(884) 내부에 열을 발생시키는 전기 부품(882)들이 내장되어 있을 수 있다. 이러한 전장 박스(880)는 전기 부품(882)들이 고장을 일으키지 않도록 전장 박스(880) 내부 온도가 소정 온도(예를 들면 80℃)이하로 유지시될 필요가 있다. The electric box 880 may have electric components 882 that generate heat inside the case 884. In the electric box 880, the internal temperature of the electric box 880 needs to be maintained below a predetermined temperature (eg, 80° C.) so that the electric components 882 do not fail.

예컨대, 전장 박스(880)는 공조 배기 유닛(830)의 배기압을 활용하여 자연 냉각 처리될 수 있다. 따라서, 전장 박스(880)는 공조 배기 유닛(830)의 덕트(840)와 인접한 위치에 제공되는 것이 바람직하다. 예컨대, 전장 박스(880)는 덕트(840)의 흡입구(844)들에 인접하게 배치되어 흡입구(844)로 유입되는 공기 흐름(화살표로 표시됨)에 의해 냉각될 수 있다. 전장 박스(880)는 효율적인 냉각을 위해 내부 공간에 설치된 전기 부품(882)에서 발생되는 열기가 흡입구(844)들을 통해 원활하게 배기될 수 있도록 개구(886)들을 갖는 케이스(884)를 포함할 수 있다.For example, the electric box 880 may be naturally cooled by utilizing the exhaust pressure of the air conditioning exhaust unit 830. Accordingly, the electric box 880 is preferably provided at a position adjacent to the duct 840 of the air conditioning and exhaust unit 830. For example, the electric box 880 may be disposed adjacent to the intake ports 844 of the duct 840 and cooled by an air flow (indicated by an arrow) flowing into the intake port 844. The electric box 880 may include a case 884 having openings 886 so that heat generated from the electric components 882 installed in the internal space for efficient cooling can be smoothly exhausted through the inlet 844. have.

상술한 바와 같이, 기판 처리 장치(800)는 공조 배기 유닛의 덕트 일부에 흡입구를 형성하여 전장 박스 내부 및 주변에 기류를 형성함으로써 전장 박스 내부 및 주변 온도를 낮출 수 있다. As described above, the substrate processing apparatus 800 may reduce the temperature inside and around the electrical box by forming an airflow in and around the electrical box by forming a suction port in a part of the duct of the air conditioning and exhaust unit.

상기와 같이, 본 발명에 의하면, 배기 덕트면에 인쇄회로기판을 설계 구성함으로써 케이블 사용을 최소화할 수 있으며, 케이블 설치를 위한 별도의 공간이 불필요함으로써 설비의 소형화가 가능할 수 있다.As described above, according to the present invention, the use of cables can be minimized by designing and configuring the printed circuit board on the exhaust duct surface, and since a separate space for cable installation is not required, miniaturization of the equipment can be possible.

다시 도 1 내지 도 4를 참조하면, 현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상 챔버(460)와 베이크 챔버(470)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상 챔버(460)가 제공된 예가 도시되었다. 베이크 챔버(470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(470)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(470)는 더 많은 수로 제공될 수 있다. Referring back to FIGS. 1 to 4, the developing module 402 supplies a developer to obtain a pattern on the substrate W to remove a part of the photoresist, and the substrate W before and after the development process. And heat treatment processes such as heating and cooling performed on. The developing module 402 has a developing chamber 460, a bake chamber 470, and a transfer chamber 480. The developing chamber 460, the bake chamber 470, and the transfer chamber 480 are sequentially disposed along the second direction 14. Accordingly, the developing chamber 460 and the bake chamber 470 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 480 interposed therebetween. A plurality of developing chambers 460 are provided, and a plurality of developing chambers 460 are provided in each of the first direction 12 and the third direction 16. In the drawing, an example in which six developing chambers 460 are provided is shown. A plurality of bake chambers 470 are provided in each of the first direction 12 and the third direction 16. In the drawing, an example in which six bake chambers 470 are provided is shown. However, unlike this, the bake chamber 470 may be provided in a larger number.

반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 챔버들(470), 현상 챔버들(460), 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350), 그리고 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540) 간에 기판(W)을 이송한다. 가이드 레일(483)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.The transfer chamber 480 is positioned parallel to the second buffer 330 of the first buffer module 300 in the first direction 12. In the transfer chamber 480, a developing unit robot 482 and a guide rail 483 are positioned. The transfer chamber 480 has a generally rectangular shape. The developing unit robot 482 includes the baking chambers 470, the developing chambers 460, the second buffer 330 and the cooling chamber 350 of the first buffer module 300, and the second buffer module 500. Transfer the substrate (W) between the second cooling chamber 540 of. The guide rail 483 is arranged such that its longitudinal direction is parallel to the first direction 12. The guide rail 483 guides the developing unit robot 482 to linearly move in the first direction 12. The developing unit robot 482 has a hand 484, an arm 485, a support 486, and a pedestal 487. The hand 484 is fixedly installed on the arm 485. The arm 485 is provided in a stretchable structure to allow the hand 484 to move in the horizontal direction. The support 486 is provided such that its longitudinal direction is disposed along the third direction 16. The arm 485 is coupled to the support 486 so as to be linearly movable in the third direction 16 along the support 486. The support 486 is fixedly coupled to the pedestal 487. The pedestal 487 is coupled to the guide rail 483 so as to be movable along the guide rail 483.

현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. All of the developing chambers 460 have the same structure. However, the types of developing solutions used in each developing chamber 460 may be different from each other. The developing chamber 460 removes a region irradiated with light among the photoresist on the substrate W. At this time, the region irradiated with light in the protective film is also removed. Depending on the type of photoresist that is selectively used, only the areas of the photoresist and the protective layer that are not irradiated with light may be removed.

현상 챔버(460)는 하우징(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 하우징(461)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 하우징(461) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 기판(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다.The developing chamber 460 has a housing 461, a support plate 462, and a nozzle 463. The housing 461 has a cup shape with an open top. The support plate 462 is located in the housing 461 and supports the substrate W. The support plate 462 is provided rotatably. The nozzle 463 supplies a developer onto the substrate W placed on the support plate 462. The nozzle 463 has a circular tubular shape and may supply a developer to the center of the substrate W. Optionally, the nozzle 463 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 463 may be provided as a slit. In addition, a nozzle 464 for supplying a cleaning solution such as deionized water may be further provided in the development chamber 460 to clean the surface of the substrate W supplied with the developer solution.

현상모듈(402)의 베이크 챔버(470)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 기판(W)을 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 기판(W)을 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 기판(W)을 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(470)는 냉각 플레이트(471) 또는 가열 플레이트(472)를 가진다. 냉각 플레이트(471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(473)이 제공된다. 또는 가열 플레이트(472)에는 열선 또는 열전 소자와 같은 가열 수단(474)이 제공된다. 냉각 플레이트(471)와 가열 플레이트(472)는 하나의 베이크 챔버(470) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(470)들 중 일부는 냉각 플레이트(471)만을 구비하고, 다른 일부는 가열 플레이트(472)만을 구비할 수 있다. 현상 모듈(402)의 베이크 챔버(470)는 도포 모듈(401)의 베이크 챔버와 동일한 구성을 가지므로, 이에 대한 상세한 설명은 생략한다. The baking chamber 470 of the developing module 402 heat-treats the substrate W. For example, the bake chambers 470 have a post bake process for heating the substrate W before the development process is performed, a hard bake process for heating the substrate W after the development process is performed, and heating after each bake process. A cooling process or the like of cooling the resulting substrate W is performed. The bake chamber 470 has a cooling plate 471 or a heating plate 472. The cooling plate 471 is provided with cooling means 473 such as cooling water or a thermoelectric element. Alternatively, a heating means 474 such as a hot wire or a thermoelectric element is provided on the heating plate 472. The cooling plate 471 and the heating plate 472 may be provided in one bake chamber 470, respectively. Optionally, some of the bake chambers 470 may have only the cooling plate 471 and some of the bake chambers 470 may have only the heating plate 472. Since the bake chamber 470 of the developing module 402 has the same configuration as the bake chamber of the coating module 401, a detailed description thereof will be omitted.

제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 기판(W)이 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 기판(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다. 프레임(510)은 직육면체의 형상을 가진다. 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)은 프레임(510) 내에 위치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550)는 도포 모듈(401)에 대응하는 높이에 배치된다. 제 2 냉각 챔버(540)는 현상 모듈(402)에 대응하는 높이에 배치된다. 버퍼(520), 제 1냉각 챔버(530), 그리고 제 2 냉각 챔버(540)는 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 버퍼(520)은 도포 모듈(401)의 반송 챔버(430)와 제 1 방향(12)을 따라 배치된다. 에지 노광 챔버(550)는 버퍼(520) 또는 제 1 냉각 챔버(530)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다. The second buffer module 500 is provided as a passage through which the substrate W is transported between the coating and developing module 400 and the pre-exposure processing module 600. In addition, the second buffer module 500 performs a predetermined process such as a cooling process or an edge exposure process on the substrate W. The second buffer module 500 includes a frame 510, a buffer 520, a first cooling chamber 530, a second cooling chamber 540, an edge exposure chamber 550, and a second buffer robot 560. Have. The frame 510 has a rectangular parallelepiped shape. The buffer 520, the first cooling chamber 530, the second cooling chamber 540, the edge exposure chamber 550, and the second buffer robot 560 are located in the frame 510. The buffer 520, the first cooling chamber 530, and the edge exposure chamber 550 are disposed at a height corresponding to the application module 401. The second cooling chamber 540 is disposed at a height corresponding to the developing module 402. The buffer 520, the first cooling chamber 530, and the second cooling chamber 540 are sequentially arranged in a row along the third direction 16. When viewed from the top, the buffer 520 is disposed along the transfer chamber 430 of the application module 401 and the first direction 12. The edge exposure chamber 550 is disposed to be spaced apart from the buffer 520 or the first cooling chamber 530 by a predetermined distance in the second direction 14.

제 2 버퍼 로봇(560)은 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550) 간에 기판(W)을 운반한다. 제 2 버퍼 로봇(560)은 에지 노광 챔버(550)와 버퍼(520) 사이에 위치된다. 제 2 버퍼 로봇(560)은 제 1 버퍼 로봇(360)과 유사한 구조로 제공될 수 있다. 제 1 냉각 챔버(530)와 에지 노광 챔버(550)는 도포 모듈(401)에서 공정이 수행된 기판들(W)에 대해 후속 공정을 수행한다. 제 1 냉각 챔버(530)는 도포 모듈(401)에서 공정이 수행된 기판(W)을 냉각한다. 제 1 냉각 챔버(530)는 제 1 버퍼 모듈(300)의 냉각 챔버(350)과 유사한 구조를 가진다. 에지 노광 챔버(550)는 제 1 냉각 챔버(530)에서 냉각 공정이 수행된 기판들(W)에 대해 그 가장자리를 노광한다. 버퍼(520)는 에지 노광 챔버(550)에서 공정이 수행된 기판(W)들이 후술하는 전처리 모듈(601)로 운반되기 전에 기판(W)을 일시적으로 보관한다. 제 2 냉각 챔버(540)는 후술하는 후처리 모듈(602)에서 공정이 수행된 기판들(W)이 현상 모듈(402)로 운반되기 전에 기판들(W)을 냉각한다. 제 2 버퍼 모듈(500)은 현상모듈(402)와 대응되는 높이에 추가된 버퍼를 더 가질 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 수행된 기판들(W)은 추가된 버퍼에 일시적으로 보관된 후 현상 모듈(402)로 운반될 수 있다. The second buffer robot 560 transports the substrate W between the buffer 520, the first cooling chamber 530, and the edge exposure chamber 550. The second buffer robot 560 is positioned between the edge exposure chamber 550 and the buffer 520. The second buffer robot 560 may be provided in a similar structure to the first buffer robot 360. The first cooling chamber 530 and the edge exposure chamber 550 perform a subsequent process on the substrates W on which the process was performed in the coating module 401. The first cooling chamber 530 cools the substrate W on which the process was performed in the coating module 401. The first cooling chamber 530 has a structure similar to the cooling chamber 350 of the first buffer module 300. The edge exposure chamber 550 exposes edges of the substrates W on which the cooling process has been performed in the first cooling chamber 530. The buffer 520 temporarily stores the substrate W before the substrates W processed in the edge exposure chamber 550 are transferred to a pretreatment module 601 to be described later. The second cooling chamber 540 cools the substrates W before the substrates W processed in the post-processing module 602 to be described later are transferred to the developing module 402. The second buffer module 500 may further have a buffer added to a height corresponding to the developing module 402. In this case, the substrates W processed by the post-processing module 602 may be temporarily stored in an added buffer and then transferred to the developing module 402.

노광 전후 처리 모듈(600)은, 노광 장치(900)가 액침 노광 공정을 수행하는 경우, 액침 노광시에 기판(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 기판(W)을 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다. When the exposure apparatus 900 performs an immersion exposure process, the pre-exposure processing module 600 may process a process of applying a protective film to protect the photoresist film applied to the substrate W during immersion exposure. In addition, the pre-exposure processing module 600 may perform a process of cleaning the substrate W after exposure. In addition, when the coating process is performed using a chemically amplified resist, the pre-exposure processing module 600 may process the post-exposure bake process.

노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 기판(W)을 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 기판(W)을 처리하는 공정을 수행한다. 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 전처리 모듈(601)은 후처리 모듈(602)의 상부에 위치된다. 전처리 모듈(601)은 도포 모듈(401)과 동일한 높이로 제공된다. 후처리 모듈(602)은 현상 모듈(402)과 동일한 높이로 제공된다. 전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The pre-exposure processing module 600 includes a pre-processing module 601 and a post-processing module 602. The pre-processing module 601 performs a process of processing the substrate W before performing the exposure process, and the post-processing module 602 performs a process of processing the substrate W after the exposure process. The pre-treatment module 601 and the post-treatment module 602 are arranged to be partitioned into layers therebetween. According to one example, the pre-processing module 601 is located above the post-processing module 602. The pretreatment module 601 is provided at the same height as the application module 401. The post-processing module 602 is provided at the same height as the developing module 402. The pretreatment module 601 includes a protective film application chamber 610, a bake chamber 620, and a transfer chamber 630. The protective film application chamber 610, the transfer chamber 630, and the bake chamber 620 are sequentially disposed along the second direction 14. Accordingly, the protective film application chamber 610 and the bake chamber 620 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 630 interposed therebetween. A plurality of protective film application chambers 610 are provided, and are disposed along the third direction 16 to form layers with each other. Optionally, a plurality of protective film application chambers 610 may be provided in each of the first direction 12 and the third direction 16. A plurality of bake chambers 620 are provided, and are disposed along the third direction 16 to form layers with each other. Optionally, a plurality of bake chambers 620 may be provided in each of the first direction 12 and the third direction 16.

반송 챔버(630)는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(630) 내에는 전처리 로봇(632)이 위치된다. 반송 챔버(630)는 대체로 정사각 또는 직사각의 형상을 가진다. 전처리 로봇(632)은 보호막 도포 챔버들(610), 베이크 챔버들(620), 제 2 버퍼 모듈(500)의 버퍼(520), 그리고 후술하는 인터페이스 모듈(700)의 제 1 버퍼(720) 간에 기판(W)을 이송한다. 전처리 로봇(632)은 핸드(633), 아암(634), 그리고 지지대(635)를 가진다. 핸드(633)는 아암(634)에 고정 설치된다. 아암(634)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(634)은 지지대(635)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(635)에 결합된다.The transfer chamber 630 is positioned parallel to the first cooling chamber 530 of the second buffer module 500 in the first direction 12. A pretreatment robot 632 is located in the transfer chamber 630. The transfer chamber 630 has a generally square or rectangular shape. The pretreatment robot 632 is between the protective film application chambers 610, the bake chambers 620, the buffer 520 of the second buffer module 500, and the first buffer 720 of the interface module 700 to be described later. Transfer the substrate W. The pretreatment robot 632 has a hand 633, an arm 634, and a support 635. The hand 633 is fixedly installed on the arm 634. The arm 634 is provided in a stretchable structure and a rotatable structure. The arm 634 is coupled to the support 635 to allow linear movement along the support 635 in the third direction 16.

보호막 도포 챔버(610)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 기판(W) 상에 도포한다. 보호막 도포 챔버(610)는 하우징(611), 지지 플레이트(612), 그리고 노즐(613)을 가진다. 하우징(611)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(612)는 하우징(611) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(612)는 회전 가능하게 제공된다. 노즐(613)은 지지 플레이트(612)에 놓인 기판(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(613)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(613)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(613)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(612)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(610)는 지지 플레이트(612)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 보호액을 공급한다.The protective film application chamber 610 applies a protective film to protect the resist film on the substrate W during liquid immersion exposure. The protective film application chamber 610 has a housing 611, a support plate 612, and a nozzle 613. The housing 611 has a cup shape with an open top. The support plate 612 is located in the housing 611 and supports the substrate W. The support plate 612 is provided rotatably. The nozzle 613 supplies a protective liquid for forming a protective film onto the substrate W placed on the support plate 612. The nozzle 613 has a circular tubular shape, and a protective liquid can be supplied to the center of the substrate W. Optionally, the nozzle 613 may have a length corresponding to the diameter of the substrate W, and a discharge port of the nozzle 613 may be provided as a slit. In this case, the support plate 612 may be provided in a fixed state. The protective liquid contains a foamable material. As the protective solution, a photoresist and a material having a low affinity for water may be used. For example, the protective liquid may contain a fluorine-based solvent. The protective film application chamber 610 supplies the protective liquid to the central region of the substrate W while rotating the substrate W placed on the support plate 612.

베이크 챔버(620)는 보호막이 도포된 기판(W)을 열처리한다. 베이크 챔버(620)는 냉각 플레이트(621) 또는 가열 플레이트(622)를 가진다. 냉각 플레이트(621)에는 냉각수 또는 열전 소자와 같은 냉각 수단(623)이 제공된다. 또는 가열 플레이트(622)에는 열선 또는 열전 소자와 같은 가열 수단(624)이 제공된다. 가열 플레이트(622)와 냉각 플레이트(621)는 하나의 베이크 챔버(620) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버들(620) 중 일부는 가열 플레이트(622) 만을 구비하고, 다른 일부는 냉각 플레이트(621) 만을 구비할 수 있다. The bake chamber 620 heats the substrate W on which the protective film is applied. The bake chamber 620 has a cooling plate 621 or a heating plate 622. The cooling plate 621 is provided with a cooling means 623 such as cooling water or a thermoelectric element. Alternatively, a heating means 624 such as a hot wire or a thermoelectric element is provided on the heating plate 622. The heating plate 622 and the cooling plate 621 may be provided in one bake chamber 620, respectively. Optionally, some of the bake chambers 620 may have only the heating plate 622 and some of the bake chambers 620 may have only the cooling plate 621.

후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The post-treatment module 602 has a cleaning chamber 660, a post-exposure bake chamber 670, and a transfer chamber 680. The cleaning chamber 660, the transfer chamber 680, and the post-exposure bake chamber 670 are sequentially disposed along the second direction 14. Accordingly, the cleaning chamber 660 and the post-exposure bake chamber 670 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 680 interposed therebetween. A plurality of cleaning chambers 660 are provided, and may be disposed along the third direction 16 to form layers with each other. Optionally, a plurality of cleaning chambers 660 may be provided in each of the first direction 12 and the third direction 16. After exposure, a plurality of bake chambers 670 are provided, and may be disposed along the third direction 16 to form layers with each other. Optionally, after exposure, a plurality of bake chambers 670 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(680)는 상부에서 바라볼 때 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(680)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(680) 내에는 후처리 로봇(682)이 위치된다. 후처리 로봇(682)은 세정 챔버들(660), 노광 후 베이크 챔버들(670), 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540), 그리고 후술하는 인터페이스 모듈(700)의 제 2 버퍼(730) 간에 기판(W)을 운반한다. 후처리 모듈(602)에 제공된 후처리 로봇(682)은 전처리 모듈(601)에 제공된 전처리 로봇(632)과 동일한 구조로 제공될 수 있다.The transfer chamber 680 is positioned parallel to the second cooling chamber 540 of the second buffer module 500 and in the first direction 12 when viewed from above. The transfer chamber 680 has a generally square or rectangular shape. A post-processing robot 682 is located in the transfer chamber 680. The post-processing robot 682 includes cleaning chambers 660, post-exposure bake chambers 670, a second cooling chamber 540 of the second buffer module 500, and a second of the interface module 700 to be described later. The substrate W is transported between the buffers 730. The post-processing robot 682 provided in the post-processing module 602 may be provided in the same structure as the pre-processing robot 632 provided in the pre-processing module 601.

세정 챔버(660)는 노광 공정 이후에 기판(W)을 세정한다. 세정 챔버(660)는 하우징(661), 지지 플레이트(662), 그리고 노즐(663)을 가진다. 하우징(661)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(662)는 하우징(661) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(662)는 회전 가능하게 제공된다. 노즐(663)은 지지 플레이트(662)에 놓인 기판(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(660)는 지지 플레이트(662)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 세정액을 공급한다. 선택적으로 기판(W)이 회전되는 동안 노즐(663)은 기판(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다. The cleaning chamber 660 cleans the substrate W after the exposure process. The cleaning chamber 660 has a housing 661, a support plate 662, and a nozzle 663. The housing 661 has a cup shape with an open top. The support plate 662 is located in the housing 661 and supports the substrate W. The support plate 662 is provided rotatably. The nozzle 663 supplies a cleaning liquid onto the substrate W placed on the support plate 662. Water such as deionized water may be used as the cleaning liquid. The cleaning chamber 660 supplies a cleaning solution to the central region of the substrate W while rotating the substrate W placed on the support plate 662. Optionally, while the substrate W is rotated, the nozzle 663 may linearly move or rotate from the center area to the edge area of the substrate W.

노광 후 베이크 챔버(670)는 원자외선을 이용하여 노광 공정이 수행된 기판(W)을 가열한다. 노광 후 베이크 공정은 기판(W)을 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(670)는 가열 플레이트(672)를 가진다. 가열 플레이트(672)에는 열선 또는 열전 소자와 같은 가열 수단(674)이 제공된다. 노광 후 베이크 챔버(670)는 그 내부에 냉각 플레이트(671)를 더 구비할 수 있다. 냉각 플레이트(671)에는 냉각수 또는 열전 소자와 같은 냉각 수단(673)이 제공된다. 또한, 선택적으로 냉각 플레이트(671)만을 가진 베이크 챔버가 더 제공될 수 있다. After exposure, the bake chamber 670 heats the substrate W on which the exposure process has been performed using far ultraviolet rays. In the post-exposure bake process, the substrate W is heated to amplify the acid generated in the photoresist by exposure to complete the change in the properties of the photoresist. After exposure, the bake chamber 670 has a heating plate 672. The heating plate 672 is provided with a heating means 674 such as a hot wire or a thermoelectric element. After exposure, the bake chamber 670 may further include a cooling plate 671 therein. The cooling plate 671 is provided with cooling means 673 such as cooling water or a thermoelectric element. In addition, optionally, a bake chamber having only the cooling plate 671 may be further provided.

상술한 바와 같이 노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다. 또한, 전처리 모듈(601)의 반송 챔버(630)와 후처리 모듈(602)의 반송 챔버(680)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(610)와 세정 챔버(660)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(620)와 노광 후 베이크 챔버(670)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.As described above, in the pre-exposure processing module 600, the pre-processing module 601 and the post-processing module 602 are provided to be completely separated from each other. In addition, the transfer chamber 630 of the pre-treatment module 601 and the transfer chamber 680 of the post-treatment module 602 are provided in the same size, and may be provided to completely overlap each other when viewed from above. In addition, the protective film coating chamber 610 and the cleaning chamber 660 may be provided to have the same size and may be provided to completely overlap each other when viewed from the top. In addition, the bake chamber 620 and the post-exposure bake chamber 670 may have the same size and may be provided to completely overlap each other when viewed from above.

인터페이스 모듈(700)은 노광 전후 처리 모듈(600), 및 노광 장치(900) 간에 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1방향(12)을 따라 일렬로 배치되게 위치된다. The interface module 700 transfers the substrate W between the exposure processing module 600 and the exposure apparatus 900 before and after exposure. The interface module 700 includes a frame 710, a first buffer 720, a second buffer 730, and an interface robot 740. The first buffer 720, the second buffer 730, and the interface robot 740 are located in the frame 710. The first buffer 720 and the second buffer 730 are spaced apart from each other by a predetermined distance and are arranged to be stacked on each other. The first buffer 720 is disposed higher than the second buffer 730. The first buffer 720 is located at a height corresponding to the pre-processing module 601, and the second buffer 730 is disposed at a height corresponding to the post-processing module 602. When viewed from the top, the first buffer 720 is arranged in a row along the transfer chamber 630 of the pretreatment module 601 and the first direction 12, and the second buffer 730 is the post-processing module 602 The transfer chamber 630 and the first direction 12 are positioned to be arranged in a line.

인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 기판(W)을 운반한다. 인터페이스 로봇(740)은 제 2 버퍼 로봇(560)과 대체로 유사한 구조를 가진다. The interface robot 740 is positioned to be spaced apart from the first buffer 720 and the second buffer 730 in the second direction 14. The interface robot 740 transports the substrate W between the first buffer 720, the second buffer 730, and the exposure apparatus 900. The interface robot 740 has a structure substantially similar to the second buffer robot 560.

제 1 버퍼(720)는 전처리 모듈(601)에서 공정이 수행된 기판(W)들이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 기판(W)들이 후처리 모듈(602)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 기판(W)이 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 기판(W)을 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(730)의 하우징(4531)에는 인터페이스 로봇(740)이 제공된 방향 및 후처리 로봇(682)이 제공된 방향에 개구(도시되지 않음)를 가진다. 인터페이스 모듈에는 기판에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.The first buffer 720 temporarily stores the substrates W processed by the preprocessing module 601 before they are moved to the exposure apparatus 900. In addition, the second buffer 730 temporarily stores the substrates W that have been processed in the exposure apparatus 900 before they are moved to the post-processing module 602. The first buffer 720 has a housing 721 and a plurality of supports 722. The supports 722 are disposed in the housing 721 and are provided to be spaced apart from each other along the third direction 16. One substrate W is placed on each of the supports 722. The housing 721 is a direction and a pre-treatment robot 740 provided with the interface robot 740 so that the interface robot 740 and the pre-treatment robot 632 can carry the substrate W into or out of the support 722 into the housing 721. 632 has an opening (not shown) in the direction provided. The second buffer 730 has a structure substantially similar to that of the first buffer 720. However, the housing 4531 of the second buffer 730 has an opening (not shown) in a direction in which the interface robot 740 is provided and a direction in which the post-processing robot 682 is provided. As described above, only buffers and robots may be provided to the interface module without providing a chamber for performing a predetermined process on the substrate.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 상술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The detailed description above is illustrative of the present invention. In addition, the above description shows and describes preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications, and environments. That is, changes or modifications may be made within the scope of the concept of the invention disclosed in the present specification, the scope equivalent to the disclosed contents, and/or the skill or knowledge of the art. The above-described embodiments describe the best state for implementing the technical idea of the present invention, and various changes required in the specific application fields and uses of the present invention are possible. Therefore, the detailed description of the invention is not intended to limit the invention to the disclosed embodiment. In addition, the appended claims should be construed as including other embodiments.

810 : 공정 챔버 830 : 공조 배기 유닛
840 : 덕트 880 : 전장 박스
890 : 메인 배기 덕트 844 : 흡입구
882 : 전기 부품
810: process chamber 830: air conditioning exhaust unit
840: duct 880: electric box
890: main exhaust duct 844: inlet
882: electrical parts

Claims (5)

공정 챔버;
상기 공정 챔버에 연결되어 상기 공정 챔버에 배기압을 제공하는 공조 덕트; 및
상기 공정 챔버를 제어하기 위한 전장 박스를 포함하되;
상기 공조 덕트는
상기 전장 박스 주변 분위기를 흡입하는 흡입구들을 포함하는 기판 처리 장치.
Process chamber;
An air conditioning duct connected to the process chamber to provide an exhaust pressure to the process chamber; And
Including an electric box for controlling the process chamber;
The air conditioning duct is
A substrate processing apparatus comprising suction ports for inhaling the atmosphere around the electric box.
제1항에 있어서,
상기 전장 박스는
상기 흡입구들에 인접하게 배치되어 상기 흡입구로 유입되는 공기 흐름에 의해 냉각되는 기판 처리 장치.
The method of claim 1,
The battlefield box above is
A substrate processing apparatus disposed adjacent to the suction ports and cooled by an air flow introduced into the suction ports.
제1항에 있어서,
상기 흡입구는 슬롯 형태를 갖는 기판 처리 장치.
The method of claim 1,
The inlet is a substrate processing apparatus having a slot shape.
제2항에 있어서,
상기 전장 박스는
내부 공간에 설치된 전기 부품에서 발생되는 열이 상기 흡입구들을 통해 원활하게 배기될 수 있도록 개구들을 갖는 케이스를 포함하는 기판 처리 장치.
The method of claim 2,
The battlefield box above is
A substrate processing apparatus comprising a case having openings so that heat generated from an electric component installed in an internal space can be smoothly exhausted through the inlets.
기판 처리 장치에 설치되는 전장 박스의 냉각 방법에 있어서,
상기 전장 박스 내부 및 주변 분위기가 공조 덕트의 흡입구들을 통해 흡입되는 공기 흐름에 의해 자연 냉각되는 전장 박스의 냉각 방법.
In the cooling method of an electric box installed in a substrate processing apparatus,
A cooling method of an electrical box in which the interior and surrounding atmosphere of the electrical box are naturally cooled by an air flow sucked through the inlets of an air conditioning duct.
KR1020190099569A 2019-08-14 2019-08-14 Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate KR102167145B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190099569A KR102167145B1 (en) 2019-08-14 2019-08-14 Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190099569A KR102167145B1 (en) 2019-08-14 2019-08-14 Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate

Publications (1)

Publication Number Publication Date
KR102167145B1 true KR102167145B1 (en) 2020-10-19

Family

ID=73042610

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190099569A KR102167145B1 (en) 2019-08-14 2019-08-14 Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate

Country Status (1)

Country Link
KR (1) KR102167145B1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000039489A (en) * 1998-12-14 2000-07-05 김영환 Duct connecting structure of an exposing apparatus for semiconductor
KR20060132083A (en) * 2005-06-17 2006-12-21 삼성전자주식회사 Apparatus for manufacturinf semiconductor
JP3130502U (en) * 2007-01-16 2007-03-29 ホーコス株式会社 Ventilation duct cooling device for machine tool control panel
JP2011040039A (en) * 2009-08-14 2011-02-24 Fujitsu Technology Solutions Intellectual Property Gmbh Cooling device, server rack, and method for controlling the cooling device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000039489A (en) * 1998-12-14 2000-07-05 김영환 Duct connecting structure of an exposing apparatus for semiconductor
KR20060132083A (en) * 2005-06-17 2006-12-21 삼성전자주식회사 Apparatus for manufacturinf semiconductor
JP3130502U (en) * 2007-01-16 2007-03-29 ホーコス株式会社 Ventilation duct cooling device for machine tool control panel
JP2011040039A (en) * 2009-08-14 2011-02-24 Fujitsu Technology Solutions Intellectual Property Gmbh Cooling device, server rack, and method for controlling the cooling device

Similar Documents

Publication Publication Date Title
KR101166109B1 (en) Facility for treating substrates
KR20190022997A (en) Method and Apparatus for treating substrate
KR102397846B1 (en) Apparatus for treating a substrate
KR102167145B1 (en) Apparatus for treating substrate and cooling method for a electronic equipment box of Apparatus for treating substrate
KR102054221B1 (en) Apparatus for transferring substrate and Apparatus for treating substrate with it
KR102000023B1 (en) Substrate treating apparatus
KR101153605B1 (en) Proximity exposure apparatus, method for controlliproximity exposure apparatus, method for controlling a substrate temperature in the porximity exposung a substrate temperature in the porximity exposure apparatus and method of manufacturing a displayre apparatus and method of manufacturing a display panel substrate panel substrate
KR102299889B1 (en) Exhaust assembly and Apparatus for treating substrate
JPH11312637A (en) Substrate cooling device and its method
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
JP5430508B2 (en) Proximity exposure apparatus, internal temperature control method of proximity exposure apparatus, and display panel substrate manufacturing method
KR20210023510A (en) Apparatus and method for treating substrate
KR20210021184A (en) Apparatus for treating substrate
KR102534608B1 (en) Apparatus for treating substrate and Exhaust method
KR102371453B1 (en) Apparatus for treating substrate and method for removing static electricity
KR20220088593A (en) Apparatus for treating substrate and substrate carrying apparatus
KR20220021290A (en) Apparatus for treating substrate
KR102224987B1 (en) Heat processing apparatus
JP2001068525A (en) Substrate transfer device and treating system
KR20180123862A (en) Air conditioner and apparatus for treating substrate the same
KR101985763B1 (en) Apparatus for treating substrate
KR101935941B1 (en) Apparatus for treating substrate
KR101885567B1 (en) Apparatus treating substrate
KR20160017779A (en) Substrate treating apparatus and substrate transporting method
KR102343640B1 (en) Apparatus for treating substrate

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant