KR102151012B1 - Method and apparatus for determining patterning process parameters - Google Patents

Method and apparatus for determining patterning process parameters Download PDF

Info

Publication number
KR102151012B1
KR102151012B1 KR1020187027663A KR20187027663A KR102151012B1 KR 102151012 B1 KR102151012 B1 KR 102151012B1 KR 1020187027663 A KR1020187027663 A KR 1020187027663A KR 20187027663 A KR20187027663 A KR 20187027663A KR 102151012 B1 KR102151012 B1 KR 102151012B1
Authority
KR
South Korea
Prior art keywords
overlay
unit cell
target
radiation
patterning process
Prior art date
Application number
KR1020187027663A
Other languages
Korean (ko)
Other versions
KR20180118708A (en
Inventor
리스트 아드리안 요한 반
아나그노스티스 치애트마스
폴 크리스티안 하이넨
나마라 엘리엇 제라드 맥
알록 버마
토마스 디우베스
휴고 어거스티누스 조셉 크라머
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180118708A publication Critical patent/KR20180118708A/en
Application granted granted Critical
Publication of KR102151012B1 publication Critical patent/KR102151012B1/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B3/00Measuring instruments characterised by the use of mechanical techniques
    • G01B3/14Templates for checking contours
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Abstract

패터닝 프로세스의 오버레이를 결정하는 방법으로서, 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 유닛 셀은 오버레이의 공칭 값에서 기하학적 대칭을 가지고, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및 그리고 검출된 방사선 표현으로부터의 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한, 상기 유닛 셀에 대한 제 2 오버레이와 별개로, 상기 유닛 셀에 대한 제 1 오버레이의 값을 결정하는 단계 - 상기 제 1 오버레이는 상기 제 2 오버레이와 상이한 방향이거나 상기 유닛 셀 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있는, 오버레이 결정 방법.A method of determining an overlay of a patterning process, the method comprising: obtaining a detected representation of radiation redirected by one or more physical instances of a unit cell, wherein the unit cell has geometric symmetry at a nominal value of the overlay, and The representation is obtained by illuminating the substrate with a beam of radiation such that a beam spot on the substrate is filled with one or more physical instances of the unit cell; And determining a value of the first overlay for the unit cell, apart from the second overlay for the unit cell, also obtainable from the same optical property value, from the optical property value from the detected radiation representation, the Wherein the first overlay is in a different direction from the second overlay or between portions of the unit cell in a different combination than the second overlay.

Description

패터닝 프로세스 파라미터를 결정하는 방법 및 장치Method and apparatus for determining patterning process parameters

관련 출원들에 대한 상호 참조Cross-reference to related applications

본 출원은 2016 년 3 월 1 일자 미국 출원 번호 제 62/301,880 - 2016 년 12 월 16 일자 제 62/435,662, 2016 년 12 월 16 일자 제 62/435,670, 2016 년 12 월 16 일자 제 62/435,649, 2016 년 12 월 16 일자 제 62/435,630, 및 2017 년 2 월 14 일자 제 62/458.932 에 대한 우선권을 주장하며, 이들은 그 전체가 본원에 원용되어 통합된다.This application is filed under U.S. Application Nos. 62/301,880 filed March 1, 2016-62/435,662 dated December 16, 2016, 62/435,670 dated December 16, 2016, 62/435,649 filed December 16, 2016. Claims priority to No. 62/435,630 dated December 16, 2016 and No. 62/458.932 dated February 14, 2017, which are incorporated herein by reference in their entirety.

본 발명은, 예를 들어 기판 상에 패턴을 생성하기 위한 프로세스의 파라미터(예컨대 오버레이)를 결정하는 방법 및 장치에 관한 것이고, 이렇게 결정된 파라미터는 해당 처리와 관련된 하나 이상의 변수를 설계, 모니터링, 조절, 등을 하기 위해서 사용될 수 있다.The present invention relates to a method and apparatus for determining a parameter (e.g., an overlay) of a process for generating a pattern on a substrate, for example, wherein the determined parameter is to design, monitor, adjust, It can be used to do the same.

리소그래피 장치는 기판 상에, 통상적으로는 기판의 목표 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC) 또는 기능성을 가지도록 설계되는 다른 디바이스의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가, 기능성을 가지도록 설계되는 디바이스의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각 타겟부가 조사(irradiate)되는 이른바 스테퍼, 및 주어진 방향("스캐닝" 방향)으로 방사 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.A lithographic apparatus is an apparatus that imparts a desired pattern on a substrate, usually on a target area of the substrate. Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs) or other devices designed to have functionality. In that case, a patterning device, also called a mask or reticle, can be used to create a circuit pattern to be formed on individual layers of a device designed to have functionality. Such a pattern may be transferred onto a target portion (eg, part of a die, including one or several dies) on a substrate (eg, a silicon wafer). The transfer of the pattern is typically performed through imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatuses include so-called steppers to which each target portion is irradiated by exposing the entire pattern onto the target portion at a time, and at the same time scanning a pattern through a radiation beam in a given direction ("scanning" direction). It includes a so-called scanner in which each target portion is irradiated by scanning the substrate in parallel or anti-parallel to. In addition, the pattern may be transferred from the patterning device to the substrate by imprinting the pattern on the substrate.

반도체 디바이스와 같은 디바이스를 제조하는 것은, 통상적으로 여러 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하여 다양한 피쳐 및 흔히 디바이스의 다수의 층을 형성하는 것을 수반한다. 이러한 층 및/또는 피쳐는 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 사용하여 제작되고 처리된다. 다수의 디바이스는 기판 상의 복수 개의 다이 위에 제작된 후 개개의 디바이스로 분할될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스라고 간주될 수 있다. 패터닝 프로세스는 기판 상에 패턴을 제공하기 위한, 리소그래피 장치를 사용한 광학적 및/또는 나노주입 리소그래피와 같은 패턴 전사 단계와, 통상적이지만 선택적으로, 현상 장치에 의한 레지스트 현상, 베이크 툴을 사용한 기판의 베이킹, 에칭 장치에 의하여 패턴을 에칭하는 것 등과 같은 하나 이상의 관련된 패턴 처리 단계를 수반한다. 더 나아가, 하나 이상의 계측 프로세스가 패터닝 프로세스에 수반된다.Fabricating a device, such as a semiconductor device, typically involves processing a substrate (eg, a semiconductor wafer) using several fabrication processes to form various features and often multiple layers of the device. Such layers and/or features are typically fabricated and processed using, for example, deposition, lithography, etching, chemical-mechanical polishing, and ion implantation. Multiple devices can be fabricated over multiple dies on a substrate and then divided into individual devices. This device manufacturing process can be considered a patterning process. The patterning process includes a pattern transfer step, such as optical and/or nanoinjection lithography using a lithographic apparatus, to provide a pattern on the substrate, and, typically but optionally, resist development by a developing apparatus, baking of the substrate using a bake tool, It involves one or more related pattern processing steps, such as etching the pattern by means of an etching apparatus. Furthermore, one or more metrology processes are involved in the patterning process.

프로세스를 모니터링 및/또는 제어하기 위하여 패터닝프로세스 중의 다양한 단계에서 계측 프로세스가 사용된다. 예를 들어, 계측 프로세스는 기판의 하나 이상의 특성, 예컨대 패터닝 프로세스 중에 기판 상에 형성되는 피쳐들의 상대 위치(예를 들어, 레지스트레이션, 오버레이, 정렬 등) 또는 치수(예를 들어, CD, 선폭, 임계 치수, 두께 등)를 측정하기 위해 사용됨으로써, 예를 들어 패터닝 프로세스의 이러한 성능이 이러한 하나 이상의 특성으로부터 결정될 수 있게 한다. 하나 이상의 특성이 수락불가능하다면(예를 들어, 해당 특성(들)에 대한 미리 결정된 범위 밖이면), 패터닝 프로세스에 의해 제조되는 기판이 수용가능한 특성(들)을 가지도록, 패터닝 프로세스의 하나 이상의 값이, 예를 들어 이러한 하나 이상의 특성의 측정치에 기초하여 설계되거나 변경될 수 있다.The metrology process is used at various stages of the patterning process to monitor and/or control the process. For example, the metrology process can be used to measure one or more properties of the substrate, such as the relative position (e.g., registration, overlay, alignment, etc.) or dimensions (e.g., CD, line width, criticality, etc.) of features formed on the substrate during the patterning process. Dimensions, thickness, etc.), such that this performance of the patterning process can be determined from one or more of these properties. If one or more properties are unacceptable (e.g., outside a predetermined range for that property(s)), then one or more values of the patterning process, such that the substrate produced by the patterning process has acceptable property(s). It can be designed or modified based on, for example, measurements of one or more of these properties.

리소그래피 및 다른 패터닝 프로세스 기술이 발전함에 따라서, 기능성 요소의 치수는 계속적으로 감소되어온 반면에, 디바이스 당 트랜지스터와 같은 기능성 요소의 양은 수 십 년에 걸쳐 지속적으로 증가되어 왔다. 한편, 오버레이, 임계 치수(CD) 등과 관련된 정확도에 대한 요건은 점점 더 엄격해져 왔다. 오버레이에 있는 오차, CD에 있는 오차 등과 같은 오차가 패터닝 프로세스에서는 필연적으로 생기게 될 것이다. 예를 들어, 광수차, 패터닝 디바이스 온도상승, 패터닝 디바이스 오차, 및/또는 기판 온도상승에 의해 이미징 오차가 생길 수 있으며, 이것은 예를 들어 오버레이, CD 등에 관하여 특징지어질 수 있다. 추가적으로 또는 대안적으로, 오차는 에칭, 현상, 베이크 등과 같은 패터닝 프로세스의 다른 부분에 도입될 수 있고, 예를 들어 앞의 경우와 유사하게 오버레이, CD 등에 관하여 특징지어질 수 있다. 오차는, 디바이스의 기능 불능 또는 동작 중인 디바이스의 하나 이상의 전기적인 문제를 포함하는, 디바이스의 기능에 관한 문제점을 야기할 수 있다.As lithography and other patterning process technologies advance, the dimensions of functional elements have continued to decrease, while the amount of functional elements, such as transistors per device, has continued to increase over several decades. On the other hand, the requirements for accuracy related to overlay, critical dimension (CD), etc. have become increasingly stringent. Errors such as errors in the overlay, errors in the CD, etc. will inevitably occur in the patterning process. Imaging errors may be caused by, for example, photoaberration, patterning device temperature rise, patterning device error, and/or substrate temperature rise, which may be characterized for example with respect to overlays, CDs, and the like. Additionally or alternatively, errors can be introduced into other parts of the patterning process, such as etching, developing, bake, etc., and characterized with respect to overlays, CDs, etc. similar to the previous case, for example. Errors can lead to problems with the functionality of the device, including failure of the device or one or more electrical problems of the device in operation.

따라서, 이러한 하나 이상의 오차의 특징을 결정하고, 이러한 오차 중 하나 이상을 감소시키거나 최소화하도록 패터닝 프로세스를 설계, 수정, 제어 등을 하기 위한 스텝들을 취하는 것이 바람직하다.Accordingly, it is desirable to take steps to characterize one or more of these errors and to design, modify, control, etc. the patterning process to reduce or minimize one or more of these errors.

일 실시예에서, 제 1 패터닝 프로세스에 의해 생성되도록 구성되는 제 1 구조체; 및 제 2 패터닝 프로세스에 의해 생성되도록 구성되는 제 2 구조체를 포함하는 계측 타겟이 제공되는데, 제 1 구조체 및/또는 제 2 구조체는 디바이스 패턴의 기능성 양태를 생성하도록 사용되지 않고, 제 1 및 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가지고, 유닛 셀은, 제 1 패터닝 프로세스, 제 2 패터닝 프로세스 및/또는 다른 패터닝 프로세스에서의 패턴 배치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 가진다.In one embodiment, a first structure configured to be created by a first patterning process; And a second structure configured to be produced by the second patterning process, wherein the first structure and/or the second structure are not used to create a functional aspect of the device pattern, and the first and second structures The structures together form one or more instances of the unit cells, the unit cells having geometric symmetry in their nominal physical configuration, and the unit cells being the relative of the pattern placement in the first patterning process, the second patterning process and/or other patterning process In a physical configuration different from the nominal physical configuration due to the shift, it has a feature that causes asymmetry within the unit cell.

일 실시예에서, 데이터 구조체가 기록된 비-일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공되는데, 데이터 구조체는 본 명세서에서 설명되는 바와 같은 계측 타겟에 대응한다. 일 실시예에서, 본 명세서에서 설명된 바와 같은 계측 타겟에 대응하는 패턴을 포함하는 레티클이 제공된다.In one embodiment, a computer program product is provided comprising a non-transitory computer-readable medium having a data structure recorded thereon, the data structure corresponding to a metrology target as described herein. In one embodiment, a reticle comprising a pattern corresponding to a metrology target as described herein is provided.

일 실시예에서, 계측 타겟에 대한 제 1 구조체를 생성하는 단계 - 제 1 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것임 -; 상기 계측 타겟에 대한 제 2 구조체를 생성하는 단계 - 제 2 구조체는 디바이스의 대응하는 추가적 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이고, 상기 제 1 구조체와 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 상기 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가짐 -; 및 상기 디바이스 내의 디바이스 피쳐의 기대 위치로부터의 상기 디바이스 내의 디바이스 피쳐의 위치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 상기 계측 타겟 내에 도입하는 단계를 포함하는 방법이 제공된다.In one embodiment, creating a first structure for the metrology target, the first structure will be created by a first patterning process that creates a corresponding device feature of the device; Creating a second structure for the metrology target-a second structure will be created by a second patterning process that creates a corresponding additional device feature of the device, wherein the first structure and the second structure are one of the unit cells. Forming instances of the above together, the unit cells having geometric symmetry in their nominal physical configuration; And introducing a feature into the metrology target that causes an asymmetry in a unit cell in a physical configuration different from the nominal physical configuration due to a relative shift of the position of the device feature in the device from the expected position of the device feature in the device. A method of incorporating is provided.

일 실시예에서, 패터닝 프로세스의 파라미터의 값을 결정하도록, 패터닝 프로세스를 사용하여 기판에 전사된 본 명세서에서 설명된 바와 같은 계측에 의해 재지향된 방사선을 측정하는 단계를 포함하는 방법이 제공된다. 일 실시예에서, 파라미터는 오버레이 및/또는 에지 배치 오차를 포함한다.In one embodiment, a method is provided that includes measuring radiation redirected by metrology as described herein transferred to a substrate using a patterning process to determine a value of a parameter of the patterning process. In one embodiment, the parameters include overlay and/or edge placement errors.

일 양태에서, 프로세서가 전술된 방법이 수행되게 하는 머신-판독가능 명령을 저장하는, 비일시적 컴퓨터 프로그램 제품이 제공된다. 일 양태에서, 컴퓨터에 의하여 실행될 때 본 명세서에서 기술되는 방법 또는 하나 이상의 프로세스 스텝을 구현하는 명령이 기록된 비일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품이 개시된다.In one aspect, a non-transitory computer program product is provided that stores machine-readable instructions for causing a processor to perform the above-described method. In an aspect, a computer program product is disclosed comprising a non-transitory computer-readable medium having instructions recorded thereon for implementing one or more process steps or a method described herein when executed by a computer.

일 양태에서, 패터닝 프로세스의 대상물을 측정하기 위한 계측 장치가 제공되는데, 계측 장치는 본 명세서에서 설명된 바와 같은 방법을 수행하도록 구성된다. 일 양태에서, 패터닝 프로세스의 대상물을 검사하기 위한 검사 장치가 제공되는데, 검사 장치는 본 명세서에서 설명된 바와 같은 방법을 수행하도록 구성된다.In an aspect, a metrology device for measuring an object of a patterning process is provided, the metrology device configured to perform a method as described herein. In one aspect, an inspection apparatus for inspecting an object of a patterning process is provided, the inspection apparatus being configured to perform a method as described herein.

일 양태에서, 방사선 빔을 대상물의 표면에 제공하고 상기 대상물의 표면에서 구조체에 의해 재지향된 방사선을 검출하도록 구성되는 계측 장치; 및 본 명세서에서 설명된 바와 같은 컴퓨터 프로그램 제품을 포함하는, 시스템이 제공된다. 일 실시예에서, 상기 시스템은 리소그래피 장치를 더 포함하고, 상기 리소그래피 장치는, 방사선 빔을 변조하기 위해 패터닝 디바이스를 홀딩하도록 구성되는 지지 구조체 및 변조된 빔을 방사선 감응 기판 상에 투영하도록 배치되는 투영 광학 시스템을 포함한다.In one aspect, a metrology device configured to provide a beam of radiation to a surface of an object and detect radiation redirected by the structure at the surface of the object; And a computer program product as described herein. In one embodiment, the system further comprises a lithographic apparatus, the lithographic apparatus comprising: a support structure configured to hold the patterning device to modulate the radiation beam and a projection disposed to project the modulated beam onto the radiation sensitive substrate. Includes an optical system.

일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하도록 구성되는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 실행될 경우, 머신-판독가능 명령은 상기 하드웨어 프로세서 시스템으로 하여금 본 명세서에서 설명된 바와 같은 방법을 수행하게 한다.In one embodiment, a hardware processor system; And a non-transitory computer readable storage medium configured to store machine-readable instructions, wherein when executed, the machine-readable instructions cause the hardware processor system to cause the method as described herein. To perform.

실시예들은 첨부 도면을 참조하여 오직 예시를 통하여 이제 설명될 것이다:
도 1 은 리소그래피 장치의 일 실시예를 개략적으로 도시한다;
도 2 는 리소그래피 셀 또는 클러스터의 일 실시예를 개략적으로 도시한다;
도 3a 는 특정 조명 모드를 제공하는 조명 애퍼쳐의 제 1 쌍을 사용한, 일 실시예에 따라 타겟을 측정하는 데에 사용하기 위한 측정 장치의 개략도이다;
도 3b 는 조명의 주어진 방향에 대한 타겟의 회절 스펙트럼의 개략적인 상세도이다;
도 3c 는 회절에 기초한 오버레이 측정을 위해 측정 장치를 사용할 때에 추가적인 조명 모드를 제공하는 조명 애퍼쳐의 제 2 쌍의 개략도적인 예시도이다;
도 3d는 회절에 기초한 오버레이 측정을 위해 측정 장치를 사용할 때에 추가적인 조명 모드를 제공하는 애퍼쳐의 제 1 쌍과 제 2 쌍을 결합하는 조명 애퍼쳐의 제 3 쌍의 개략도적인 예시도이다;
도 4 는 다수의 격자 타겟(예를 들어 다수의 격자)의 형태 및 기판 상의 측정 스폿의 개요를 개략적으로 도시한다;
도 5 는 도 3 의 장치에서 획득되는 도 4 의 타겟의 이미지를 개략적으로 도시한다;
도 6 은 예시적인 측정 장치 및 계측 기법을 개략적으로 도시한다;
도 7 은 예시적인 계측 장치를 개략적으로 도시한다;
도 8 은 검사 장치의 조명 스폿과 계측 타겟 사이의 관련성을 예시한다;
도 9 는 측정 데이터에 기초하여 하나 이상의 관심 변수를 유도하는 프로세스를 개략적으로 보여준다;
도 10a 는 예시적인 유닛 셀, 연관된 퓨필 표현, 및 연관된 유도된 퓨필 표현을 개략적으로 도시한다;
도 10b 는 예시적인 유닛 셀, 연관된 퓨필 표현, 및 연관된 유도된 퓨필 표현을 개략적으로 도시한다;
도 10c 는 유닛 셀의 하나 이상의 물리적 인스턴스를 포함하는 예시적인 타겟을 개략적으로 도시한다;
도 11 은 측정된 방사선으로부터 패터닝 프로세스 파라미터를 결정하기 위한 가중치를 획득하는 고레벨 흐름을 도시한다;
도 12 는 측정된 방사선으로부터 패터닝 프로세스 파라미터를 결정하기 위한 고레벨 흐름을 도시한다;
도 13 은 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 14 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 15 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 16 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 17 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 18 은 타겟의 다중 오버레이 유닛 셀의 일 실시예를 개략적으로 도시한다;
도 19 는 타겟의 다중 오버레이 유닛 셀의 일 실시예를 개략적으로 도시한다;
도 20 은 두 개의 상이한 오버레이에 대응하는 두 개의 벡터의 예시적인 그래프를 도시한다;
도 21a 및 도 21b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 22a, 도 22b, 도 22c 및 도 22d 는 비-제품 타겟 디자인의 예들을 개략적으로 도시한다;
도 23a 및 도 23b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 24a 및 도 24b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 25a 및 도 25b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 26a, 도 26b 및 도 26c 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 27a 및 도 27b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 28a, 도 28b 및 도 28c 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 29a 는 디바이스 패턴 피쳐의 일 예를 개략적으로 도시한다;
도 29b, 도 29c, 도 29d 및 도 29e 는 디바이스 패터닝 프로세스의 단계들의 일 예를 개략적으로 도시한다;
도 29f 는 도 29b 및 도 29d 의 단계들에 대응하는, 비-제품 타겟 디자인의 구조체의 일 예를 개략적으로 도시한다;
도 29g 는 도 29f 의 구조체로부터 생성된 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 30a 는 디바이스 패턴 피쳐의 일 예를 개략적으로 도시한다;
도 30b 는 비-제품 타겟 디자인의 구조체의 일 예를 개략적으로 도시한다;
도 30c 는 도 30b 의 구조체로부터 생성된 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 31 은 비-제품 타겟 디자인을 설계하는 방법의 일 실시예에 대응한다; 그리고
도 32 는 본 발명의 실시예를 구현할 수 있는 컴퓨터 시스템을 개략적으로 도시한다.
The embodiments will now be described by way of example only with reference to the accompanying drawings:
1 schematically shows an embodiment of a lithographic apparatus;
2 schematically depicts an embodiment of a lithographic cell or cluster;
3A is a schematic diagram of a measuring device for use in measuring a target according to one embodiment, using a first pair of illumination apertures providing a specific illumination mode;
3B is a schematic detail of a diffraction spectrum of a target for a given direction of illumination;
3C is a schematic diagrammatic illustration of a second pair of illumination apertures that provide an additional illumination mode when using the measurement device for diffraction based overlay measurements;
3D is a schematic illustration of a third pair of illumination apertures combining a first pair and a second pair of apertures to provide an additional mode of illumination when using the measurement device for diffraction based overlay measurements;
4 schematically shows an overview of the shape of multiple grating targets (eg multiple gratings) and measurement spots on a substrate;
5 schematically shows an image of the target of FIG. 4 obtained in the apparatus of FIG. 3;
6 schematically illustrates an exemplary measurement device and metrology technique;
7 schematically shows an exemplary metrology device;
8 illustrates the relationship between an illumination spot of an inspection device and a metrology target;
9 schematically shows the process of deriving one or more variables of interest based on measurement data;
10A schematically illustrates an exemplary unit cell, an associated pupil representation, and an associated derived pupil representation;
10B schematically illustrates an exemplary unit cell, associated pupil representation, and associated derived pupil representation;
10C schematically depicts an exemplary target comprising one or more physical instances of a unit cell;
11 shows a high-level flow of obtaining weights for determining patterning process parameters from measured radiation;
12 shows a high level flow for determining patterning process parameters from measured radiation;
13 shows a high level flow of one embodiment of a data-driven technique;
14 shows a high level flow of one embodiment of a data-driven technique combined with a physical geometric model;
15 shows a high level flow of an embodiment of a data-driven technique combined with a physical geometric model;
16 shows a high level flow of one embodiment of a data-driven technique combined with a physical geometric model;
17 shows a high level flow of an embodiment of a data-driven technique combined with a physical geometric model;
18 schematically shows an embodiment of a target multiple overlay unit cell;
19 schematically shows an embodiment of a target multiple overlay unit cell;
20 shows an exemplary graph of two vectors corresponding to two different overlays;
21A and 21B schematically illustrate an example of a non-product target design;
22A, 22B, 22C and 22D schematically show examples of non-product target designs;
23A and 23B schematically illustrate an example of a non-product target design;
24A and 24B schematically illustrate an example of a non-product target design;
25A and 25B schematically illustrate an example of a non-product target design;
26A, 26B and 26C schematically illustrate an example of a non-product target design;
27A and 27B schematically illustrate an example of a non-product target design;
28A, 28B and 28C schematically illustrate an example of a non-product target design;
29A schematically shows an example of a device pattern feature;
29B, 29C, 29D and 29E schematically illustrate an example of steps in the device patterning process;
29F schematically shows an example of a structure of a non-product target design, corresponding to the steps of FIGS. 29B and 29D;
29G schematically illustrates an example of a non-product target design created from the structure of FIG. 29F;
30A schematically shows an example of a device pattern feature;
30B schematically shows an example of a structure of a non-product target design;
30C schematically shows an example of a non-product target design created from the structure of FIG. 30B;
31 corresponds to an embodiment of a method of designing a non-product target design; And
32 schematically shows a computer system capable of implementing an embodiment of the present invention.

본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.Before describing the embodiments of the present invention in detail, it is beneficial to present an exemplary environment in which embodiments of the present invention may be implemented.

도 1 은 리소그래피 장치(LA)를 개략적으로 묘사한다. 이 장치는:1 schematically depicts a lithographic apparatus LA. This device:

- 방사선 빔(B)(예를 들어, UV 방사선 또는(EUV) 방사선)을 조절하도록 구성되는 조명 시스템(조명기)(IL);-An illumination system (illuminator) IL configured to modulate the radiation beam B (eg UV radiation or (EUV) radiation);

- 패터닝 디바이스(예를 들어, 마스크; MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(positioner; PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블; MT);-A support structure (e.g., a support structure (e.g., a mask) connected to a first positioner (PM) configured to support the patterning device (e.g., a mask; MA) and configured to accurately position the patterning device according to a specific parameter Mask table; MT);

- 기판(예를 들어 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어 웨이퍼 테이블)(WT); 및-A substrate table (e.g. wafer Table) (WT); And

- 방사 빔(B)에 부여된 패턴을 패터닝 디바이스(MA)에 의하여 기판(W)의 타겟부(C)(예를 들어 하나 이상의 다이를 포함함)로 투영하도록 구성되는 투영 시스템(예를 들어 굴절 투영 렌즈 시스템)(PS)을 포함하며, 투영 시스템은 기준 프레임(RF) 상에 지지된다.-A projection system configured to project the pattern imparted to the radiation beam B to the target portion C of the substrate W (e.g. containing one or more dies) by the patterning device MA (e.g. A refractive projection lens system (PS), and the projection system is supported on the reference frame RF.

조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절형, 반사형, 자기적, 전자기, 정전기 또는 다른 유형의 광 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.The lighting system can direct, shape, or control radiation of various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof. It may also include.

지지 구조체는 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 디자인, 및 예를 들어, 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 지지한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조체는 패터닝 디바이스가 예를 들어, 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.The support structure supports the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as, for example, whether the patterning device is held in a vacuum environment. The support structure may use mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterning device. The support structure may be, for example, a frame or a table that can be fixed or movable as required. The support structure may ensure that the patterning device is in a desired position with respect to the projection system, for example. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device".

본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는, 기판의 타겟부 내에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하기 위하여 사용될 수 있는 임의의 디바이스이다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 페이즈 시프트 피처(phase shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.The term “patterning device” as used herein should be broadly interpreted as referring to any device that can be used to impart a pattern in a cross section of a radiation beam to create a pattern in a target portion of a substrate. In one embodiment, the patterning device is any device that can be used to impart a pattern in a cross section of a radiation beam to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may not exactly match the desired pattern at the target portion of the substrate, for example if the pattern comprises a phase shifting feature or a so-called assist feature. Be careful. In general, the pattern imparted to the radiation beam will correspond to a specific functional layer in the target portion, such as a device being created in an integrated circuit.

패터닝 디바이스는 투과형 또는 반사형일 수도 있다. 패터닝 장치의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능(LCD) 패널을 포함한다. 마스크는 리소그래피에서 주지되며, 이진, 교번 페이즈-시프트, 감쇄 페이즈-시프트, 및 다양한 하이브리드 마스크 타입과 같은 마스크 타입을 포함한다. 프로그램가능 미러 어레이의 일 예는 소형 미러들의 매트릭스 정렬을 채용하는데, 이들 각각은 인입하는 방사선 빔을 상이한 방향으로 반사하기 위하여 개별적으로 틸팅될 수 있다. 틸팅된 미러는 미러 매트릭스에 의하여 반사된 방사선 빔 내에 패턴을 부여한다.The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable (LCD) panels. Masks are well known in lithography and include mask types such as binary, alternating phase-shift, attenuating phase-shift, and various hybrid mask types. One example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted to reflect the incoming radiation beam in a different direction. The tilted mirror imparts a pattern in the radiation beam reflected by the mirror matrix.

본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어가 임의로 사용되면 더 일반적인 용어인 "투영 시스템"과 같은 의미인 것으로 간주될 수도 있다.As used herein, the term "projection system" is a refractive formula that is suitable for the exposure radiation being used or for other factors such as the use of an immersion liquid or the use of a vacuum. , Reflective, catadioptric, magnetic, electromagnetic, and electrostatic optical systems, or any combination thereof, should be broadly interpreted as including any type of projection system. In this specification, if the term "projection lens" is arbitrarily used, it may be regarded as having the same meaning as the more general term "projection system".

투영 시스템(PS)은 불균일할 수도 있는 광학적 전달 함수를 가지고, 이것이 기판(W)에 이미징된 패턴에 영향을 줄 수 있다. 무편광 방사선에 대하여 이러한 효과는 두 개의 스칼라 맵에 의하여 매우 잘 기술될 수 있는데, 이러한 맵들은 투영 시스템(PS)을 벗어나는 방사선의 투과(아포디제이션(apodization) 및 상대 위상(수차)을 그것의 퓨필 평면에서의 위치의 함수로서 기술한다. 투과 맵 및 상대 위상 맵이라고 지칭될 수도 있는 이러한 스칼라 맵은 완전한 세트의 기초 기능들의 선형 조합으로서 표현될 수도 있다. 특히 편리한 세트는 제니케 다항식(Zernike polynomials)인데, 이것은 단위 원에서 정의된 직교 다항식의 세트를 형성한다. 각각의 스칼라 맵을 결정하는 것은 이러한 전개식(expansion)에서 계수를 결정하는 것을 수반할 수도 있다. 제니케 다항식이 단위 원 상에서 직교하기 때문에, 제니케 계수는 측정된 스칼라 맵과 각각의 제니케 다항식의 순차적인 내적을 계산하고 이것을 해당 제니케 다항식의 놈의 제곱으로 나눔으로써 결정될 수도 있다.The projection system PS has an optical transfer function that may be non-uniform, which can affect the pattern imaged on the substrate W. For unpolarized radiation, this effect can be described very well by two scalar maps, which map the transmission (apodization) and relative phase (aberration) of the radiation out of the projection system PS. This scalar map, which may also be referred to as a transmission map and a relative phase map, may be expressed as a linear combination of a complete set of basic functions A particularly convenient set is the Zernike polynomials. ), which forms a set of orthogonal polynomials defined in the unit circle Determining each scalar map may involve determining the coefficients in this expansion. Zenike polynomials to be orthogonal on the unit circle Therefore, the Zenike coefficients may be determined by calculating the sequential dot product of the measured scalar map and each Zenike polynomial and dividing this by the square of the norm of the corresponding Zenike polynomial.

투과 맵 및 상대 위상 맵은 필드와 시스템에 의존적이다. 즉, 일반적으로, 각각의 투영 시스템(PS)은 각각의 필드 포인트에 대한(즉 이것의 이미지 평면에서의 각각의 공간적 위치에 대한) 상이한 제니케 전개식을 가질 것이다. 투영 시스템(PS)의 자신의 퓨필 평면에서의 상대 위상은, 예를 들어 투영 시스템(PS)의 객체 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 광원과 같은 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 파면(즉 동일한 위상을 가지는 점들의 궤적)을 측정하기 위하여 시어링 간섭측정계(shearing interferometer)를 사용함으로써 결정될 수도 있다. 시어링 간섭측정계는 공통 경로 간섭측정계이고, 따라서 바람직하게는, 파면을 측정하기 위하여 이차 참조 빔이 요구되지 않는다. 시어링 간섭측정계는 투영 시스템(즉 기판 테이블(WT)의 이미지 평면에 있는 회절 격자, 예를 들어 2 차원의 그리드 및 투영 시스템(PS)의 퓨필 평면에 대해 공액관계(conjugate)인 평면에 있는 간섭 패턴을 측정하도록 구성되는 검출기를 포함할 수도 있다. 간섭 패턴은 시어링 방향에서의 퓨필 평면에 있는 좌표에 대한 방사선의 위상의 도함수에 관한 것이다. 검출기는, 예를 들어 전하 결합 디바이스(charged coupled device; CCD)와 같은 센싱 요소들의 어레이를 포함할 수도 있다.The transmission map and relative phase map are field and system dependent. That is, in general, each projection system PS will have a different Zenike evolution for each field point (ie for each spatial location in its image plane). The relative phase of the projection system PS in its pupil plane is, for example, from a source such as a point light source in the object plane of the projection system PS (i.e. the plane of the patterning device MA), the projection system PS ), and using a shearing interferometer to measure the wavefront (ie, the trajectory of points with the same phase). The shearing interferometer is a common path interferometer and therefore preferably, no secondary reference beam is required to measure the wavefront. The shearing interferometry is a pattern of interference in the projection system (i.e. a diffraction grating in the image plane of the substrate table (WT), e.g. a two-dimensional grid and a plane conjugate to the pupil plane of the projection system (PS). The interference pattern relates to a derivative of the phase of the radiation with respect to a coordinate in the pupil plane in the shearing direction The detector may be, for example, a charged coupled device (CCD). ) May also include an array of sensing elements.

리소그래피 장치의 투영 시스템(PS)은 가시적인 무늬를 생성하지 않을 수 있고, 따라서 파면의 결정 정확도는, 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑(phase stepping) 기법을 사용하여 향상될 수 있다. 스테핑은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향에서 수행될 수도 있다. 스테핑 범위는 하나의 격자 기간일 수도 있고, 적어도 3 개의 (균일하게 분산된) 위상 단계가 사용될 수도 있다. 따라서, 예를 들어 3 개의 스캐닝 측정이 y-방향에서 수행될 수도 있고, 각각의 스캐닝 측정은 x-방향에서의 다른 위치에서 수행된다. 회절 격자의 이러한 스테핑은 위상 변동을 세기 변동으로 효과적으로 변환하고, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향으로 스테핑되어 (z 방향) 검출기를 교정할 수도 있다.The projection system PS of the lithographic apparatus may not produce a visible fringe, so the crystallization accuracy of the wavefront may be improved, for example, using a phase stepping technique, such as moving the diffraction grating. . Stepping may be performed in the plane of the diffraction grating and in a direction perpendicular to the scanning direction of the measurement. The stepping range may be one grating period, and at least three (evenly distributed) phase steps may be used. Thus, for example, three scanning measurements may be performed in the y-direction, and each scanning measurement is performed at a different position in the x-direction. This stepping of the diffraction grating effectively converts the phase fluctuation into an intensity fluctuation and allows the phase information to be determined. The grating may be stepped in a direction perpendicular to the diffraction grating (z direction) to calibrate the detector.

투영 시스템(PS)의 자신의 퓨필 평면에서의 투과(아포디제이션)는, 예를 들어 투영 시스템(PS)의 객체 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 광원과 같은 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 사용하여 투영 시스템(PS)의 퓨필 평면에 대해 공액관계인 평면에서의 방사선의 세기를 측정함으로써 결정될 수도 있다. 수차를 결정하기 위하여, 파면을 측정하려고 사용되는 것과 동일한 검출기가 사용될 수도 있다.The transmission (apodization) of the projection system PS in its own pupil plane is from a source such as a point light source in the object plane of the projection system PS (i.e. the plane of the patterning device MA) It may be determined by projecting the radiation through the projection system PS, and measuring the intensity of the radiation in a plane that is conjugated to the pupil plane of the projection system PS using a detector. To determine the aberration, the same detector used to measure the wavefront may be used.

투영 시스템(PS)은 복수 개의 광 요소(예를 들어, 렌즈)를 포함할 수도 있고, 수차(필드 전체에 걸쳐 퓨필 평면에 걸친 위상 변동)를 정정하도록 광 요소 중 하나 이상을 조절하도록 구성되는 조절 메커니즘(AM)을 더 포함할 수도 있다. 이를 위하여, 조절 메커니즘은 하나 이상의 그 외의 방법으로 투영 시스템(PS) 내의 하나 이상의 광 요소(예를 들어, 렌즈)를 조작하도록 동작가능할 수도 있다. 투영 시스템은 좌표계를 가지는데, 여기서 이것의 광축 연장은 z 방향으로 연장된다. 조절 메커니즘은 다음: 하나 이상의 광 요소를 변위시키는 것; 하나 이상의 광 요소를 틸트; 및/또는 하나 이상의 광 요소를 변형하는 것의 임의의 조합을 수행하도록 동작가능할 수도 있다. 광 요소의 변위는 임의의 방향(x, y, z) 또는 이들의 조합에서 이루어질 수도 있다. 비록 회전적으로 대칭이 아닌(non-rotationally) 비구면 광 요소에 대해서 z 축 주위의 회전이 사용될 수도 있지만, x 및/또는 y 방향의 축들 주위에서 회전함으로써 광 요소는 통상적으로 광축에 수직인 평면을 벗어나서 틸팅된다. 광 요소의 변형은 저 주파수 형상(예를 들어 비점수차(astigmatic) 및/또는 고 주파수 형상(예를 들어 자유 형상 비구면)을 포함할 수도 있다. 광의 변형은, 예를 들어 광 요소의 하나 이상의 면에 힘을 작용시키도록 하나 이상의 액츄에이터를 사용하여 및/또는 광 요소의 하나 이상의 선택된 영역을 가열하도록 하나 이상의 가열 요소를 사용함으로써 수행될 수도 있다. 일반적으로, 아포디제이션(퓨필 평면에 걸친 투과 변경)을 정정하기 위하여 투영 시스템(PS)을 조절하는 것이 가능하지 않을 수도 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대하여 패터닝 디바이스(예를 들어, 마스크)(MA)를 설계할 때에 사용될 수도 있다. 계산적 리소그래피 기법을 사용함으로써, 패터닝 디바이스(MA)는 아포디제이션을 적어도 부분적으로 정정하도록 설계될 수도 있다.The projection system PS may include a plurality of light elements (e.g., lenses), and is configured to adjust one or more of the light elements to correct for aberrations (phase fluctuations across the pupil plane across the field). It may further include a mechanism (AM). To this end, the adjustment mechanism may be operable to manipulate one or more light elements (eg, lenses) within the projection system PS in one or more other ways. The projection system has a coordinate system, where its optical axis extension extends in the z direction. The adjustment mechanism includes: displacing one or more light elements; Tilt one or more optical elements; And/or modifying one or more light elements. The displacement of the optical element may be in any direction (x, y, z) or a combination thereof. Although rotation around the z-axis may be used for non-rotationally aspherical optical elements, by rotating around the axes in the x and/or y directions the optical element is typically out of the plane perpendicular to the optical axis. It is tilted. The deformation of the light element may include a low frequency shape (eg astigmatic and/or a high frequency shape (eg free shape aspherical surface). The deformation of the light, for example one or more faces of the light element) And/or by using one or more heating elements to heat one or more selected regions of the light element, and/or by using one or more actuators to exert a force on the apodization (transmission alteration across the pupil plane). It may not be possible to adjust the projection system PS in order to correct the) The transmission map of the projection system PS design the patterning device (e.g., a mask) MA for the lithographic apparatus LA. By using a computational lithography technique, the patterning device MA may be designed to at least partially correct apodization.

도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 마스크를 채용). 또는, 장치는 반사형 타입(예를 들어, 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다.As shown, the device is transmissive (eg employing a transmissive mask). Alternatively, the device may be of a reflective type (eg, employing a programmable mirror array of the type as mentioned above, or employing a reflective mask).

리소그래피 장치는 두 개(듀얼 스테이지) 이상 테이블(예를 들어, 측정, 및/또는 세정 등을 용이화하기 위해서만 제공되는 기판이 없는, 투영 시스템 아래의 두 개 이상의 기판 테이블(WTa, WTb), 두 개 이상의 패터닝 디바이스 테이블, 기판 테이블(WTa) 및 테이블(WTb))의 타입일 수 있다. 그러한 "다중 스테이지" 머신에서, 부가적인 테이블은 평행하게 사용될 수 있으며, 또한 하나 이상의 다른 테이블들이 노광을 위해 사용되고 있는 동안 준비 단계들이 하나 이상의 테이블 상에 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 사용한 정렬 측정 및/또는 레벨 센서(LS)를 사용한 레벨(높이, 틸트 등) 측정이 이루어질 수 있다.The lithographic apparatus includes two (dual stage) or more tables (e.g., two or more substrate tables (WTa, WTb) under the projection system, with no substrate provided only to facilitate measurement, and/or cleaning, etc.). There may be more than one type of patterning device table, substrate table WTa and table WTb). In such a "multi-stage" machine, additional tables can be used in parallel, and preparation steps can be performed on one or more tables while one or more other tables are being used for exposure. For example, alignment measurement using the alignment sensor AS and/or level (height, tilt, etc.) measurement using the level sensor LS may be performed.

리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 액침액은 또한 예컨대 패터닝 디바이스와 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 가해질 수 있다. 액침 기법은 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 당업계에 주지된다. 본 명세서에 사용된 바와 같은 "침지"라는 용어는, 기판과 같은 구조체가 액체에 잠겨져야 하는 것을 의미하지 않고, 그보다는 노광 동안에 투영 시스템과 기판 사이에 액체가 위치된다는 것을 의미한다.The lithographic apparatus may also be of a type in which at least a portion of the substrate can be covered by a liquid having a relatively high refractive index, such as water, to fill the space between the projection system and the substrate. The immersion liquid may also be applied to other spaces within the lithographic apparatus, such as between the patterning device and the projection system. Liquid immersion techniques are well known in the art to increase the numerical aperture of a projection system. The term “immersion” as used herein does not mean that a structure such as a substrate must be immersed in a liquid, rather it means that the liquid is positioned between the projection system and the substrate during exposure.

도 1 을 참조하면, 조명기(IL)는 방사선 빔을 방사원(SO)으로부터 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우들에서, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사선 소스가 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. For example, if the radiation source is an excimer laser, the source and the lithographic apparatus may be separate entities. In these cases, the source is not considered to form part of the lithographic apparatus, and the radiation beam is, for example, the source SO ) To the fixture (IL). In other cases, for example, where the radiation source is a mercury lamp, this source may be an integrated component in the lithographic apparatus. The source SO and illuminator IL may be referred to as a radiation system together with a beam delivery system BD, if necessary.

조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하도록 구성되는 조절기(AD)를 포함할 수도 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)는 조절될 수 있다. 부가적으로, 조명기(IL)는 집속기(integrator)(IN) 및 집광기(confiner)(CO)와 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.The illuminator IL may include an adjuster AD configured to adjust the angular intensity distribution of the radiation beam. In general, at least the outer and/or inner radial extents (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the pupil plane of the illuminator IL can be adjusted. Additionally, the illuminator IL may include various other components such as an integrator IN and a confineer CO. An illuminator may be used to adjust the radiation beam to have a desired uniformity and intensity distribution in its cross section.

방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기 및 다른 위치 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은, 제 1 위치설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 정밀 위치 설정)을 이용하여 실현될 수도 있다. 마찬가지로, 기판 테이블(WT)의 이동은 제 2 위치설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수도 있다. 스테퍼의 경우(스캐너와 반대로) 지지 구조체(MT)는 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다. 패터닝 장치(MA) 및 기판(W)은 패터닝 장치 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟 영역을 점유하지만, 이들은 타겟 영역 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 마스크(MA)에 두 개 이상의 다이가 제공되는 경우, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다.The radiation beam B is incident on the patterning device (eg, mask) MA held on the support structure (eg, mask table) MT, and is patterned by the patterning device. Crossing the patterning device MA, the radiation beam B passes through a projection system PS that focuses the beam on a target portion C of the substrate W. With the help of a second positioner (PW) and a position sensor (IF) (for example an interferometric measuring device, a linear encoder, a 2-D encoder or a capacitive sensor), for example, of the radiation beam B. In order to position the different target portions C in the path, the substrate table WT can be accurately moved. Similarly, the first positioner and the other position sensor (not clearly depicted in FIG. 1) are used for the path of the radiation beam B, for example after a mechanical search from the mask library or during a scan. It can be used to accurately position the patterning device MA. In general, the movement of the support structure MT may include a long-stroke module forming a part of the first positioner PM and a short-stroke module; Can also be realized using precise positioning). Likewise, the movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module forming part of the second positioner PW. In the case of the stepper (as opposed to the scanner), the support structure MT may be connected only to the short-stroke actuator, or may be fixed. The patterning device MA and the substrate W may be aligned using the patterning device alignment marks M1 and M2 and the substrate alignment marks P1 and P2. Although the substrate alignment marks occupy a dedicated target area as shown, they may be located in the space between the target areas (they are known as scribe-lane alignment marks). Likewise, if more than one die is provided in the mask MA, the mask alignment marks may be located between the dies.

도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:The illustrated device may be used in one or more of the following modes:

1. 스텝 모드에서는, 지지 구조체(MT) 및 기판 테이블(WT)이 본질적으로 정지 상태로 유지되는 동안, 방사선 빔에 부여된 전체 패턴이 한 번에 타겟부(C) 상에 투영된다(즉, 단일 정적 노광). 그러면, 상이한 타겟부(C)가 노광될 수 있도록 기판 테이블(WT)이(X) 방향 및/또는(Y) 방향으로 시프트된다. 스텝 모드에서는, 노광 필드의 최대 크기가 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.1. In the step mode, while the support structure MT and the substrate table WT remain essentially stationary, the entire pattern imparted to the radiation beam is projected onto the target portion C at once (i.e. Single static exposure). Then, the substrate table WT is shifted in the (X) direction and/or (Y) direction so that different target portions C can be exposed. In the step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.

2. 스캔 모드에서는, 지지 구조체(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조체(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다.2. In the scan mode, while the support structure MT and the substrate table WT are scanned synchronously, a pattern imparted to the radiation beam is projected onto the target portion C (ie, a single dynamic exposure). The speed and direction of the substrate table WT relative to the support structure MT may be determined by the magnification (reduction ratio) and image reversal characteristics of the projection system PS. In the scan mode, the maximum size of the exposure field limits the width of the target portion (in the unscanned direction) during a single dynamic exposure, while the length of the scanning motion determines the height of the target portion (in the scanning direction).

3. 다른 모드에서는, 프로그램가능 패터닝 디바이스를 홀딩하면서 지지 구조체(MT)는 본질적으로 정지 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 영역(C) 상에 투영되는 동안에 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스화된(pulsed) 방사선 소스가 채용되며, 프로그램가능한 패터닝 디바이스는 요구될 때, 기판 테이블(WT)의 각 이동 이후에 또는 스캔 도중의 연속적인 방사선 펄스들 사이에서 업데이트된다. 동작의 이러한 모드는 위에서 언급된 바와 같은 타입의 프로그램가능한 미러 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.3. In another mode, while holding the programmable patterning device, the support structure MT remains essentially stationary, and the substrate table WT is kept while the pattern imparted to the radiation beam is projected onto the target area C. It is moved or scanned. In this mode, a generally pulsed radiation source is employed, and a programmable patterning device is updated as required, after each movement of the substrate table WT or between successive radiation pulses during a scan. . This mode of operation can be readily applied to maskless lithography using a programmable patterning device, such as a programmable mirror array of the type as mentioned above.

또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.Further, combinations and/or variations of the above-described usage modes, or completely different usage modes may be employed.

도 2 에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 하나 이상의 레지스트층을 증착하기 위한 하나 이상의 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 칠 플레이트(chill plate; CH), 및 하나 이상의 베이크 플레이트(베이크 plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 하나 이상의 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.As shown in Fig. 2, the lithographic apparatus LA may form part of a lithographic cell LC, also referred to as a lithographic cell or cluster, which also includes an apparatus for performing pre-exposure and post-exposure processes on a substrate. do. Typically, such devices include one or more spin coaters (SC) for depositing one or more resist layers, one or more developer DE, one or more chill plates (CH) for developing the exposed resist, And at least one bake plate (BK). A substrate handler or robot (RO) picks up one or more substrates from the input/output ports (I/O1, I/O2), moves them between different process units, and then loads the lithographic apparatus's loading bay (LB). To pass on. These devices, collectively also referred to as tracks, are under the control of a track control unit (TCU), which is controlled by a supervisory control system (SCS), which also provides a lithography control unit (LACU). Control the device. Therefore, different devices can be operated to maximize throughput and processing efficiency.

리소그래피 장치에 의해 노광되는 기판이 정확하고 일관적으로 노광되려면, 노광된 기판을 검사하여 오버레이(이것은, 예를 들어 오버라잉 층 내의 구조체들 사이 또는, 예를 들어 이중 패터닝 프로세스에 의하여 해당 층에 별개로 제공된 동일한 층 내의 구조체들 사이의 오버레이일 수 있음), 선폭, 임계 치수(CD), 초점 오프셋, 재료 특성 등과 같은 하나 이상의 특성을 측정 또는 결정하는 것이 바람직하다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 통상적으로 더 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일수일 수도 있고, 예를 들어 리소그래피 장치(LA)의 일부일 수도 있다.In order for the substrate to be exposed by the lithographic apparatus to be accurately and consistently exposed, the exposed substrate is inspected and overlayed (this is, for example, between structures within the overlying layer or separately on that layer by, for example, a double patterning process). It is desirable to measure or determine one or more properties such as line width, critical dimension (CD), focal offset, material properties, and the like. Accordingly, the manufacturing facility in which the lysocell LC is located therein typically further includes a measurement system MET for accommodating a part or all of the substrate W processed in the lysocell. The metrology system MET may be the number of days of the lithographic apparatus LC, for example, may be part of the lithographic apparatus LA.

계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공될 수 있다. 오차가 검출되는 경우, 후속 기판의 노광에 대한(특히 배치의 하나 이상의 다른 기판이 여전히 노광될 수 있도록 검사가 충분히 일찍 그리고 빠르게 행해질 수 있는 경우) 및/또는 노광된 기판의 후속 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판은 스트리핑되고 재작업(rework) 되어 수율을 개선하거나, 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 추가적인 처리가 수행되는 것을 피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가적 노광이 수행될 수 있다.Measurement results can be provided directly or indirectly to a supervisory control system (SCS). If an error is detected, adjustments to the exposure of the subsequent substrate (especially if the inspection can be done early and fast enough so that one or more other substrates in the batch can still be exposed) and/or to the subsequent exposure of the exposed substrate are made. Can be done. In addition, substrates that have already been exposed may be stripped and reworked to improve yield, or discarded, thereby avoiding further processing on substrates known to be erroneous. If there is an error in only some target areas of the substrate, additional exposure may be performed only on the target areas considered good.

계측 시스템(MET) 내에서, 기판의 하나 이상의 특성, 및 구체적으로 상이한 기판의 하나 이상의 특성이 또는 동일 기판의 상이한 층의 특성이 층에 따라 어떻게 변화하는지를 결정하기 위해 계측 장치가 사용된다. 계측 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 신속한 측정을 할 수 있기 위해서는, 계측 장치가 노광 직후에 노광된 레지스트 층에서 하나 이상의 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 낮은 콘트라스트를 가지며 - 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음 - 모든 계측 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.Within the metrology system MET, metrology devices are used to determine how one or more properties of a substrate, and specifically one or more properties of different substrates, or of different layers of the same substrate, vary from layer to layer. The metrology device may be integrated into the lithographic apparatus LA or the lithographic apparatus LC, or may be a standalone apparatus. In order to be able to make rapid measurements, it is preferred that the metrology device measures one or more properties in the exposed resist layer immediately after exposure. However, the latent image in the resist has a low contrast-in this case there is only a very small refractive index difference between the portion of the resist exposed to radiation and the portion not exposed to the radiation-all measuring devices make useful measurements of the latent image. Does not have sufficient sensitivity. Therefore, the measurement is performed after the post-exposure bake step (PEB), which is the first step typically performed on the exposed substrate and increases the contrast between the exposed and unexposed portions of the resist. Can be done. At this stage, the image in the resist may be referred to as a semi-latent image. It is also possible to measure the developed resist image after a pattern transfer step such as etching, at which point either the exposed or unexposed portions of the resist are removed. The latter possibility limits the possibility of reworking an erroneous substrate, but can still provide useful information.

계측을 하기 위해서, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특정하게 설계되고, 주기적 구조체를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 부분, 예를 들어 디바이스 패턴의 주기적 구조체이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체(예를 들어, 바이폴라 트랜지스터(BPT), 비트 선 콘택(BLC) 등의 구조체)이다.To make metrology, one or more targets may be provided on the substrate. In one embodiment, the target is specifically designed and may include a periodic structure. In one embodiment, the target is a portion of a device pattern, for example a periodic structure of a device pattern. In one embodiment, the device pattern is a periodic structure of a memory device (eg, a structure such as a bipolar transistor (BPT), a bit line contact (BLC), etc.).

일 실시예에서, 기판 상의 타겟은 하나 이상의 1-D 주기적 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 주기적인 구조적 피쳐가 고상의 레지스트 선(solid resist line)으로 형성되도록 프린트된다. 일 실시예에서, 타겟은 하나 이상의 2-D 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 하나 이상의 주기적 구조체가 고상 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 또는, 바, 필라 또는 비아는 기판 내로(예를 들어, 기판 상의 하나 이상의 층 내고) 에칭될 수 있다.In one embodiment, the target on the substrate may include one or more 1-D periodic structures (e.g. gratings), which are printed such that after development periodic structural features are formed into solid resist lines. . In one embodiment, the target may comprise one or more 2-D structures (e.g. gratings), in which one or more periodic structures are formed as solid resist pillars or vias in the resist after development. It is printed as much as possible. Alternatively, the bars, pillars or vias may be etched into the substrate (eg, into one or more layers on the substrate).

일 실시예에서, 패터닝 프로세스의 관심 파라미터 중 하나는 오버레이이다. 오버레이는, 회절의 0차(거울 반사(specular reflection)에 대응)가 차단되고 더 높은 차수들만이 처리되는 암시야 산란측정을 사용하여 측정될 수 있다. 암시야 계측의 예들은 PCT 특허 출원 공개 번호 제 WO 2009/078708 및 WO 2009/106279 에서 발견될 수 있는데, 이들은 그 전부가 원용에 의해 본 명세서에 통합된다. 이러한 기법의 추가적인 개발예는 미국 특허 출원 공개 번호 US2011-0027704, US2011-0043791 및 US2012-0242970 에서 설명된 바 있는데, 이들은 그 전부가 원용에 의해 본 명세서에 통합된다. 회절 차수의 암-시야 검출을 사용하는 회절-기초 오버레이가 더 작은 타겟에 대한 오버레이 측정을 가능하게 한다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 기판 상의 디바이스 제품 구조체에 의하여 둘러싸일 수도 있다. 일 실시예에서, 하나의 방사선 캡쳐에서 다수의 타겟이 측정될 수 있다.In one embodiment, one of the parameters of interest in the patterning process is an overlay. The overlay can be measured using dark field scattering in which the zero order of diffraction (corresponding to the specular reflection) is blocked and only higher orders are processed. Examples of dark field measurements can be found in PCT Patent Application Publication Nos. WO 2009/078708 and WO 2009/106279, all of which are incorporated herein by reference. Additional examples of development of this technique have been described in US Patent Application Publication Nos. US2011-0027704, US2011-0043791 and US2012-0242970, all of which are incorporated herein by reference. A diffraction-based overlay using dark-field detection of diffraction order allows overlay measurements on smaller targets. These targets may be smaller than the illumination spot and may be surrounded by a device product structure on the substrate. In one embodiment, multiple targets may be measured in one radiation capture.

예를 들어 오버레이를 측정하기 위하여 본 발명의 실시예에서 사용하기에 적합한 계측 장치가 도 3a 에 개략적으로 도시된다. 타겟(T)(격자와 같은 주기적 구조체를 포함함) 및 회절된 광선들은 도 3b 에 좀 더 상세히 표시된다. 이러한 측정 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 이러한 장치에서, 출력부(11)(예를 들어, 레이저 또는 제논 램프와 같은 소스 또는 소스에 연결된 개구부)에 의하여 방출된 방사선은 렌즈(12, 14) 및 대물 렌즈(16)를 포함하는 광학 시스템에 의하여 프리즘(15)을 통해 기판(W)으로 지향된다. 이러한 렌즈들은 4F 배치구성(4F arrangement)의 이중 시퀀스로 배치된다. 기판 이미지를 검출기 상에 제공하는 한, 그 외의 렌즈 장치들도 사용될 수 있다.A metrology device suitable for use in an embodiment of the invention for measuring an overlay, for example, is schematically shown in FIG. 3A. The target T (including a periodic structure such as a grating) and the diffracted rays are shown in more detail in FIG. 3B. This measuring device may be a standalone device or it may be integrated into one of the lithographic apparatus LA, for example a measuring station, or a lithographic cell LC. The optical axis with several branches across the device is represented by a dotted line O. In such a device, the radiation emitted by the output 11 (e.g., a source such as a laser or xenon lamp or an opening connected to the source) is an optical system comprising lenses 12, 14 and an objective lens 16. Is directed to the substrate W through the prism 15. These lenses are arranged in a double sequence of 4F arrangement. Other lens devices may also be used as long as the substrate image is provided on the detector.

일 실시예에서, 렌즈 장치는 공간적-주파수 필터링을 위해 중간 퓨필-평면에 액세스하는 것을 허용한다. 그러므로, 방사선이 기판에 입사하는 각도 범위는, 본 명세서에서 공액(conjugate) 퓨필 평면이라고 불리는 기판 평면의 공간적 스펙트럼을 제공하는 평면에서의 공간적 세기 분포를 정의함으로써 선택될 수 있다. 특히, 예를 들어 이것은 대물 렌즈 퓨필 평면의 백-투영된(back-projected) 이미지인 평면에, 렌즈들(12 및 14) 사이에 적합한 형태의 애퍼쳐 플레이트(13)를 삽입함으로써 수행될 수 있다. 예시된 예에서, 애퍼쳐 플레이트(13)는, 다른 조명 모드가 선택되게 하는 13N 및 13S 라고 명명되는 다른 형태들을 가진다. 이러한 예에서 조명 시스템은 오프-축 조명 모드를 형성한다. 제 1 조명 모드에서, 애퍼쳐 플레이트(13N)는, 오직 설명의 편의를 위해서 '북쪽'이라고 지정되는 방향으로부터 오프-축 조명을 제공한다. 제 2 조명 모드에서, 애퍼쳐 플레이트(13S)는 유사하지만 '남쪽'이라고 명명되는 방향으로부터 오는 조명을 제공하기 위하여 사용된다. 다른 애퍼쳐를 사용하면 조명의 다른 모드들도 가능해진다. 퓨필 평면의 나머지는 어두운 것이 바람직한데, 이것은 원하는 조명 모드 외부의 임의의 불필요한 방사선이 원하는 측정 신호와 간섭을 일으킬 수 있기 때문이다.In one embodiment, the lens arrangement allows access to the intermediate pupil-plane for spatial-frequency filtering. Therefore, the angular range in which the radiation is incident on the substrate can be selected by defining the spatial intensity distribution in the plane that provides the spatial spectrum of the plane of the substrate, referred to herein as a conjugate pupil plane. In particular, for example this can be done by inserting an aperture plate 13 of a suitable shape between the lenses 12 and 14 in a plane which is a back-projected image of the objective lens pupil plane. . In the illustrated example, the aperture plate 13 has different shapes, named 13N and 13S, which allow different illumination modes to be selected. In this example, the lighting system forms an off-axis lighting mode. In the first illumination mode, the aperture plate 13N provides off-axis illumination from a direction designated as'north' for convenience of explanation only. In the second illumination mode, the aperture plate 13S is used to provide illumination coming from a direction similar but termed'south'. Using different apertures also enables different modes of lighting. It is desirable that the rest of the pupil plane is dark, as any unwanted radiation outside the desired illumination mode can interfere with the desired measurement signal.

도 3b 에 도시된 바와 같이, 타겟(T)은 대물 렌즈(16)의 광축(O)에 실질적으로 법선을 이루는 기판(W)과 함께 배치된다. 축(O)에서 벗어난 각도로부터 타겟(T)에 충돌하는 조명(I)의 광선은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟(T)의 경우에, 이러한 광선들은 계측 타겟(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 뿐이다. 플레이트(13)에 있는 애퍼쳐가 유한한 폭(방사선의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사광선(I)은 사실상 각도의 일정한 범위를 점유할 것이고, 회절된 광선 0 및 +1/-1 은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1 은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다. 대물 렌즈에 진입하는 1차 광선이 중앙 광축과 가깝게 정렬되도록 주기적 구조체 피치 및 조명 각도가 설계되거나 조절될 수 있다는 점에 주의한다. 도 3a 및 도 3b 에 예시된 광선들은 다소 오프 축이어서 도면에서 더 쉽게 구별될 수 있게 도시된다. 기판(W) 상의 타겟에 의하여 회전된 것 중 적어도 0 및 +1 차 광선들은 대물 렌즈(16)에 의하여 수집되고 다시 프리즘(15)으로 지향된다.As shown in FIG. 3B, the target T is disposed with a substrate W substantially normal to the optical axis O of the objective lens 16. A ray of light (I) striking the target (T) from an angle off-axis (O) causes a zero order ray (solid line 0) and two primary rays (dashed line +1 and double-chain line -1) to be generated. . In the case of the overfilled small target T, these rays are only one of many parallel rays covering the area of the substrate containing the metrology target T and other features. Since the aperture in the plate 13 has a finite width (the width necessary to allow a useful amount of radiation), the incident light I will in fact occupy a certain range of angles, and the diffracted rays 0 and + 1/-1 will spread to some extent. Depending on the small target's point spread function, each of the orders +1 and -1 will not be a single ideal ray as shown, but will spread more widely over a range of angles. Note that the periodic structure pitch and illumination angle can be designed or adjusted so that the primary ray entering the objective is aligned close to the central optical axis. The rays illustrated in FIGS. 3A and 3B are somewhat off-axis and are thus shown to be more easily distinguishable in the figure. At least 0 and +1 light rays of the rotation by the target on the substrate W are collected by the objective lens 16 and directed back to the prism 15.

도 3a 를 참조하면, 제 1 및 제 2 조명 모드 모두는 북쪽(N) 및 남쪽(S)이라고 명명된 서로 반대인 애퍼쳐를 지정함으로써 예시된다. 입사 광선(I)이 광축의 북쪽으로부터 입사하는 경우, 즉 제 1 조명 모드가 애퍼쳐 플레이트(13N)를 사용하여 적용되면, +1(N) 이라고 명명된 +1 회절 광선이 대물 렌즈(16)에 입사한다. 이에 반해, 제 2 조명 모드가 애퍼쳐 플레이트(13S)를 사용하면 적용되는 경우, -1 회절 광선(-1(S)라고 명명됨)이 렌즈(16)에 진입한다. 따라서, 일 실시예에서, 측정 결과들은, 예를 들어 -1 번째와 +1 번째 회절 차수 세기를 개별적으로 획득하도록 타겟을 회전시키거나 조명 또는 이미징 모드를 변경시킨 이후에, 타겟을 특정 조건에서 두 번 측정함으로써 획득된다. 주어진 타겟에 대하여 이러한 강도들을 비교하면 타겟에서의 비대칭의 측정이 제공되고, 타겟에서의 비대칭성이 리소그래피 프로세스의 파라미터, 예를 들어 오버레이의 표시자로서 사용될 수 있다. 전술된 상황에서, 조명 모드가 변경된다.Referring to FIG. 3A, both the first and second illumination modes are illustrated by designating opposite apertures named north (N) and south (S). When the incident ray I is incident from the north of the optical axis, that is, when the first illumination mode is applied using the aperture plate 13N, the +1 diffracted ray named +1(N) is applied to the objective lens 16 Join in. On the other hand, when the second illumination mode is applied when the aperture plate 13S is used, -1 diffracted ray (designated -1(S)) enters the lens 16. Thus, in one embodiment, the measurement results are, for example, after rotating the target to obtain the -1th and +1th diffraction order intensities separately, or after changing the illumination or imaging mode, placing the target under certain conditions. It is obtained by measuring times. Comparing these intensities for a given target provides a measure of the asymmetry in the target, and the asymmetry in the target can be used as an indicator of a lithographic process, for example an overlay. In the above-described situation, the lighting mode is changed.

빔 분할기(17)는 회절된 빔을 두 개의 측정 브랜치를 향해 분할한다. 제 1 측정 브랜치에서, 광학 시스템(18)은 0차와 1차 회절빔을 사용하여 제 1 센서(19)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 각각의 회절 차수는 센서 상의 다른 포인트에 도달하여, 이미지 처리를 통하여 차수를 비교하고 대조할 수 있다. 센서(19)에 의하여 캡쳐된 퓨필 평면 이미지는 계측 장치를 포커싱하는 것 및/또는 세기 측정을 정규화하기 위하여 사용될 수 있다. 또한, 본 명세서에서 후술되는 바와 같은 재구성과 같은 많은 측정 목적을 위하여 퓨필 평면 이미지가 사용될 수 있다.The beam splitter 17 splits the diffracted beam towards two measurement branches. In the first measurement branch, the optical system 18 plots the diffraction spectrum (a pupil plane image) of the target on the first sensor 19 (e.g., a CCD or CMOS sensor) using the zero- and first-order diffracted beams. To form. Each diffraction order reaches a different point on the sensor, allowing the order to be compared and contrasted through image processing. The pupil plane image captured by the sensor 19 may be used to focus the metrology device and/or normalize the intensity measurements. Further, a pupil plane image may be used for many measurement purposes, such as reconstruction as described later in this specification.

제 2 측정 브랜치에서, 광학 시스템(20, 22)은 기판(W) 상의 타겟의 이미지를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 제 2 측정 브랜치에서, 애퍼쳐 스톱(aperture stop; 21)이 대물렌즈(16)의 퓨필-평면에 대하여 공액인 평면에 제공된다. 애퍼쳐 스톱(21)은 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 -1 또는 +1 일차 빔에 의해서 형성되게 하는 기능을 한다. 센서(19 및 23)에 의하여 측정된 이미지에 관련된 데이터는 이미지 프로세서 및 제어기(PU)로 출력되고, 이들의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. '이미지'라는 용어는 광의로 사용된다는 것에 주의한다. 이와 같은 주기적 구조체 피쳐(예를 들어 격자 선)의 이미지는, -1 및 +1 차수 중 오직 하나만 존재할 경우에는 형성되지 않을 것이다.In the second measurement branch, the optical system 20, 22 forms an image of the target on the substrate W in the sensor 23 (eg, a CCD or CMOS sensor). In the second measurement branch, an aperture stop 21 is provided in a plane that is conjugated with respect to the pupil-plane of the objective lens 16. The aperture stop 21 blocks the 0-th order diffracted beam so that the image of the target formed on the sensor 23 is formed by the -1 or +1 primary beam. Data related to the image measured by the sensors 19 and 23 are output to the image processor and controller PU, and their functions will vary according to the specific type of measurement being performed. Note that the term'image' is used broadly. An image of such periodic structure features (eg grid lines) would not be formed if only one of the -1 and +1 orders were present.

도 3 에 도시되는 애퍼쳐 플레이트(13) 및 스톱(21)의 특정 형태들은 순전히 예일 뿐이다. 다른 실시예에서, 타겟의 온-축 조명이 사용되며, 오프-축 애퍼쳐를 가지는 애퍼쳐 스톱이 회절된 방사선의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 사용된다. 또 다른 실시예에서, 2차, 3차 및 더 고차인 빔(도 3 에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다.The specific forms of aperture plate 13 and stop 21 shown in FIG. 3 are purely examples only. In another embodiment, on-axis illumination of the target is used, and an aperture stop with an off-axis aperture is used to substantially deliver only one primary light of the diffracted radiation to the sensor. In another embodiment, secondary, tertiary, and higher-order beams (not shown in FIG. 3) may be used in the measurement instead of or in addition to the primary beam.

조명이 이러한 다른 타입의 측정에 대해 적응될 수 있게 하기 위해서, 애퍼쳐 플레이트(13)는 원하는 패턴이 나타나도록 회전하는 디스크 주위에 형성되는 다수 개의 애퍼쳐 패턴을 포함할 수도 있다. 애퍼쳐 플레이트(13N 또는 13S)가 하나의 방향(셋-업에 따라 X 또는 Y)으로 지향된 타겟의 주기적 구조체를 측정하기 위해서 사용된다는 점에 주의한다. 직교 주기적 구조체를 측정하기 위해서, 타겟이 90° 및 270°만큼 회전되는 방식이 구현될 수 있다. 그 외의 애퍼쳐 플레이트들이 도 3c 및 도 3d 에 도시된다. 도 3c 는 두 개의 추가적 타입의 오프-축 조명 모드를 예시한다. 도 3c 의 제 1 조명 모드에서, 애퍼쳐 플레이트(13E)는, 오직 설명의 편의를 위해서 전술된 '북쪽'에 대해 '동쪽'이라고 지정되는 방향으로부터 오프-축 조명을 제공한다. 도 3c 의 제 2 조명 모드에서, 애퍼쳐 플레이트(13W)는 유사하지만 '서쪽'이라고 명명되는 방향으로부터 오는 조명을 제공하기 위하여 사용된다. 도 3d 는 두 개의 추가적 타입의 오프-축 조명 모드를 예시한다. 도 3d 의 제 1 조명 모드에서, 애퍼쳐 플레이트(13NW)는 전술된 바와 같이 '북쪽' 및 '서쪽' 이라고 지정된 방향들로부터 오프-축 조명을 제공한다. 제 2 조명 모드에서, 애퍼쳐 플레이트(13SE)는 유사하지만 전술된 바와 같은 '남쪽' 및 '동쪽'이라고 명명되는 반대 방향으로부터 조명을 제공하기 위하여 사용된다. 장치의 이러한 사용법과 수많은 다른 변형예와 적용예들은, 예를 들어 전술된 이미 공개된 특허 출원 공개 문헌들에 기술되어 있다.In order to allow the illumination to be adapted for these different types of measurements, the aperture plate 13 may include a plurality of aperture patterns formed around the rotating disk to reveal the desired pattern. Note that the aperture plate 13N or 13S is used to measure the periodic structure of the target oriented in one direction (X or Y depending on the set-up). In order to measure the orthogonal periodic structure, a method in which the target is rotated by 90° and 270° may be implemented. Other aperture plates are shown in FIGS. 3C and 3D. 3C illustrates two additional types of off-axis illumination modes. In the first illumination mode of Fig. 3C, the aperture plate 13E provides off-axis illumination from a direction designated'east' with respect to'north' described above for convenience of explanation only. In the second illumination mode of Fig. 3C, the aperture plate 13W is used to provide illumination coming from a similar, but termed'west' direction. 3D illustrates two additional types of off-axis illumination modes. In the first illumination mode of Fig. 3D, the aperture plate 13NW provides off-axis illumination from directions designated'north' and'west' as described above. In the second illumination mode, the aperture plate 13SE is similar but used to provide illumination from opposite directions, termed'south' and'east' as described above. This usage of the device and numerous other variations and applications are described, for example, in the previously published patent application publications mentioned above.

도 4 는 기판(W) 상에 형성되는 예시적인 복합 계측 타겟(T)을 도시한다. 복합 타겟은 서로 가까이 위치된 4 개의 주기적 구조체(이러한 경우는 격자(32, 33, 34, 35))를 포함한다. 일 실시예에서, 주기적 구조체 레이아웃은 측정 스폿보다 작게 만들어질 수 있다(즉, 주기적 구조체 레이아웃은 오버필된다). 따라서, 일 실시예에서, 주기적 구조체는 그들 모두가 계측 장치의 조명 빔에 의해 형성된 측정 스폿(31) 내에 놓이도록 서로 충분히 가깝게 위치된다. 이러한 경우, 4 개의 주기적 구조체는 모두 동시에 조명되고 센서(19 및 23)에 동시에 결상된다. 오버레이 측정에 특유한 일 예에서, 주기적 구조체(32, 33, 34, 35) 자체는 오버라이하는 주기적 구조체들에 의해 형성되는 복합 주기적 구조체(예를 들어, 복합 격자)이고, 즉, 주기적 구조체들은 기판(W) 상에 형성된 디바이스의 상이한 층들에 패터닝되어 하나의 층에 있는 적어도 하나의 주기적 구조체가 다른 층에 있는 적어도 하나의 주기적 구조체에 오버레이하게 된다. 이러한 타겟은 20 μm x 20 μm 내 또는 16 μm x 16 μm 내의 외부 치수를 가질 수 있다. 더 나아가, 주기적 구조체들 모두는 층들의 특정 쌍 사이의 오버레이를 측정하기 위하여 사용된다. 타겟이 층들의 둘 이상의 쌍을 측정할 수 있게 하려면, 복합 주기적 구조체들의 상이한 부분들이 형성되는 상이한 층들 사이의 오버레이의 측정을 용이하게 하기 위해서 주기적 구조체(32, 33, 34, 35)는 상이하게 바이어스된 오버레이 오프셋을 가질 수 있다. 따라서, 기판 상의 타겟에 대한 주기적 구조체들 모두는 층들의 하나의 쌍을 측정하기 위하여 사용될 것이고, 기판 상의 다른 동일한 타겟에 대한 주기적 구조체들 모두는 층들의 다른 쌍을 측정하기 위하여 사용될 것이며, 상이한 바이어스는 층 쌍들을 구별하기 쉽게 한다.4 shows an exemplary composite metrology target T formed on the substrate W. The composite target comprises four periodic structures (gratings 32, 33, 34, 35 in this case) located close to each other. In one embodiment, the periodic structure layout can be made smaller than the measurement spot (ie, the periodic structure layout is overfilled). Thus, in one embodiment, the periodic structures are positioned close enough to each other so that they all lie within the measurement spot 31 formed by the illumination beam of the metrology device. In this case, all four periodic structures are simultaneously illuminated and imaged on the sensors 19 and 23 simultaneously. In one example specific to overlay measurements, the periodic structure 32, 33, 34, 35 itself is a complex periodic structure (e.g., a complex lattice) formed by overlying periodic structures, i.e., the periodic structures are the substrate Patterned in different layers of the device formed on (W) such that at least one periodic structure in one layer is overlaid on at least one periodic structure in another layer. Such targets may have external dimensions within 20 μm x 20 μm or within 16 μm x 16 μm. Furthermore, all of the periodic structures are used to measure the overlay between a particular pair of layers. To allow the target to measure more than one pair of layers, the periodic structures 32, 33, 34, 35 are biased differently to facilitate the measurement of the overlay between the different layers in which different portions of the complex periodic structures are formed. Can have an overlaid offset. Thus, all of the periodic structures for a target on the substrate will be used to measure one pair of layers, all of the periodic structures for another same target on the substrate will be used to measure another pair of layers, and different biases Makes it easier to distinguish between layer pairs.

다시 도 4 로 돌아가면, 주기 구조체(32, 33, 34, 35)는 인입하는 방사선을 X 및 Y 방향으로 회절시키도록, 도시된 것처럼 배향도 다를 수 있다. 일 예에서, 주기 구조체(32 및 34)는 +d, -d, 각각의 바이어스를 가지는 X-방향 주기 구조체들이다. 주기 구조체(33 및 35)는 각각 오프셋 +d 및 -d인 Y-방향 주기 구조체들일 수 있다. 4 개의 주기 구조체가 예시되는 반면에, 다른 실시예는 원하는 정확도를 얻기 위해 더 큰 매트릭스를 포함할 수 있다. 예를 들어, 9개의 복합 주기 구조체의 3 x 3 어레이는 바이어스 -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d를 가질 수도 있다. 이러한 주기 구조체들의 개별 이미지는 센서(23)에 의하여 캡쳐된 이미지에서 식별될 수 있다.Returning to FIG. 4 again, the periodic structures 32, 33, 34, 35 may have different orientations as shown, so as to diffract incoming radiation in the X and Y directions. In one example, periodic structures 32 and 34 are X-direction periodic structures with biases of +d, -d, respectively. The periodic structures 33 and 35 may be Y-direction periodic structures with offsets +d and -d, respectively. While four periodic structures are illustrated, other embodiments may include larger matrices to achieve the desired accuracy. For example, a 3 x 3 array of nine complex periodic structures may have biases -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d. Individual images of these periodic structures can be identified in the image captured by the sensor 23.

도 5 는 도 3 의 장치에 있는 도 4 의 타겟을 사용하고, 도 3d 의 애퍼쳐 플레이트(13NW 또는 13SE)를 사용하여 센서(23)에 형성되고 센서에 의하여 검출될 수 있는 이미지의 일 예를 도시한다. 센서(19)는 개개의 다른 주기적 구조체(32 내지 35)들을 분해할 수 없는 반면에, 센서(23)는 가능하다. 어두운 사각형은 센서 상의 이미지의 필드 이고, 그 안에서 기판 상의 조명된 스폿(31)이 대응하는 원형 영역(41)으로 이미징된다. 이러한 경우, 직사각형 영역(42 내지 45)은 주기적 구조체(32 내지 35)의 이미지를 나타낸다. 타겟은 스크라이브 레인 내가 아니거나 이에 추가하여, 디바이스 제품 피쳐들 사이에 위치설정될 수 있다. 만일 주기적 구조체들이 디바이스 제품 영역에 위치된다면, 디바이스 제품 피쳐도 역시 이러한 이미지 필드의 주위에서 보여질 수 있다. 프로세서 및 제어기(PU)는 패턴 인식을 사용하여 이러한 이미지를 처리하여 주기적 구조체(32 내지 35)의 별개의 이미지(42 내지 45)를 식별한다. 이러한 방식으로, 이미지는 센서 프레임 내의 특정한 위치에서 매우 정밀하게 정렬될 필요가 없으며, 이것이 측정 장치 전체의 쓰루풋을 크게 개선시킨다.5 shows an example of an image that is formed on the sensor 23 and can be detected by the sensor using the target of FIG. 4 in the apparatus of FIG. 3 and using the aperture plate 13NW or 13SE of FIG. 3D. Shows. While sensor 19 cannot disassemble individual other periodic structures 32-35, sensor 23 is possible. The dark square is the field of the image on the sensor, in which the illuminated spot 31 on the substrate is imaged into the corresponding circular area 41. In this case, rectangular regions 42-45 represent images of periodic structures 32-35. The target may be positioned between device product features, not within or in addition to the scribe lane. If periodic structures are located in the device product area, the device product feature can also be seen around this image field. The processor and controller PU processes these images using pattern recognition to identify distinct images 42-45 of periodic structures 32-35. In this way, the images do not have to be aligned very precisely at a specific location within the sensor frame, which greatly improves the throughput of the measurement device as a whole.

주기 구조체들의 개별 이미지가 식별되면, 예를 들어 식별된 영역 내의 선택된 픽셀 세기 값을 평균화하거나 합산함으로써 그러한 개별 이미지의 세기가 측정될 수 있다. 이미지의 세기 및/또는 다른 속성이 서로 비교될 수 있다. 이러한 결과는 리소그래피 프로세스의 다른 파라미터를 측정하도록 결합될 수 있다. 오버레이 성능은 이러한 파라미터의 하나의 예이다.Once an individual image of periodic structures is identified, the intensity of that individual image can be measured, for example by averaging or summing selected pixel intensity values within the identified area. The intensity and/or other attributes of the image can be compared to each other. These results can be combined to measure other parameters of the lithographic process. Overlay performance is an example of this parameter.

일 실시예에서, 패터닝 프로세스의 관심 파라미터 중 하나는 피쳐 폭(예를 들어 CD)이다. 도 6 은 피쳐 폭 결정이 가능하게 할 수 있는 고도로 개략적인 예시적 계측 장치(예를 들어, 산란계)를 도시한다. 이것은 방사선을 기판(W) 상에 투영하는 브로드밴드(백색 광) 방사선 투영기(2)를 포함한다. 재지향된 방사선은 분광계 검출기(4)로 전달되고, 이것은 예를 들어 좌측 아래의 그래프에 표시된 것과 같은 경면 반사된 방사선의 스펙트럼(10)(파장의 함수로서의 세기)을 측정한다. 이러한 데이터로부터, 예를 들어 엄밀 결합 파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 또는 도 6 의 우측 아래에 도시한 바와 같은 시뮬레이션된 스펙트럼(simulated spectra)의 라이브러리와의 비교에 의해, 검출된 스펙트럼을 초래하는 구조 또는 프로파일이 프로세서(PU)에 의해 재구성될 수도 있다. 일반적으로, 재구성을 위해서는, 그 구조의 전반적인 형태가 알려져 있으며 일부 변수는 이러한 구조를 제조하는 프로세스에 대한 정보로부터 추정되어, 이러한 구조의 소수의 변수만이 측정된 데이터로부터 결정되도록 남게 된다. 이러한 계측 장치는 수직 입사(normal-incidence) 계측 장치 또는 경사 입사(oblique-incidence) 계측 장치로서 구성될 수 있다. 더 나아가, 재구성을 통한 파라미터의 측정에 추가하여, 각도 분해 산란측정이 제품 및/또는 레지스트 패턴 내의 피쳐의 비대칭을 측정하는 데에 유용하다. 비대칭 측정의 특정 응용예는 오버레이의 측정을 위한 것인데, 이러한 경우 타겟은 서로 중첩된 주기적 피쳐들의 하나의 세트를 포함한다. 이러한 방식의 비대칭 측정의 개념은, 예를 들어 미국 특허 공개 번호 US2006-066855 에 기술되는데, 이것은 그 전체로서 본 명세서에 원용된다.In one embodiment, one of the parameters of interest in the patterning process is the feature width (eg CD). 6 shows a highly schematic exemplary metrology device (eg, scatterometer) capable of enabling feature width determination. It includes a broadband (white light) radiation projector 2 that projects radiation onto a substrate W. The redirected radiation is delivered to a spectrometer detector 4, which measures a spectrum 10 (intensity as a function of wavelength) of specularly reflected radiation, for example as shown in the graph at the bottom left. From these data, for example, by Rigorous Coupled Wave Analysis and non-linear regression, or with a library of simulated spectra as shown in the lower right of FIG. 6. By comparison, the structure or profile resulting in the detected spectrum may be reconstructed by the processor (PU). In general, for reconstruction, the overall shape of the structure is known and some variables are estimated from information about the process of manufacturing these structures, leaving only a few variables of these structures to be determined from the measured data. Such a measuring device may be configured as a normal-incidence measuring device or an oblique-incidence measuring device. Furthermore, in addition to the measurement of parameters through reconstruction, angular resolved scattering measurements are useful for measuring asymmetry of features in products and/or resist patterns. A specific application of the asymmetry measurement is for the measurement of overlays, in which case the target comprises a set of periodic features that are superimposed on each other. The concept of asymmetric measurement in this manner is described, for example, in US Patent Publication No. US2006-066855, which is incorporated herein in its entirety.

도 7 은 본 명세서에서 개시된 본 발명의 실시예에서 사용하기에 적합한 계측 장치(100)의 일 예를 도시한다. 이러한 타입의 계측 장치의 동작 원리는 미국 특허 출원 번호 US 2006-033921 및 US 2010-201963 에 더 상세하게 설명되는데, 이들은 그 전체로서 원용에 의하여 본 명세서에 통합된다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 이러한 장치에서, 소스(110)(예를 들어, 제논 램프)에 의하여 방출된 방사선은 렌즈 시스템(120), 애퍼쳐 플레이트(130), 렌즈 시스템(140), 부분 반사면(150), 및 대물 렌즈(160)를 포함하는 광학 시스템에 의하여 기판(W) 상에 지향된다. 일 실시예에서 이러한 렌즈 시스템(120, 140, 160)은 4F 배치구성의 이중 시퀀스로 배치된다. 일 실시예에서, 방사선 소스(110)에 의해 방출되는 방사선은 렌즈 시스템(120)을 사용하여 시준된다. 소망되는 경우에는 상이한 렌즈 배치구성이 사용될 수 있다. 방사선이 기판에 입사하는 각도 범위는, 기판 평면의 공간적 스펙트럼을 제공하는 평면에서의 공간적 세기 분포를 규정함으로써 선택될 수 있다. 특히, 이것은 대물 렌즈 퓨필 평면의 백-투영된(back-projected) 이미지인 평면에, 렌즈들(120 및 140) 사이에 적합한 형태의 개구부 플레이트(130)를 삽입함으로써 수행될 수 있다. 다른 애퍼쳐를 사용하면 상이한 세기 분포(예를 들어, 환형, 쌍극자 등)도 가능하다. 방사상 및 주변 방향에서의 조명의 각도 분포, 및 방사선의 파장, 편광 및/또는 가간섭성(coherency)과 같은 특성 모두가 원하는 결과를 얻기 위해서 조절될 수 있다. 예를 들면, 400 - 900 nm 또는 그보다 낮은, 예컨대 200 - 300 nm와 같은 범위의 관심 파장을 선택하기 위해 하나 이상의 간섭 필터(130)(도 9 참조)가 소스(110)와 부분 반사면(150) 사이에 제공될 수 있다. 간섭 필터는 상이한 필터의 세트를 포함하기보다는 튜닝가능할 수도 있다. 간섭 필터 대신 격자(grating)가 이용될 수 있다. 일 실시예에서, 관심 편광을 선택하기 위하여 소스(110) 및 부분 반사면(150) 사이에 하나 이상의 편광자(170)(도 9 를 참조한다)가 제공될 수 있다. 편광자는 상이한 편광자의 세트를 포함하는 것이 아니라 튜닝가능할 수도 있다.7 shows an example of a metrology device 100 suitable for use in an embodiment of the invention disclosed herein. The principle of operation of this type of metrology device is described in more detail in US Patent Application Nos. US 2006-033921 and US 2010-201963, which are incorporated herein by reference in their entirety. The optical axis with several branches across the device is represented by a dotted line O. In such a device, the radiation emitted by the source 110 (e.g., a xenon lamp) is the lens system 120, the aperture plate 130, the lens system 140, the partially reflective surface 150, and the objective. It is directed onto the substrate W by an optical system comprising a lens 160. In one embodiment, these lens systems 120, 140, 160 are arranged in a double sequence of 4F arrangement. In one embodiment, radiation emitted by radiation source 110 is collimated using lens system 120. Different lens arrangements can be used if desired. The angular range in which the radiation is incident on the substrate can be selected by defining a spatial intensity distribution in the plane that provides a spatial spectrum of the substrate plane. In particular, this can be done by inserting an opening plate 130 of a suitable shape between the lenses 120 and 140 in the plane which is the back-projected image of the objective lens pupil plane. Different intensity distributions (eg, annular, dipole, etc.) are possible with different apertures. The angular distribution of illumination in the radial and peripheral directions, and properties such as the wavelength, polarization and/or coherency of the radiation can all be adjusted to achieve the desired result. For example, one or more interference filters 130 (see FIG. 9) are used to select a wavelength of interest in the range of 400-900 nm or less, such as 200-300 nm. ) Can be provided between. The interference filter may be tunable rather than including a different set of filters. Instead of an interference filter, a grating can be used. In one embodiment, one or more polarizers 170 (see FIG. 9) may be provided between the source 110 and the partially reflective surface 150 to select the polarization of interest. The polarizer may not contain different sets of polarizers but may be tunable.

도 7 에 도시된 바와 같이, 타겟(T)은 대물 렌즈(160)의 광축(O)에 법선을 이루는 기판(W)과 함께 배치된다. 따라서, 소스(110)로부터 나온 방사선은 부분 반사면(150)에 의해 반사되고 대물 렌즈(160)를 통해서 기판(W) 상의 타겟(T)에 있는 스폿(S)(도 8 을 참조한다)으로 집속된다. 일 실시예에서, 대물 렌즈(160)는 바람직하게는 적어도 0.9 또는 적어도 0.95 의 높은 개구수(NA)를 가진다. 심지어 침지 계측 장치(물과 같은 상대적으로 높은 굴절률의 유체를 사용함)는(1)이 넘는 개구수를 가질 수도 있다.As shown in FIG. 7, the target T is disposed together with the substrate W forming a normal line to the optical axis O of the objective lens 160. Accordingly, the radiation from the source 110 is reflected by the partial reflective surface 150 and through the objective lens 160 to the spot S (see FIG. 8) on the target T on the substrate W. It is focused. In one embodiment, the objective lens 160 preferably has a high numerical aperture (NA) of at least 0.9 or at least 0.95. Even immersion metering devices (using relatively high refractive index fluids such as water) may have a numerical aperture of greater than (1).

축(O)에서 벗어난 각도로부터 조명 스폿으로 집광되는 조명(170, 172)의 광선이 회절된 광선(174, 176)을 발생시킨다. 이러한 광선들은 타겟(T)을 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 조명 스폿 내의 각각의 요소는 계측 장치의 가시 범위 내에 있다. 플레이트(130)에 있는 애퍼쳐가 유한한 폭(방사선의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사광선(170, 172)은 사실상 각도의 일정한 범위를 점유할 것이고, 회절된 광선(174, 176)은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 회절 차수는 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다.The rays of lights 170 and 172 that are focused from an angle off axis O to the illumination spot generate diffracted rays 174 and 176. It should be remembered that these rays are only one of many parallel rays that cover the area of the substrate containing the target T. Each element within the illumination spot is within the visible range of the metrology device. Since the aperture in the plate 130 has a finite width (the width necessary to allow a useful amount of radiation), the incident rays 170 and 172 will in fact occupy a certain range of angles, and the diffracted rays ( 174, 176) will spread to some extent. Depending on the small target's point spread function, each diffraction order will not be a single ideal ray as shown, but will spread more widely over a range of angles.

기판(W) 상의 타겟에 의하여 회절된 적어도 0차는 대물 렌즈(160)에 의하여 집광되고 부분 반사면(150)을 통해서 되지향된다. 광학 요소(180)는 회절빔 중 적어도 일부를 광학 시스템(182)으로 제공하고, 이러한 시스템은 0차 및/또는 1차 회절빔을 사용하여 센서(190)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 일 실시예에서, 특정 회절 차수를 필터링하여 특정 회절 차수가 센서(190)로 제공되도록, 애퍼쳐(186)가 제공된다. 일 실시예에서, 애퍼쳐(186)는 실질적으로 또는 주성분으로 0차 방사선만이 센서(190)에 도달하게 한다. 일 실시예에서, 센서(190)는 기판 타겟(T)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기일 수 있다. 센서(190)는 예를 들어 CCD 또는 CMOS 센서의 어레이일 수도 있으며, 예컨대 프레임당 40 ms의 노출 시간(integration time)을 사용할 수도 있다. 센서(190)는 단일 파장(또는 좁은 파장 범위)에서의 재지향된 방사선의 세기를 측정하기 위해 사용될 수도 있고, 여러 파장에서의 세기를 별도로 측정할 수도 있으며, 또는 일정 파장 범위에 걸쳐 통합된 세기를 측정할 수도 있다. 또한, 센서는 횡자기 편광(transverse magnetic-polarized) 및 횡전기 편광 방사선(transverse electric-polarized radiation)을 가지는 방사선의 세기, 및/또는 횡자기 편광 방사선과 횡전기 편광 방사선 간의 위상차를 개별적으로 측정할 수도 있다.At least the 0th order diffracted by the target on the substrate W is condensed by the objective lens 160 and is directed not through the partial reflection surface 150. Optical element 180 provides at least a portion of the diffracted beam to optical system 182, which system uses a zero-order and/or first-order diffracted beam to provide a sensor 190 (e.g., a CCD or CMOS sensor). A diffraction spectrum (a pupil plane image) of the target is formed on the image. In one embodiment, an aperture 186 is provided such that a specific diffraction order is provided to the sensor 190 by filtering out a specific diffraction order. In one embodiment, aperture 186 causes substantially or primarily zero-order radiation to reach sensor 190. In one embodiment, the sensor 190 may be a two-dimensional detector so that the two-dimensional angular scattering spectrum of the substrate target T can be measured. The sensor 190 may be, for example, an array of CCD or CMOS sensors, and may use an integration time of 40 ms per frame, for example. The sensor 190 may be used to measure the intensity of the redirected radiation at a single wavelength (or a narrow wavelength range), may separately measure the intensity at multiple wavelengths, or measure the intensity integrated over a certain wavelength range. You can also measure it. In addition, the sensor may individually measure the intensity of radiation with transverse magnetic-polarized and transverse electric-polarized radiation, and/or the phase difference between transverse magnetic-polarized radiation and transverse electric-polarized radiation. have.

또는, 광학 요소(180)는 회절된 빔 중 적어도 일부를 측정 브랜치(200)에 제공하여 기판(W) 상의 타겟의 이미지를 센서(230)(예를 들어 CCD 또는 CMOS 센서)에 형성한다. 측정 브랜치(200)는 계측 장치를 포커싱하는 것(즉, 기판(W)이 대물 렌즈(160)와 초점이 맞게 하는 것)과 같은 다양한 보조 기능, 및/또는 도입부에서 언급된 타입의 암시야 이미징을 위해 사용될 수 있다.Alternatively, the optical element 180 provides at least a portion of the diffracted beam to the measurement branch 200 to form an image of the target on the substrate W on the sensor 230 (eg, a CCD or CMOS sensor). The measurement branch 200 includes various auxiliary functions such as focusing the measurement device (i.e., allowing the substrate W to be in focus with the objective lens 160), and/or dark field imaging of the type mentioned in the introduction. Can be used for.

격자의 상이한 크기 및 형상에 대해서 맞춤화된 가시 범위를 제공하기 위하여, 조절가능한 필드 스톱(300)이 렌즈 시스템(140) 내에서 소스(110)로부터 대물 렌즈(160)까지의 경로에 제공한다. 필드 스톱(300)은 애퍼쳐(302)를 가지고 있으며 타겟(T)의 평면과 공액인 평면에 위치되어, 조명 스폿이 애퍼쳐(302)의 이미지가 되게 한다. 이미지는 확대 인자에 따라서 스케일링될 수 있고, 또는 애퍼쳐 및 조명 스폿은 1:1 크기 관련성을 가질 수 있다. 조명이 다른 타입의 측정에 대해 적응될 수 있게 하기 위해서, 애퍼쳐 플레이트(300)는 원하는 패턴이 나타나도록 회전하는 디스크 주위에 형성되는 다수 개의 애퍼쳐 패턴을 포함할 수도 있다. 대안적으로 또는 추가적으로, 플레이트들(300)의 세트가 동일한 효과를 얻도록 제공되고 스워핑될 수 있다. 추가적으로 또는 대안적으로, 변형가능 미러 어레이 또는 투과성 공간적 광 변조기와 같은 프로그래밍가능한 애퍼쳐 디바이스도 역시 사용될 수 있다.To provide a customized viewing range for different sizes and shapes of the grating, an adjustable field stop 300 provides a path from source 110 to objective lens 160 within lens system 140. The field stop 300 has an aperture 302 and is located in a plane that is conjugated with the plane of the target T, so that the illumination spot becomes the image of the aperture 302. The image can be scaled according to the magnification factor, or the aperture and illumination spot can have a 1:1 size relationship. In order to allow the illumination to be adapted for different types of measurements, the aperture plate 300 may include a plurality of aperture patterns formed around the rotating disk to reveal the desired pattern. Alternatively or additionally, a set of plates 300 may be provided and swarped to achieve the same effect. Additionally or alternatively, programmable aperture devices such as deformable mirror arrays or transmissive spatial light modulators may also be used.

통상적으로, 타겟은 Y 축에 평행하거나 X 축에 평행하도록 배열되는 자신의 주기적 구조체 피쳐들과 정렬될 것이다. 자신의 회절 거동에 관해서는, Y 축에 평행한 방향으로 연장되는 피쳐들이 있는 주기적 구조체는 X 방향에서 주기성을 가지는 반면에, X 축에 평행한 방향으로 연장되는 피쳐들이 있는 주기적 구조체는 Y 방향에서 주기성을 가진다. 양자 모두의 방향에서 성능을 측정하기 위하여, 피쳐들의 양자 모두 타입들이 총괄적으로 제공된다. 간결성을 위하여 선과 공간들로 참조될 것이지만, 주기적 구조체는 선과 공간들로 이루어질 필요가 없다. 더욱이, 각각의 선 및/또는 선들 사이의 공간은 더 작은 서브-구조체들로 형성된 구조체일 수 있다. 더 나아가, 주기적 구조체는, 예를 들어 주기적 구조체가 포스트 및/또는 비아 홀을 포함하는 경우 두 개의 차원에서 한꺼번에 주기성을 가지고 형성될 수 있다.Typically, the target will be aligned with its periodic structure features arranged parallel to the Y axis or parallel to the X axis. As for its diffraction behavior, a periodic structure with features extending in a direction parallel to the Y axis has periodicity in the X direction, whereas a periodic structure with features extending in a direction parallel to the X axis has a periodic structure in the Y direction. Have periodicity. In order to measure performance in both directions, both types of features are provided collectively. For brevity it will be referred to as lines and spaces, but the periodic structure need not consist of lines and spaces. Moreover, each line and/or the space between the lines may be a structure formed of smaller sub-structures. Furthermore, the periodic structure may be formed with periodicity in two dimensions at once, for example, when the periodic structure includes posts and/or via holes.

도 8 은 도 7 의 장치에서의 통상적인 타겟(T), 및 조명 스폿(S)의 평면도를 도시한다. 주변 구조체로부터의 간섭이 없는 회절 스펙트럼을 얻기 위해서, 일 실시예에서 타겟(T)은 조명 스폿(S)의 폭(예를 들어, 직경) 보다 더 큰 주기적 구조체(예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭과 길이보다 작을 수 있다. 다르게 말하면, 타겟은 조명에 의해 '언더필되고(underfilled'), 회절 신호에는 타겟 자체 밖의 제품 피쳐 등으로부터의 신호가 본질적으로 존재하지 않는다. 이를 통하여 타겟이 무한 개인 것처럼 간주될 수 있도록 타겟을 수학적으로 용이하게 재구성할 수 있다.FIG. 8 shows a top view of a typical target T, and an illumination spot S in the apparatus of FIG. 7. In order to obtain a diffraction spectrum free of interference from surrounding structures, in one embodiment target T is a periodic structure (eg grating) that is larger than the width (eg diameter) of the illumination spot S. The width of the spot S may be smaller than the width and length of the target. In other words, the target is'underfilled' by illumination, and the diffraction signal is essentially free of signals from product features or the like outside the target itself. Through this, the target can be easily reconstructed mathematically so that the target can be regarded as infinite.

도 9 는 계측법을 사용하여 얻어진 측정된 데이터에 기초하여 타겟 패턴(30')의 하나 이상의 관심 변수의 값을 결정하는 예시적인 프로세스를 개략적으로 도시한다. 검출기(190)에 의해 검출된 방사선은 타겟(30')에 대한 측정된 방사선 분포(108)를 제공한다.9 schematically depicts an exemplary process for determining the value of one or more variables of interest in a target pattern 30' based on measured data obtained using metrology. Radiation detected by detector 190 provides a measured radiation distribution 108 for target 30'.

주어진 타겟(30')에 대해서, 방사선 분포(208)는, 예를 들어 수치 맥스웰 솔버(numerical Maxwell solver; 210)를 사용하여, 파라미터화된 수학 모델(206')로부터 계산 / 시뮬레이션될 수 있다. 파라미터화된 수학 모델(206)은 타겟을 구성하고 타겟과 연관된 다양한 재료의 예시적인 층들을 보여준다. 파라미터화된 수학 모델(206)은 고려 대상인 타겟의 피쳐 및 일부의 층에 대한 변수 중 하나 이상을 포함할 수 있고, 이들은 변경되고 유도될 수 있다. 도 9 에 도시된 바와 같이, 변수 중 하나 이상은 하나 이상의 층의 두께 t, 하나 이상의 피쳐의 폭 w(예를 들어, CD), 하나 이상의 피쳐의 높이 h, 하나 이상의 피쳐의 측벽 각도 α, 및/또는 피쳐들(본 명세서에서는 오버레이로 간주됨)의 상대 위치를 포함할 수 있다. 비록 도시되지 않지만, 변수 중 하나 이상은 층들 중 하나 이상의 굴절률(예를 들어, 실수 또는 복소 굴절률, 굴절률 텐서 등), 하나 이상의 층의 소광 계수, 하나 이상의 층의 흡수, 현상 도중의 레지스트 손실, 하나 이상의 피쳐의 푸팅(footing), 및/또는 하나 이상의 피쳐의 선 에지 거칠기를 더 포함할 수도 있으나, 이에 한정되지는 않는다. 1-D 주기적 구조체 또는 2-D 주기적 구조체의 하나 이상의 파라미터의 하나 이상의 값, 예컨대 폭의 값, 길이, 형상 또는 3-D 프로파일 특성은, 패터닝 프로세스 및/또는 다른 측정 프로세스의 지식으로부터 얻어져서 재구성 프로세스로 입력될 수 있다. 예를 들어, 변수의 초기 값은 측정 중인 타겟에 대한 하나 이상의 파라미터의 그러한 기대값, 예컨대 CD의 값, 피치 등일 수 있다.For a given target 30', the radiation distribution 208 can be calculated/simulated from a parameterized mathematical model 206', for example using a numerical Maxwell solver 210. The parameterized mathematical model 206 shows exemplary layers of various materials that make up the target and are associated with the target. The parameterized mathematical model 206 may include one or more of the variables for some of the layers and features of the target under consideration, which may be modified and derived. As shown in Figure 9, one or more of the variables are the thickness t of one or more layers, the width w of one or more features (e.g., CD), the height h of the one or more features, the sidewall angle α of the one or more features, and And/or may include the relative position of features (referred to herein as overlays). Although not shown, one or more of the variables are the refractive index of one or more of the layers (e.g., real or complex refractive index, refractive index tensor, etc.), the extinction coefficient of one or more layers, absorption of one or more layers, resist loss during development, one It may further include footing of more than one feature and/or line edge roughness of one or more features, but is not limited thereto. One or more values of one or more parameters of the 1-D periodic structure or of the 2-D periodic structure, such as the value of the width, length, shape or 3-D profile characteristics, are obtained from knowledge of the patterning process and/or other measurement processes and reconstructed. Can be entered into the process. For example, the initial value of the variable may be such an expected value of one or more parameters for the target being measured, such as the value of CD, pitch, etc.

일부 경우에, 타겟은 유닛 셀의 복수 개의 인스턴스로 분할될 수 있다. 그러한 경우에 타겟의 방사선 분포를 쉽게 계산하도록 돕기 위하여, 모델(206)은 타겟의 구조체의 유닛 셀을 사용하여 계산 / 시뮬레이션하도록 설계될 수 있는데, 유닛 셀은 전체 타겟에 걸쳐서 인스턴스로서 반복된다. 따라서, 타겟의 방사선 분포를 결정하기 위하여, 모델(206)은 하나의 유닛 셀을 사용하여 계산하고 적절한 경계 조건을 사용하여 전체 타겟에 피팅하도록 그 결과를 복사한다.In some cases, the target may be divided into multiple instances of a unit cell. In order to help easily calculate the radiation distribution of the target in such a case, the model 206 can be designed to calculate/simulate using the unit cells of the target's structure, which unit cells are repeated as instances across the entire target. Thus, to determine the radiation distribution of the target, the model 206 calculates using one unit cell and copies the result to fit the entire target using appropriate boundary conditions.

방사선 분포(208)를 재구성 시점에 계산하는 것에 추가적으로 또는 대안적으로, 복수 개의 방사선 분포(208)가 고려 대상인 타겟 부분의 변수의 복수 개의 변동에 대해서 미리 계산되어 재구성 시점에 사용할 방사선 분포의 라이브러리를 생성할 수 있다.In addition to or alternatively to calculating the radiation distribution 208 at the time of reconstruction, a plurality of radiation distributions 208 are pre-calculated for a plurality of fluctuations of the variable of the target portion to be considered, and a library of radiation distributions to be used at the time of reconstruction is prepared. Can be generated.

그러면, 측정된 방사선 분포(108)는 212 에서 계산된(해당 시점에 가깝게 계산되거나 라이브러리로부터 획득된) 방사선 분포(208)와 비교되어 이들 사이의 차를 결정한다. 차이가 있으면, 파라미터화된 수학 모델(206)의 변수 중 하나 이상의 값은 변경될 수 있고, 새롭게 계산된 방사선 분포(208)가, 측정된 방사선 분포(108)와 방사선 분포(208) 사이에 충분한 매칭이 존재할 때까지 획득되고(계산되거나 라이브러리로부터 획득되고) 측정된 방사선 분포(108)에 대해 비교된다. 해당 시점에서, 파라미터화된 수학 모델(206)의 변수들의 값은 실제 타겟(30')의 기하학적 구조에 대한 양호하거나 최선의 매칭을 제공한다. 일 실시예에서, 측정된 방사선 분포(108)와 계산된 방사선 분포(208) 사이의 차가 공차 임계 안에 속하면 충분한 매칭이 존재하는 것이다.The measured radiation distribution 108 is then compared to the radiation distribution 208 calculated at 212 (calculated close to that point or obtained from the library) to determine the difference between them. If there is a difference, the values of one or more of the variables of the parameterized mathematical model 206 can be changed, and the newly calculated radiation distribution 208 is sufficient between the measured radiation distribution 108 and the radiation distribution 208. It is obtained (calculated or obtained from the library) and compared against the measured radiation distribution 108 until a match exists. At that point, the values of the parameters of the parameterized mathematical model 206 provide a good or best match to the geometry of the actual target 30'. In one embodiment, a sufficient match exists if the difference between the measured radiation distribution 108 and the calculated radiation distribution 208 falls within the tolerance threshold.

이러한 계측 장치에서, 기판 지지대가 측정 동작 중에 기판(W)을 홀딩하기 위해 제공될 수 있다. 기판 지지대는 형태상 도 1 의 기판 지지대(WT)와 유사하거나 동일할 수 있다. 계측 장치가 리소그래피 장치와 통합되는 일 예에서, 이것은 동일한 기판 테이블일 수도 있다. 성긴 위치설정기 및 정밀 위치설정기가 측정 광학 시스템에 대해 기판을 정확하게 위치설정하도록 구성될 수 있다. 예를 들어 관심 타겟의 위치를 얻고 이것을 대물 렌즈 아래의 위치로 데려가기 위해서, 다양한 센서 및 액츄에이터가 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치에서 타겟에 많은 측정이 이루어질 것이다. 기판 지지체는 X 및 Y 방향으로 이동되어 상이한 타겟들을 얻을 수 있고, Z 방향으로 이동되어 광학 시스템의 초점에 대한 타겟의 원하는 위치를 얻을 수 있다. 예를 들어 실제로 광학 시스템이 실질적으로 정지된 상태를 유지하고(통상적으로 X 및 Y 방향이지만 Z 방향에서도 정지될 수 있음) 기판만이 이동하는 경우, 대물 렌즈가 기판에 대해 상대적으로 상이한 위치로 이동되고 있는 것처럼 동작을 이해하고 설명하는 것이 편리하다. 기판 및 광학 시스템의 상대 위치가 정확하다면, 이들 중 어느 것이 실제로 이동하고 있는지, 또는 둘 다 이동하는 중인지, 또는 광학 시스템의 일부의 조합이 이동하며(예를 들어, Z 및/또는 틸트 방향으로) 광학 시스템의 나머지가 정지된 상태이고 기판이 이동하는지(예를 들어, X 및 Y 방향이지만, 선택적으로 Z 및/또는 틸트 방향으로도 이동가능함)는 이론 상 중요하지 않다.In such a measuring device, a substrate support may be provided for holding the substrate W during the measuring operation. The substrate support may be similar or identical to the substrate support WT of FIG. 1 in shape. In one example in which the metrology apparatus is integrated with the lithographic apparatus, this may be the same substrate table. Coarse positioners and precision positioners can be configured to accurately position the substrate relative to the measurement optical system. Various sensors and actuators are provided, for example to obtain the position of a target of interest and bring it to a position under the objective lens. Typically, many measurements will be made to the target at different locations across the substrate W. The substrate support can be moved in the X and Y directions to obtain different targets, and the substrate support can be moved in the Z direction to obtain the desired position of the target with respect to the focus of the optical system. For example, if the optical system remains substantially stationary (usually in the X and Y directions, but can also be stopped in the Z direction) and only the substrate moves, the objective lens moves to a different position relative to the substrate. It is convenient to understand and explain the behavior as it is being done. If the relative position of the substrate and the optical system is correct, which of them is actually moving, or whether both are moving, or a combination of some of the optical systems is moving (e.g. in the Z and/or tilt direction) It is not theoretically important whether the rest of the optical system is stationary and the substrate is moving (eg, in the X and Y directions, but optionally also in the Z and/or tilt directions).

일 실시예에서, 타겟의 측정 정확도 및/또는 감도는 타겟 상에 제공되는 방사선의 빔의 하나 이상의 속성, 예를 들어 방사선 빔의 파장, 방사선 빔의 편광, 방사선 빔의 세기 분포(즉, 각도 또는 공간적 세기 분포) 등에 대해서 변할 수 있다. 따라서, 예를 들어 타겟의 양호한 측정 정확도 및/또는 감도를 획득할 수 있는 특정한 측정 전략이 선택될 수 있다.In one embodiment, the measurement accuracy and/or sensitivity of the target is one or more properties of the beam of radiation provided on the target, e.g., the wavelength of the radiation beam, the polarization of the radiation beam, the intensity distribution of the radiation beam (i.e., angle or Spatial intensity distribution), etc. Thus, for example, a specific measurement strategy can be selected that can obtain good measurement accuracy and/or sensitivity of the target.

적어도 하나의 패턴 전사 단계(예를 들어, 광 리소그래피 단계)를 포함하는 패터닝 프로세스(예를 들어, 디바이스 제조 프로세스)를 모니터링하기 위하여, 패터닝된 기판이 검사되고 패터닝된 기판의 하나 이상의 파라미터가 측정/결정된다. 하나 이상의 파라미터는, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 연속 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 피쳐의 임계 치수(CD)(예를 들어, 임계 선폭), 광 리소그래피 단계의 초점 또는 초점 오차, 광 리소그래피 단계의 선량 또는 선량 오차, 광 리소그래피 단계의 광수차, 배치 오차(예를 들어, 에지 배치 오차) 등을 포함할 수 있다. 이러한 측정은 제품 기판 자체 및/또는 기판 상에 제공된 전용의 계측 타겟 상에서 수행될 수 있다. 측정은 레지스트의 현상 후이지만 에칭 이전에 수행될 수 있거나 또는 에칭 후에 수행될 수 있다.To monitor a patterning process (e.g., a device manufacturing process) comprising at least one pattern transfer step (e.g., a photolithography step), the patterned substrate is inspected and one or more parameters of the patterned substrate are measured/ Is determined. One or more parameters may be, for example, overlays between successive layers formed in or on the patterned substrate, for example critical dimensions (CD) of features formed in or on the patterned substrate (e.g., critical line width), light It may include a focus or focus error in a lithography step, a dose or dose error in an optical lithography step, a photo aberration in an optical lithography step, an arrangement error (eg, edge placement error), and the like. Such measurements can be performed on the product substrate itself and/or on a dedicated metrology target provided on the substrate. The measurement can be performed after development of the resist but before etching or may be performed after etching.

일 실시예에서, 획득된 측정 프로세스로부터 획득된 파라미터는 측정 프로세스로부터 직접적으로 결정된 파라미터로부터 유도된 파라미터이다. 일 예로서, 측정 파라미터로부터 획득되는 유도된 파라미터는 패터닝 프로세스에 대한 에지 배치 오차이다. 에지 배치 오차는 패터닝 프로세스에 의해 생성되는 구조체의 에지의 위치가 달라지게 한다. 일 실시예에서, 에지 배치 오차는 오버레이 값으로부터 유도된다. 일 실시예에서, 에지 배치 오차는 오버레이 값과 CD 값의 조합으로부터 유도된다. 일 실시예에서, 에지 배치는 오버레이 값, CD 값 및 국지적 변동(예를 들어, 개개의 구조체의 에지 거칠기, 형상 비대칭 등)의 값으로부터 유도된다. 일 실시예에서, 에지 배치 오차는 결합된 오버레이 및 CD 오차들의 극단 값(예를 들어, 3 개의 표준 편차, 즉, 3σ)을 포함한다. 일 실시예에서, 구조체를 생성하는 것을 수반하고, 구조체에 상대적인 패터닝 프로세스에 의해 제공된 패턴의 에칭을 통하여 구조체의 일부를 제거함으로써 구조체를 "절삭(cutting)"하는 것을 수반하는 다중-패터닝 프로세스에서는, 에지 배치 오차가 다음 형태를 가지는데(또는 다음 항들 중 하나 이상을 포함함):In one embodiment, the parameters obtained from the obtained measurement process are parameters derived from parameters determined directly from the measurement process. As an example, the derived parameter obtained from the measurement parameter is the edge placement error for the patterning process. The edge placement error causes the position of the edge of the structure created by the patterning process to be different. In one embodiment, the edge placement error is derived from the overlay value. In one embodiment, the edge placement error is derived from a combination of an overlay value and a CD value. In one embodiment, the edge placement is derived from values of overlay values, CD values, and local variations (eg, edge roughness of individual structures, shape asymmetry, etc.). In one embodiment, the edge placement error includes the extreme value of the combined overlay and CD errors (eg, 3 standard deviations, ie 3σ). In one embodiment, in a multi-patterning process that involves creating a structure, and that involves "cutting" a structure by removing a portion of the structure through etching of a pattern provided by a patterning process relative to the structure, The edge placement error takes the form (or includes one or more of the following terms):

Figure 112018094715975-pct00001
, 여기에서 σ는 표준 편차이고,
Figure 112018094715975-pct00002
는 오버레이의 표준 편차에 대응하며,
Figure 112018094715975-pct00003
는 패터닝 프로세스에서 생성된 구조체의 임계 치수 균일성(CDU)의 표준 편차에 대응하고,
Figure 112018094715975-pct00004
는 존재한다면 패터닝 프로세스에서 생성된 절삭부의 임계 치수 균일성(CDU)의 표준 편차에 대응하며,
Figure 112018094715975-pct00005
는 광학적 근접성 효과(OPE) 및/또는 레퍼런스 CD에 대한 피치에서의 CD의 차이인 및/또는 근접성 바이어스 평균(PBA)의 표준 편차에 대응하고,
Figure 112018094715975-pct00006
는 선 에지 거칠기(LER) 및/또는 로컬 배치 오차(LPE)의 표준 편차에 대응한다. 위의 수식이 표준 편차에 관련되지만, 이것은 분산과 같은 다른 비견할만한 통계 방식으로 공식화될 수 있다.
Figure 112018094715975-pct00001
, Where σ is the standard deviation,
Figure 112018094715975-pct00002
Corresponds to the standard deviation of the overlay,
Figure 112018094715975-pct00003
Corresponds to the standard deviation of the critical dimension uniformity (CDU) of the structure produced in the patterning process,
Figure 112018094715975-pct00004
If present, corresponds to the standard deviation of the critical dimension uniformity (CDU) of the cut produced in the patterning process,
Figure 112018094715975-pct00005
Corresponds to the optical proximity effect (OPE) and/or the standard deviation of the proximity bias mean (PBA), which is the difference of the CD in pitch relative to the reference CD, and
Figure 112018094715975-pct00006
Corresponds to the standard deviation of the line edge roughness (LER) and/or local placement error (LPE). Although the above formula relates to the standard deviation, it can be formulated in other comparable statistical ways, such as variance.

주사 전자 현미경(scanning electron microscope), 영상-기초 측정 툴 및/또는 다양한 특수 기기를 사용하는 것을 포함하는 패터닝 프로세스에서 형성된 구조체를 측정하기 위한 다양한 기법들이 존재한다. 전술된 바와 같이, 신속하고 비침투식인 형태의 특수 계측 툴은, 방사선의 빔이 기판면 상의 타겟 상으로 디렉팅되고 산란된(회절/반사된) 빔의 특성이 측정되는 것이다. 기판에 의해 산란된 방사선의 특성 중 하나 이상을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이것은 회절-기초 계측이라고 명명될 수 있다. 이러한 회절-기초 계측의 하나의 적용예는 타겟 내에서의 피쳐 비대칭의 측정 분야이다. 이것은, 예를 들어 오버레이의 크기로서 사용될 수 있지만, 다른 애플리케이션들도 역시 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼 내의 -1차 및 +1차를 비교함으로써) 측정될 수 있다. 이것은, 전술된 바와 같이 그리고 예를 들어 그 전체 내용이 원용되어 본원에 통합되는 미국 특허 출원 공개 번호 US2006-066855 에 기술된 바와 같이 간단하게 수행될 수 있다. 이러한 회절-기초 계측의 다른 적용예는 타겟 내에서의 피쳐를 측정하는 분야이다. 이러한 기법은 도 6 내지 도 9 를 참조하여 전술된 장치 및 방법을 사용할 수 있다.Various techniques exist for measuring structures formed in the patterning process, including using a scanning electron microscope, an image-based measurement tool, and/or a variety of specialized instruments. As described above, a special metrology tool of a fast and non-invasive form is that a beam of radiation is directed onto a target on a substrate surface and the properties of the scattered (diffracted/reflected) beam are measured. By evaluating one or more of the properties of the radiation scattered by the substrate, one or more properties of the substrate may be determined. This can be termed diffraction-based metrology. One application of such diffraction-based metrology is the field of measurement of feature asymmetry within a target. This can be used, for example as the size of the overlay, but other applications are also known. For example, asymmetry can be measured by comparing opposite portions of the diffraction spectrum (eg, by comparing the -1 order and +1 order in the diffraction spectrum of a periodic grating). This can be done simply as described above and, for example, as described in US Patent Application Publication No. US2006-066855, the entire contents of which are incorporated herein by reference. Another application of such diffraction-based metrology is the field of measuring features within a target. Such a technique can use the apparatus and method described above with reference to FIGS. 6-9.

이제, 이러한 기법이 효과적이기는 하지만, 타겟 내의 피쳐 비대칭(예컨대 오버레이, CD 비대칭, 측벽 각도 비대칭 등)을 유도하는 새로운 측정 기법을 제공하는 것이 바람직하다. 이러한 기법은 특수하게 설계된 계측 타겟을 위해서 또는 더 중요하게는 디바이스 패턴 상의 피쳐 비대칭을 직접적으로 결정하기에 효과적일 수 있다.Now, while this technique is effective, it is desirable to provide a new measurement technique that induces feature asymmetry in the target (eg overlay, CD asymmetry, sidewall angle asymmetry, etc.). This technique may be effective for specially designed metrology targets or more importantly to directly determine feature asymmetry on the device pattern.

도 10 을 참조하면, 이러한 측정 기법의 원리가 오버레이 실시예의 콘텍스트에서 설명된다. 도 10a 에서, 타겟(T)의 기하학적으로 대칭적인 유닛 셀이 도시된다. 타겟(T)은 유닛 셀의 오직 하나의 물리적 인스턴스를 포함할 수 있고 또는 도 10c 에 도시된 바와 같이 유닛 셀의 복수 개의 물리적 인스턴스를 포함할 수 있다.Referring to Fig. 10, the principle of this measurement technique is described in the context of an overlay embodiment. In Fig. 10A, the geometrically symmetric unit cell of the target T is shown. The target T may include only one physical instance of the unit cell or may include a plurality of physical instances of the unit cell as shown in FIG. 10C.

타겟(T)은 특수하게 설계된 타겟일 수 있다. 일 실시예에서, 타겟은 스크라이브 레인이다. 일 실시예에서, 타겟은 인-다이 타겟이고, 즉, 타겟은 디바이스 패턴 사이에(따라서 스크라이브 레인들 사이에) 있다. 일 실시예에서, 타겟은 디바이스 패턴 피쳐와 비슷한 피쳐 폭 또는 피치를 가질 수 있다. 예를 들어, 타겟 피쳐 폭 또는 피치는 디바이스 패턴의 최소 피쳐 크기 또는 피치의 300% 이하, 디바이스 패턴의 최소 피쳐 크기 또는 피치의 200% 이하, 디바이스 패턴의 최소 피쳐 크기 또는 피치의 150% 이하, 또는 디바이스 패턴의 최소 피쳐 크기 또는 피치의 100% 이하일 수 있다.The target T may be a specially designed target. In one embodiment, the target is a scribe lane. In one embodiment, the target is an in-die target, ie the target is between device patterns (and thus between scribe lanes). In one embodiment, the target may have a feature width or pitch similar to the device pattern feature. For example, the target feature width or pitch is 300% or less of the minimum feature size or pitch of the device pattern, 200% or less of the minimum feature size or pitch of the device pattern, 150% or less of the minimum feature size or pitch of the device pattern, or It may be 100% or less of the minimum feature size or pitch of the device pattern.

타겟(T)은 디바이스 구조체일 수 있다. 예를 들어, 타겟(T)은 메모리 디바이스(흔히 후술되는 것처럼 기하학적으로 대칭적이거나 대칭적일 수 있는 하나 이상의 구조체를 가짐)의 일부일 수 있다.The target T may be a device structure. For example, target T may be part of a memory device (often having one or more structures that may be geometrically symmetrical or symmetrical as described below).

일 실시예에서, 유닛 셀의 타겟(T) 또는 물리적 인스턴스는 2400 마이크론 제곱 이하의 면적, 2000 마이크론 제곱 이하의 면적, 1500 마이크론 제곱 이하의 면적, 1000 마이크론 제곱 이하의 면적, 400 마이크론 제곱 이하의 면적, 200 마이크론 제곱 이하의 면적, 100 마이크론 제곱 이하의 면적, 50 마이크론 제곱 이하의 면적, 25 마이크론 제곱 이하의 면적, 10 마이크론 제곱 이하의 면적, 5 마이크론 제곱 이하의 면적, 1 마이크론 제곱 이하의 면적, 0.5 마이크론 제곱 이하의 면적, 또는 0.1 마이크론 제곱 이하의 면적을 가질 수 있다. 일 실시예에서, 유닛 셀의 타겟(T) 또는 물리적 인스턴스는, 기판의 평면에 평행한 50 마이크론 이상, 30 마이크론 이상, 20 마이크론 이상, 15 마이크론 이상, 10 마이크론 이상, 5 마이크론 이상, 3 마이크론 이상, 1 마이크론 이상, 0.5 마이크론 이상, 0.2 마이크론 이상, 또는 0.1 마이크론 이상의, 마이크론 이상, 마이크론 이상의 단면 치수를 가진다.In one embodiment, the target (T) or physical instance of the unit cell is an area of 2400 microns squared or less, an area of 2000 microns squared or less, an area of 1500 microns squared or less, an area of 1000 microns squared or less, an area of 400 microns squared or less , Area less than 200 microns square, area less than 100 microns square, area less than 50 microns square, area less than 25 microns square, area less than 10 microns square, area less than 5 microns square, area less than 1 micron square, It can have an area of less than 0.5 microns squared, or less than 0.1 microns squared. In one embodiment, the target (T) or physical instance of the unit cell is 50 microns or more, 30 microns or more, 20 microns or more, 15 microns or more, 10 microns or more, 5 microns or more, 3 microns or more parallel to the plane of the substrate. , 1 micron or more, 0.5 micron or more, 0.2 micron or more, or 0.1 micron or more, micron or more, micron or more cross-sectional dimensions.

일 실시예에서, 유닛 셀의 타겟(T) 또는 물리적 인스턴스는 5 마이이크론 이하, 2 마이이크론 이하, 1 마이이크론 이하, 500 nm 이하, 400 nm 이하, 300 nm 이하, 200 nm 이하, 150 nm 이하, 100 nm 이하, 75 nm 이하, 50 nm 이하, 32 nm 이하, 22 nm 이하, 16 nm 이하, 10 nm 이하, 7 nm 이하, 또는 5 nm 이하의 구조체의 피치를 가진다.In one embodiment, the target (T) or physical instance of the unit cell is 5 microns or less, 2 microns or less, 1 micron or less, 500 nm or less, 400 nm or less, 300 nm or less, 200 nm or less, 150 nm or less , 100 nm or less, 75 nm or less, 50 nm or less, 32 nm or less, 22 nm or less, 16 nm or less, 10 nm or less, 7 nm or less, or 5 nm or less.

일 실시예에서, 타겟(T)은 유닛 셀의 복수 개의 물리적 인스턴스를 가진다. 따라서, 타겟(T)은 통상적으로 여기에 나열된 높은 차원을 가질 수 있지만, 유닛 셀의 물리적 인스턴스는 여기에 나열된 낮은 치수를 가질 것이다. 일 실시예에서, 타겟(T)은 유닛 셀의 50,000 개의 물리적 인스턴스, 유닛 셀의 25,000 개의 물리적 인스턴스, 유닛 셀의 15,000 개의 물리적 인스턴스, 유닛 셀의 10,000 개의 물리적 인스턴스, 유닛 셀의 5,000 개의 물리적 인스턴스, 유닛 셀의 1000 개의 물리적 인스턴스, 유닛 셀의 500 개의 물리적 인스턴스, 유닛 셀의 200 개의 물리적 인스턴스, 유닛 셀의 100 개의 물리적 인스턴스, 유닛 셀의 50 개의 물리적 인스턴스, 또는 유닛 셀의 10 개의 물리적 인스턴스를 포함한다.In one embodiment, the target T has a plurality of physical instances of the unit cell. Thus, the target T may typically have the higher dimensions listed here, but the physical instance of the unit cell will have the lower dimensions listed here. In one embodiment, the target T is 50,000 physical instances of a unit cell, 25,000 physical instances of a unit cell, 15,000 physical instances of a unit cell, 10,000 physical instances of a unit cell, 5,000 physical instances of a unit cell, Includes 1000 physical instances of a unit cell, 500 physical instances of a unit cell, 200 physical instances of a unit cell, 100 physical instances of a unit cell, 50 physical instances of a unit cell, or 10 physical instances of a unit cell. do.

바람직하게는, 유닛 셀의 물리적 인스턴스 또는 유닛 셀의 복수 개의 물리적 인스턴스는 총괄하여 계측 장치의 빔 스폿을 채운다. 그러한 경우에, 측정된 결과는 본질적으로 유닛 셀의 물리적 인스턴스(또는 그 복수 개의 인스턴스)로부터 얻은 정보만을 포함한다. 일 실시예에서, 빔 스폿은 50 마이크론 이하, 40 마이크론 이하, 30 마이크론 이하, 20 마이크론 이하, 15 마이크론 이하, 10 마이크론 이하, 5 마이크론 이하, 또는 2 마이크론 이하의 단면 폭을 가진다.Preferably, a physical instance of a unit cell or a plurality of physical instances of a unit cell collectively fills the beam spot of the metrology device. In such cases, the measured results essentially contain only information obtained from physical instances of the unit cell (or multiple instances thereof). In one embodiment, the beam spot has a cross-sectional width of 50 microns or less, 40 microns or less, 30 microns or less, 20 microns or less, 15 microns or less, 10 microns or less, 5 microns or less, or 2 microns or less.

도 10a 의 유닛 셀은 기판 상에서 물리적으로 인스턴스화되거나 인스턴스화될 적어도 두 개의 구조체를 포함한다. 제 1 구조체(1000)는 선을 포함하고 제 2 구조체(1005)는 타원형 형상을 포함한다. 물론, 제 1 및 제 2 구조체(1000, 1005)는 도시된 것과 다른 구조체일 수 있다.The unit cell of FIG. 10A includes at least two structures to be instantiated or physically instantiated on a substrate. The first structure 1000 includes a line and the second structure 1005 includes an elliptical shape. Of course, the first and second structures 1000 and 1005 may be structures different from those shown.

더 나아가, 이러한 예에서, 오버레이에 오차를 가지기 위하여, 기판 상에 그들이 개별적으로 전사되기 때문에 제 1 구조체와 제 2 구조체(1000, 1005) 사이에는 그들의 기대된 위치로부터 상대적인 시프트가 존재할 수 있다. 이러한 예에서, 제 1 구조체(1000)는 제 2 구조체(1005)보다 기판 상의 더 높은 층에 위치된다. 따라서, 일 실시예에서, 제 2 구조체(1005)는 패터닝 프로세스의 제 1 실행 시에 첫 번째 더 낮은 층에 생성될 수 있고, 제 1 구조체(1000)는 패터닝 프로세스의 제 2 실행 시에 첫 번째 더 낮은 층보다 두 번째 더 높은 층에 생성될 수 있다. 이제, 제 1 및 제 2 구조체(1000, 1005)가 상이한 층에 위치될 필요가 없다. 예를 들어, 이중 패터닝 프로세스(그 일부로서 예를 들어 에칭 프로세스를 포함함)에서, 제 1 및 제 2 구조체(1000, 1005)는 동일한 층에서 생성되어 본질적으로 단일 패턴을 형성할 수 있지만, 동일한 층 내에서의 그들의 상대적인 배치에 관하여 "오버레이" 문제점이 여전히 존재할 수 있다. 이러한 단일 층 예에서, 제 1 및 제 2 구조체(1000, 1005) 양자 모두는, 예를 들어 제 1 구조체(1000)에 대하여 도 10a 에 도시되는 것과 같은 선의 형태를 가지지만, 하지만 제 1 패턴 전사 프로세스에서 기판 상에 이미 제공된 제 2 구조체(1005)의 선은 제 2 패턴 전사 프로세스에서 제공된 구조체(1000)의 선과 인터리빙될 수 있다.Furthermore, in this example, in order to have an error in the overlay, there may be a relative shift from their expected position between the first structure and the second structure 1000, 1005 because they are individually transferred onto the substrate. In this example, the first structure 1000 is located in a higher layer on the substrate than the second structure 1005. Thus, in one embodiment, the second structure 1005 may be created in the first lower layer upon the first execution of the patterning process, and the first structure 1000 may be created on the second execution of the patterning process. It can be created in a second higher layer than a lower layer. Now, the first and second structures 1000 and 1005 need not be located in different layers. For example, in a double patterning process (including, for example, an etching process as part of it), the first and second structures 1000, 1005 may be created in the same layer to form essentially a single pattern, but the same There may still be "overlay" problems with respect to their relative placement within the layer. In this single layer example, both the first and second structures 1000 and 1005 have the shape of a line as shown in FIG. 10A with respect to the first structure 1000, for example, but the first pattern transfer The line of the second structure 1005 already provided on the substrate in the process may be interleaved with the line of the structure 1000 provided in the second pattern transfer process.

유닛 셀은 축 또는 점에 대해서 기하학적 대칭을 가지거나 가질 수 있다는 것이 중요하다. 예를 들어, 도 10a 의 유닛 셀은, 예를 들어 축(1010) 및 점에 대한 반사 대칭 / 예를 들어 점(1015)에 대한 회전 대칭을 가진다. 이와 유사하게, 도 10c 의 유닛 셀의 물리적 인스턴스(따라서 유닛 셀의 물리적 인스턴스들의 조합)는 기하학적 대칭을 가진다.It is important that the unit cell has or can have geometric symmetry about an axis or point. For example, the unit cell of FIG. 10A has, for example, an axis 1010 and a reflective symmetry about a point / eg a rotational symmetry about a point 1015. Similarly, the physical instance of the unit cell of FIG. 10C (and thus the combination of the physical instances of the unit cell) has geometric symmetry.

일 실시예에서, 유닛 셀은 어떤 피쳐(예컨대 오버레이)에 대하여 기하학적 대칭을 가진다. 본 발명의 실시예는 기하학적으로 대칭일 경우 제로 오버레이를 가지는 유닛 셀에 중점을 둔다. 그러나, 그 대신에, 유닛 셀은 특정한 기하학적 비대칭에 대해서 제로 오버레이를 가질 수 있다. 그러면, 특정 기하학적 비대칭을 가질 경우 제로 오버레이를 가지는 유닛 셀을 설명하기 위하여 적절한 오프셋 및 계산이 사용될 것이다. 적절하게는, 유닛 셀은 특정 피쳐 값에 따라서, 대칭에 변화가 생길 수 있어야 한다(예를 들어, 비대칭이 되거나, 더 비대칭이 되거나, 비대칭 상황으로부터 대칭이 될 수 있음).In one embodiment, the unit cell has geometric symmetry with respect to certain features (eg overlays). Embodiments of the present invention focus on unit cells with zero overlay when geometrically symmetric. However, instead, the unit cell may have a zero overlay for certain geometric asymmetry. Then, an appropriate offset and calculation will be used to account for the unit cell with zero overlay if it has a specific geometric asymmetry. Suitably, the unit cell should be able to change its symmetry depending on the value of a particular feature (e.g., it can be asymmetrical, more asymmetric, or symmetrical from an asymmetric situation).

도 10a 의 예에서, 유닛 셀은 제로 오버레이에 대해서 기하학적 대칭을 가진다(제로 오버레이일 필요는 없음). 이것은, 제 1 구조체(1000)의 선들이 제 2 구조체(1005)의 타원 형상에 대해 균일하게 정렬되는 것을 나타내는 화살표(1020 및 1025)로 표현된다(적어도 부분적인 정렬도 유닛 셀이 도 10a 에 도시된 바와 같은 기하학적 대칭을 가지게 함). 그러므로, 이러한 예에서, 유닛 셀이 기하학적 대칭을 가지면, 제로 오버레이가 존재한다. 그러나, 오버레이에 오차가 있으면(예를 들어, 비-제로 오버레이), 유닛 셀은 더 이상 기하학적으로 대칭적이지 않고, 정의에 의하여 타겟은 더 이상 기하학적으로 대칭이 아니다. In the example of FIG. 10A, the unit cell has geometric symmetry with respect to a zero overlay (it need not be a zero overlay). This is represented by arrows 1020 and 1025 indicating that the lines of the first structure 1000 are evenly aligned with the elliptical shape of the second structure 1005 (at least a partial alignment unit cell is shown in FIG. To have geometric symmetry as shown). Therefore, in this example, if the unit cell has geometric symmetry, there is a zero overlay. However, if there is an error in the overlay (e.g., non-zero overlay), the unit cell is no longer geometrically symmetric, and by definition the target is no longer geometrically symmetric.

더 나아가, 타겟이 유닛의 복수 개의 물리적 인스턴스를 포함하는 경우, 유닛 셀의 인스턴스들은 주기적으로 배치된다. 일 실시예에서, 유닛 셀의 인스턴스들은 래티스(lattice)로 배치된다. 일 실시예에서, 주기적 배치구성은 타겟 내에 기하학적 대칭을 가진다.Furthermore, when the target includes a plurality of physical instances of the unit, the instances of the unit cell are periodically deployed. In one embodiment, instances of the unit cell are placed in a lattice. In one embodiment, the periodic arrangement has geometric symmetry within the target.

그러므로, 이러한 기법에서, 지금부터 더욱 논의되는 바와 같이, 관심 대상인 피쳐 비대칭(예를 들어, 비-제로 오버레이)이 피쳐 비대칭(예를 들어, 비-제로 오버레이)을 결정할 수 있기 위하여 기하학적 대칭의 변경되는(예를 들어, 기하학적 비대칭에 대한 변경, 또는 추가적인 기하학적 비대칭에 대한 변경, 또는 기하학적 비대칭으로부터 기하학적 대칭으로의 변경) 것의 장점이 생긴다.Therefore, in this technique, as will be discussed further from now on, feature asymmetries of interest (e.g., non-zero overlays) can determine feature asymmetry (e.g., non-zero overlays). The advantage of being (eg, a change to geometric asymmetry, or a change to additional geometric asymmetry, or from geometric asymmetry to geometric symmetry) arises.

도 10a 의 유닛 셀의 물리적 인스턴스를 포함하는 타겟은, 예를 들어 도 7 의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 타겟에 의해 재지향된 방사선은, 예를 들어 검출기(190)에 의하여 측정될 수 있다. 일 실시예에서, 재지향된 방사선의 퓨필, 즉 푸리에 변환 평면이 측정된다. 이러한 퓨필의 예시적인 측정이 퓨필 이미지(1030)로서 도시된다. 퓨필 이미지(1030)는 다이아몬드 형상을 가지지만, 이러한 형상을 가져야 하는 것은 아니다. 본 명세서에서 퓨필 및 퓨필 평면이라는 용어는 문맥상 그렇지 않은 경우(예를 들어, 특정 광학 시스템의 퓨필 평면이 식별되고 있는 경우)를 제외하고서는 그들의 임의의 공액을 포함한다. 퓨필 이미지(1030)는 실질적으로 재지향된 방사선의 퓨필의, 광학 특성(이러한 경우에 세기)에 대해 규정된 이미지이다.A target comprising a physical instance of the unit cell of FIG. 10A may be illuminated with radiation, for example using the metrology device of FIG. 7. The radiation redirected by the target may be measured by the detector 190, for example. In one embodiment, the pupil of the redirected radiation, ie the Fourier transform plane, is measured. An exemplary measurement of this pupil is shown as a pupil image 1030. The pupil image 1030 has a diamond shape, but does not have to have this shape. The terms pupil and pupil plane herein include any conjugation of them except where the context does not (eg, where the pupil plane of a particular optical system is being identified). The pupil image 1030 is an image defined for the optical properties (intensity in this case) of the pupil of the substantially redirected radiation.

편의상, 본 명세서의 논의는 관심 광학 특성으로서 세기에 중점을 둘 것이다. 하지만, 본 발명의 기법은 하나 이상의 대안적 또는 추가적 광학 특성, 예컨대 위상 및/또는 반사도와도 함께 사용될 수 있다.For convenience, the discussion herein will focus on intensity as an optical property of interest. However, the techniques of the present invention may also be used with one or more alternative or additional optical properties, such as phase and/or reflectivity.

더 나아가, 편의상, 본 명세서의 논의는 재지향된 방사선의 이미지, 특히 퓨필 이미지를 검출하고 처리하는 것에 중점을 둔다. 그러나, 재지향된 방사선의 광학 특성은 이미지가 아닌 방식으로 측정되고 표현될 수 있다. 예를 들어, 재지향된 방사선은 하나 이상의 스펙트럼(예를 들어, 파장의 함수인 세기)에 대하여 처리될 수 있다. 따라서, 재지향된 방사선의 검출된 이미지는 재지향된 방사선의 광학적 표현의 일 예로 간주될 수 있다. 그러므로, 퓨필 평면 이미지의 경우, 퓨필 이미지는 퓨필 표현의 일 예이다.Furthermore, for convenience, the discussion herein focuses on detecting and processing images of redirected radiation, in particular pupil images. However, the optical properties of redirected radiation can be measured and expressed in a way other than an image. For example, redirected radiation may be processed for one or more spectra (eg, intensity as a function of wavelength). Thus, the detected image of the redirected radiation can be regarded as an example of an optical representation of the redirected radiation. Therefore, in the case of a pupil plane image, the pupil image is an example of a pupil expression.

더 나아가, 재지향된 방사선은 편광되거나 비-편광될 수 있다. 일 실시예에서, 측정 빔 방사선은 편광된 방사선이다. 일 실시예에서, 측정 빔 방사선은 선형으로 편광된다.Furthermore, the redirected radiation can be polarized or non-polarized. In one embodiment, the measurement beam radiation is polarized radiation. In one embodiment, the measurement beam radiation is linearly polarized.

일 실시예에서, 퓨필 표현은 주로, 또는 실질적으로, 타겟으로부터의 재지향된 방사선의 하나의 회절 차수이다. 예를 들어, 방사선은 방사선의 특정 차수의 80% 이상, 85% 이상, 90% 이상, 95% 이상, 98% 이상 또는 99% 이상일 수 있다. 일 실시예에서, 퓨필 표현은 주로, 또는 실질적으로, 0차 재지향된 방사선에 대한 것이다. 이러한 경우는, 예를 들어 타겟의 피치, 측정 방사선의 파장, 및 선택적으로 하나 이상의 다른 조건에 의해 타겟이 주성분으로 0차 방사선(하나 이상의 더 높은 차수가 존재할 수 있지만)을 재지향하게 되는 경우에 발생할 수 있다. 일 실시예에서, 퓨필 표현 중 대부분은 0차 재지향된 방사선이다. 일 실시예에서, 퓨필 표현은 0차 방사선 및 개별적으로 1차 방사선에 대한 것이고, 이들은 이후에 선형 조합(중첩)될 수 있다. 도 7 의 애퍼쳐(186)가 방사선의 특정 차수, 예를 들어 0차를 선택하기 위하여 사용될 수 있다.In one embodiment, the pupil representation is primarily, or substantially, one diffraction order of the redirected radiation from the target. For example, the radiation may be 80% or more, 85% or more, 90% or more, 95% or more, 98% or more, or 99% or more of a specific order of radiation. In one embodiment, the pupil representation is primarily, or substantially, for zero-order redirected radiation. This may occur, for example, if the pitch of the target, the wavelength of the measurement radiation, and optionally one or more other conditions causes the target to redirect zero-order radiation (although one or more higher orders may exist) as a principal component. I can. In one embodiment, most of the pupil representation is zero-order redirected radiation. In one embodiment, the pupil representation is for the zero order radiation and separately for the primary radiation, which can then be linearly combined (superimposed). The aperture 186 of FIG. 7 can be used to select a specific order of radiation, for example the zero order.

제 1 및 제 2 구조체(1000, 1005)의 기하학적으로 대칭인 유닛 셀에 대응하는퓨필 이미지(1030)와 관련하여, 세기 분포가 퓨필 이미지 내에서 본질적으로 대칭(예를 들어, 기하학적 구조체와 동일한 대칭 타입을 가짐)이라는 것을 알 수 있다. 이것은 또한 대칭적 세기 분포 부분을 퓨필 이미지(1030)로부터 제거함으로써 확정되는데, 그 결과 유도된 퓨필 이미지(1035)가 생긴다. 대칭적 세기 분포 부분을 제거하기 위하여, 특정 퓨필 이미지 픽셀(예를 들어, 픽셀)은, 해당 특정 퓨필 이미지 픽셀에서의 세기로부터 대칭적으로 위치된 퓨필 이미지 픽셀의 세기를 감산함으로써 제거된 대칭적 세기 분포 부분을 가질 수 있으며, 그 반대의 경우도 마찬가지이다. 일 실시예에서, 픽셀은 검출기(예를 들어, 검출기(190))의 픽셀에 대응할 수 있지만 그래야 하는 것은 아니다; 예를 들어, 퓨필 이미지 픽셀은 검출기의 복수 개의 픽셀일 수 있다. 일 실시예에서, 그에 걸쳐서 픽셀 세기가 감산되는 대칭점 또는 대칭축은 유닛 셀의 대칭점 또는 대칭축에 대응한다. 그러므로, 예를 들어 퓨필 이미지(1030)를 고려하면, 대칭 세기 분포 부분은, 예를 들어 표시된 해당 특정 픽셀에서의 세기(Ii)로부터 대칭적으로 위치된, 즉 축(1032)에 대해서 대칭으로 위치된 픽셀로부터의 세기(Ii')를 감산함으로써 제거될 수 있다. 따라서, 특정 픽셀에서의 대칭적 세기 부분이 제거된 세기 Si는 이제 Si = Ii - Ii'이 된다. 이것이 퓨필 이미지의 복수 개의 픽셀, 예를 들어 퓨필 이미지 내의 모든 픽셀에 대해서 반복될 수 있다. 유도된 퓨필 이미지(1035)에서 볼 수 있는 것처럼, 대칭적 유닛 셀에 대응하는 세기 분포는 본질적으로 완전히 대칭적이다. 따라서, 대칭적 유닛 셀의 기하학적 구조(및 적용가능하다면, 유닛 셀의 인스턴스의 특정 주기성)를 가지는 대칭적 타겟은 결과적으로 계측 장치에 의해 측정되는 바와 같은 대칭적 퓨필 응답이 된다.With respect to the pupil image 1030 corresponding to the geometrically symmetric unit cells of the first and second structures 1000, 1005, the intensity distribution is essentially symmetric within the pupil image (e.g., the same symmetry as the geometric structure). Has a type). This is also confirmed by removing portions of the symmetrical intensity distribution from the pupil image 1030, resulting in a derived pupil image 1035. In order to remove the symmetrical intensity distribution part, a specific pupil image pixel (for example, a pixel) is a symmetrical intensity removed by subtracting the intensity of the symmetrically positioned pupil image pixel from the intensity of that specific pupil image pixel. It can have a distribution part, and vice versa. In one embodiment, a pixel may correspond to a pixel of a detector (eg, detector 190), but it does not have to; For example, the pupil image pixel may be a plurality of pixels of the detector. In one embodiment, the symmetry point or axis of symmetry over which the pixel intensity is subtracted corresponds to the symmetry point or axis of symmetry of the unit cell. Therefore, for example, considering the pupil image 1030, the symmetric intensity distribution part is located symmetrically from the intensity (Ii) at the specific pixel displayed, that is, symmetrically about the axis 1032 It can be eliminated by subtracting the intensity (Ii') from the pixel. Thus, the intensity Si from which the symmetric intensity part in a particular pixel has been removed is now Si = Ii-Ii'. This may be repeated for a plurality of pixels of the pupil image, for example all pixels in the pupil image. As can be seen in the derived pupil image 1035, the intensity distribution corresponding to the symmetric unit cell is essentially completely symmetric. Thus, a symmetric target having a symmetric unit cell geometry (and, if applicable, a specific periodicity of an instance of the unit cell) results in a symmetric pupil response as measured by the metrology device.

이제 도 10b 를 참조하면, 오버레이의 오차의 일 예가 도 10a 에서 도시되는 유닛 셀에 대하여 도시된다. 이러한 경우에, 제 1 구조체(1000)는 제 2 구조체(1005)에 대하여 X-방향으로 시프트된다. 특히, 제 1 구조체(1000)의 선에 중심을 둔 축(1010)이 도 10b 에서 축(1045)으로 우측 시프트되었다. 따라서, 오버레이(1040)에는 X-방향의 오차; 즉, X 방향 오버레이 오차가 존재한다. 물론, 제 2 구조체(1005)가 제 1 구조체(1000)에 상대적으로 시프트될 수 있고, 또는 양자 모두가 서로에 상대적으로 시프트될 수 있다. 어떠한 경우에서도, 결과는 X 방향 오버레이 오차이다. 그러나, 이러한 유닛 셀 배치구성으로부터 이해될 수 있는 것처럼, 제 1 구조체(1000)와 제 2 구조체(1005) 사이의 Y-방향에서의 순수한 상대 시프트는 이러한 유닛 셀의 기하학적 대칭을 변경하지 않을 것이다. 하지만, 기하학적 배치구성이 적절하면, 두 개의 방향에서의 또는 유닛 셀 중 상이한 조합의 부분들 사이의 오버레이는 대칭을 바꿀 수 있고, 또한 더 후술되는 바와 같이 결정될 수 있다.Referring now to FIG. 10B, an example of an error in the overlay is shown for the unit cell shown in FIG. 10A. In this case, the first structure 1000 is shifted in the X-direction with respect to the second structure 1005. In particular, the axis 1010 centered on the line of the first structure 1000 has been shifted right to the axis 1045 in FIG. 10B. Thus, the overlay 1040 has an X-direction error; That is, there is an overlay error in the X direction. Of course, the second structure 1005 may be shifted relative to the first structure 1000, or both may be shifted relative to each other. In any case, the result is an X-direction overlay error. However, as can be understood from this unit cell arrangement, a pure relative shift in the Y-direction between the first structure 1000 and the second structure 1005 will not change the geometric symmetry of this unit cell. However, if the geometric arrangement is appropriate, the overlay in two directions or between portions of different combinations of unit cells can change the symmetry and can also be determined as described further below.

도 10a 에 있고 오버레이(1040)의 오차에 의해 표현되는, 공칭 물리적 구성 으로부터의 유닛 셀의 물리적 구성의 변경 결과로서 나타나는 결과는, 유닛 셀이 기하학적으로 비대칭이 되었다는 것이다. 이것은 상이한 길이의 화살표(1050 및 1055)로 나타날 수 있는데, 이들은 제 2 구조체(1005)의 타원 형상이 제 1 구조체(1000)의 선들에 상대적으로 균일하지 않게 위치된다는 것을 보여준다. 대칭은 퓨필 이미지(1030)의 대칭점 또는 대칭축, 즉 이러한 경우에 축(1032)에 대해서 점검되는데, 이러한 축은 이제 축(1034)으로 표시된다.The result of the change in the physical configuration of the unit cell from the nominal physical configuration, represented by the error of the overlay 1040 in FIG. 10A, is that the unit cell has become geometrically asymmetric. This may be indicated by arrows 1050 and 1055 of different lengths, which show that the elliptical shape of the second structure 1005 is positioned relatively non-uniformly to the lines of the first structure 1000. The symmetry is checked with respect to the point of symmetry or axis of symmetry of the pupil image 1030, ie in this case axis 1032, which axis is now denoted by axis 1034.

도 10b 의 유닛 셀의 물리적 인스턴스는, 예를 들어 도 7 의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 재지향된 방사선의 퓨필 이미지는, 예를 들어 검출기(190)에 의해 기록될 수 있다. 이러한 퓨필 이미지의 일 예가 퓨필 이미지(1060)로서 도시된다. 퓨필 이미지(1060)는 실질적으로 세기의 이미지이다. 퓨필 이미지(1060)가 다이아몬드 형상을 가지지만, 이러한 형상일 필요가 없다; 이것은 원형 형상 또는 임의의 다른 형상일 수 있다. 더욱이, 퓨필 이미지(1060)는 퓨필 이미지(1030)와 실질적으로 동일한 축 또는 좌표 위치를 가진다. 즉, 이러한 실시예에서, 도 10a 의 유닛 셀의 대칭축(1010) 및 도 10b 의 유닛 셀의 동일한 축은 퓨필 이미지(1030, 1060)의 대칭축(1032)과 정렬한다.The physical instance of the unit cell of FIG. 10B can be illuminated with radiation, for example using the metrology device of FIG. 7. The pupil image of the redirected radiation can be recorded, for example, by the detector 190. An example of such a pupil image is shown as a pupil image 1060. The pupil image 1060 is an image of substantially intensity. Although the pupil image 1060 has a diamond shape, it need not be this shape; It can be a circular shape or any other shape. Moreover, the pupil image 1060 has substantially the same axis or coordinate position as the pupil image 1030. That is, in this embodiment, the axis of symmetry 1010 of the unit cell of FIG. 10A and the same axis of the unit cell of FIG. 10B are aligned with the axis of symmetry 1032 of the pupil images 1030 and 1060.

제 1 및 제 2 구조체(1000, 1005)의 기하학적으로 대칭인 유닛 셀에 대응하는퓨필 이미지(1060)와 관하여, 세기 분포는 퓨필 이미지 내에서 거의 본질적으로 대칭인 것으로 보인다. 그러나, 퓨필 이미지 내에는 비대칭 세기 분포 부분이 존재한다. 이러한 비대칭 세기 분포 부분은 유닛 셀 내의 비대칭에 기인한다. 더욱이, 비대칭 세기 분포는 퓨필 이미지 내의 대칭적 세기 분포 부분 보다 크기가 훨씬 작다.With respect to the pupil image 1060 corresponding to the geometrically symmetric unit cells of the first and second structures 1000 and 1005, the intensity distribution appears to be almost essentially symmetric within the pupil image. However, an asymmetric intensity distribution part exists in the pupil image. This part of the asymmetric intensity distribution is due to the asymmetry in the unit cell. Moreover, the asymmetric intensity distribution is much smaller in size than the symmetric intensity distribution portion in the pupil image.

그러므로, 일 실시예에서, 이러한 비대칭 세기 분포 부분을 더 효과적으로 격리시키기 위하여 대칭적 세기 분포 부분이 퓨필 이미지(1060)로부터 제거될 수 있고, 그 결과 유도된 퓨필 이미지(1065)가 얻어진다. 유도된 퓨필 이미지(1035)를 획득하는 것과 유사하게, 전술된 바와 같이, 특정 퓨필 이미지 픽셀(예를 들어, 픽셀)은, 해당 특정 퓨필 이미지 픽셀에서의 세기로부터 대칭적으로 위치된 퓨필 이미지 픽셀의 세기를 감산함으로써 제거된 대칭적 세기 분포 부분을 가질 수 있으며, 그 반대의 경우도 마찬가지이다. 그러므로, 예를 들어 퓨필 이미지(1060)를 고려하면, 대칭 세기 분포 부분은, 예를 들어 표시된 해당 특정 픽셀에서의 세기(Ii)로부터 대칭적으로 위치된, 즉 축(1032)에 대해서 대칭으로 위치된 픽셀로부터의 세기(Ii')를 감산하여 Si를 제공함으로써 제거될 수 있다. 이것이 퓨필 이미지의 복수 개의 픽셀, 예를 들어 퓨필 이미지 내의 모든 픽셀에 대해서 반복될 수 있다. 도 10a 및 도 10b 에서, Si의 온전히 유도된 퓨필 이미지가 예를 들어 도시된다. 이해될 수 있는 것처럼, 도 10a 또는 도 10b 의 유도된 퓨필 이미지의 절반은 그 나머지 절반과 같다. 그러므로, 일 실시예에서, 퓨필 이미지 중 오직 절반으로부터 얻어진 값은 본 명세서에서 논의되는 추가적인 처리를 위하여 사용될 수 있고, 추가적인 처리에서 사용되는 이렇게 유도된 이미지 퓨필은 본 명세서에서 퓨필에 대한 Si 값의 오직 절반일 수 있다.Therefore, in one embodiment, the symmetrical intensity distribution portion may be removed from the pupil image 1060 in order to more effectively isolate this asymmetrical intensity distribution portion, resulting in a derived pupil image 1065. Similar to obtaining the derived pupil image 1035, as described above, a particular pupil image pixel (e.g., a pixel) is of a pupil image pixel positioned symmetrically from the intensity at that particular pupil image pixel. It is possible to have the symmetrical intensity distribution part removed by subtracting the intensity, and vice versa. Therefore, for example, considering the pupil image 1060, the symmetric intensity distribution part is located symmetrically from the intensity (Ii) at the specific pixel displayed, that is, symmetrically about the axis 1032. It can be removed by subtracting the intensity (Ii') from the pixel to provide Si. This may be repeated for a plurality of pixels of the pupil image, for example all pixels in the pupil image. In FIGS. 10A and 10B, a fully derived pupil image of Si is shown, for example. As can be appreciated, half of the derived pupil image of Fig. 10A or 10B is equal to the other half. Therefore, in one embodiment, the value obtained from only half of the pupil image can be used for the further processing discussed herein, and the image pupil thus derived used in the further processing is in this specification only the Si value for the pupil. It can be half.

유도된 퓨필 이미지(1065)에서 관찰되는 것처럼, 비대칭 유닛 셀의 물리적 인스턴스를 사용하여 측정된 세기 분포는 대칭적이지 않다. 지역(1075 및 1080)에서 관찰되는 것처럼, 대칭적 세기 분포 부분이 제거되면 관찰가능한 비대칭 세기 분포 부분이 존재한다. 위에서 언급된 바와 같이, 온전히 유도된 퓨필 이미지(1065)가 도시되고, 따라서 비대칭 세기 분포 부분은 이분면 양자 모두에 표시된다(이들이 각각의 이분면 내에서 크기 및 분포에 관해서 동일하더라도).As observed in the derived pupil image 1065, the intensity distribution measured using a physical instance of an asymmetric unit cell is not symmetric. As observed in regions 1075 and 1080, there is an observable asymmetric intensity distribution portion if the symmetric intensity distribution portion is removed. As mentioned above, a fully derived pupil image 1065 is shown, and thus portions of the asymmetric intensity distribution are displayed in both two quadrants (even if they are the same in terms of size and distribution within each two quadrant).

따라서, 기하학적 도메인에서의 비대칭은 퓨필에서의 비대칭에 대응한다. 그러므로, 일 실시예에서, 유닛 셀의 자신의 물리적 인스턴스에서 내재하는 기하학적 대칭을 보유하거나 처리할 수 있어서, 유닛 셀의 물리적 인스턴스의 기하학적 대칭이 변하게 하는(예를 들어, 비대칭을 야기하거나, 추가적인 대칭을 야기하거나, 비대칭 유닛 셀이 대칭적이 되도록), 주기적인 주기 구성 변경에 대응하는 파라미터를 결정하기 위하여 사용되는 방법이 제공된다. 특히, 일 실시예에서, 계측 장치에 의해 측정된 바와 같은 퓨필 내의 오버레이-유도 비대칭(또는 대칭성 부족)이 오버레이를 결정하기 위하여 활용될 수 있다. 즉, 유닛 셀의 물리적 인스턴스 내의 그리고 따라서 타겟 내의 오버레이를 측정하기 위하여 퓨필 비대칭이 사용된다.Thus, the asymmetry in the geometric domain corresponds to the asymmetry in the pupil. Therefore, in one embodiment, it is possible to retain or handle the geometric symmetry inherent in its own physical instance of the unit cell, causing the geometric symmetry of the physical instance of the unit cell to change (e.g., causing an asymmetry or A method is provided for determining a parameter corresponding to a periodic periodic configuration change, causing a symmetric unit cell to be symmetric. In particular, in one embodiment, overlay-induced asymmetry (or lack of symmetry) within the pupil as measured by the metrology device may be utilized to determine the overlay. That is, pupil asymmetry is used to measure the overlay within the physical instance of the unit cell and thus within the target.

유닛 셀 내에 기하학적 비대칭을 야기하는 물리적 구성 변화에 대응하는 파라미터를 어떻게 결정하는 지를 고려하기 위하여, 퓨필 이미지 내의 픽셀의 세기가 해당 픽셀에 영향을 주는 타겟의 물리적 특성에 대해서 고려될 수 있다. 이를 수행하기 위해서 오버레이 예가 고려될 것이지만, 기법 및 원리는 유닛 셀 내에 기하학적 비대칭(예를 들어, 비대칭 측벽 각도, 비대칭 하단 벽 틸트, 콘택 홀 내의 타원율(ellipticity) 등)을 야기하는 물리적 구성 변화에 대응하는 다른 파라미터에도 확장될 수 있다.In order to consider how to determine a parameter corresponding to a change in a physical configuration that causes geometric asymmetry in a unit cell, the intensity of a pixel in a pupil image may be considered for a physical characteristic of a target that affects the pixel. To do this, an overlay example will be considered, but the techniques and principles respond to changes in the physical composition that cause geometric asymmetry within the unit cell (e.g., asymmetric sidewall angle, asymmetric bottom wall tilt, ellipticity in the contact hole, etc.). It can be extended to other parameters as well.

다시 도 10a 및 도 10b 의 유닛 셀을 참조하면, 퓨필 이미지(1060) 내의 픽셀의 세기

Figure 112018094715975-pct00007
,
Figure 112018094715975-pct00008
는 유닛 셀의 상이한 물리적 특성에 기인하는 세기 성분들의 조합으로서 해석적으로 평가될 수 있다. 특히, 대칭적 유닛 셀로부터 비대칭 유닛 셀이 되는 물리적 구성 변화가, 어떠한 방식으로 세기 분포가 특히 퓨필 이미지 내에서 변하는지를 결정하도록 평가될 수 있다.Referring back to the unit cell of FIGS. 10A and 10B, the intensity of the pixel in the pupil image 1060
Figure 112018094715975-pct00007
,
Figure 112018094715975-pct00008
Can be evaluated analytically as a combination of intensity components due to different physical properties of the unit cell. In particular, a change in the physical configuration from a symmetric unit cell to an asymmetric unit cell can be evaluated to determine how the intensity distribution changes, especially within the pupil image.

그러므로, 이러한 원리를 나타내기 위한 매우 간단한 예에서, 유닛 셀 프로파일의 물리적 구성에 있는 여러 변화가 평가될 수 있다(물론 더 많거나 그 외의 상이한 물리적 구성 변화가 발생할 수 있음). 고려될 물리적 구성 변화 중 하나는 Z 방향에서의 구조체(1000)의 높이 변화이며, 이것은

Figure 112020053512248-pct00009
라고 지정된다. 하지만, 중요하게도, 이러한 높이 변화는 유닛 셀의 물리적 인스턴스에 걸쳐서는 개괄적으로 균일할 것이다. 즉,
Figure 112020053512248-pct00010
는 대칭축 또는 대칭점의 일측에서 대칭축 또는 대칭점의 다른 측에서와 동일하게 변화된 유닛 셀의 물리적 구성을 초래할 것이다. 이와 유사하게, 다른 물리적 구성 변화, 예컨대 CD, 측벽 각도 등의 변화도 유닛 셀의 물리적 인스턴스에 걸쳐서 개괄적으로 균일할 것이고, 따라서 대칭점의 일측에서 대칭축 또는 대칭점의 다른 측에서와 동일하게 변화된, 유닛 셀의 물리적 구성을 초래할 것이다. 그러므로, 편의상,
Figure 112020053512248-pct00011
만이 고려될 것이지만, 이것은 유닛 셀에 걸쳐서 균일한 다수의 다른 물리적 구성 변화들을 대표한다.Therefore, in a very simple example to illustrate this principle, several changes in the physical configuration of the unit cell profile can be evaluated (of course more or other different physical configuration changes may occur). One of the physical configuration changes to be considered is the height change of the structure 1000 in the Z direction, which is
Figure 112020053512248-pct00009
Is designated as However, importantly, this change in height will be generally uniform across the physical instances of the unit cell. In other words,
Figure 112020053512248-pct00010
Will result in the physical configuration of the unit cell being changed the same as on the axis of symmetry or on the other side of the symmetry axis or on the other side of the symmetry axis. Similarly, changes in other physical configurations, such as CD, sidewall angle, etc., will be generally uniform across the physical instance of the unit cell, thus changing the same as the axis of symmetry on one side of the point of symmetry or the other side of the point of symmetry. Will result in the physical composition of. Therefore, for convenience,
Figure 112020053512248-pct00011
While only will be considered, this represents a number of different physical configuration variations that are uniform across the unit cell.

관심 유닛 셀의 물리적 구성 변화 중 다른 것은 구조체(1000)와 구조체(1005) 사이에서의 상대적인 시프트, 즉 오버레이(1040)의 변화이다. 이러한 오버레이 시프트는

Figure 112018094715975-pct00012
라고 지칭될 것이다. 물론, 오버레이는 다른 방향 또는 추가적 방향에서 고려될 수 있다. 중요하게도,
Figure 112018094715975-pct00013
는 대칭축 또는 대칭점의 일측에서 대칭축 또는 대칭점의 다른 측과 다른 유닛 셀의 물리적 구성을 초래할 것이다; 대칭 픽셀들의 각각의 쌍은 오버레이에 대한 정보를 가진다. 중요하게도, 거의 모든 타겟 프로파일 파라미터(CD, 높이 등)에 변화가 생기면 퓨필 내에 대칭적 변화를 유도하는 반면에(따라서 대칭적 파라미터라고 간주될 수 있음), 오버레이에 변화가 생기면 측정된 퓨필에 비대칭 변화가 초래된다. 따라서, 오버레이 변화는 비대칭 퓨필 응답을 제공한다. 더 나아가, 전부는 아니더라도 거의 모든 다른 유닛 셀 프로파일 파라미터들은 유닛 셀 또는 퓨필 응답에 비대칭이 나타나게 하지 않는다. 그러나, 이들은 측정된 오버레이 값에 대해 영향을 줄 수 있다. 후술되는 바와 같이, 다른 유닛 셀 프로파일 파라미터는 일차 차수에게는 아무런 영향도 주지 않을 수 있다. 일 실시예에서, 이차 이상의 차수에게는, 다른 유닛 셀 프로파일 파라미터가 오버레이 값을 결정하는 데에 영향을 준다. 그러므로, 더 상세히 후술되는 바와 같이, 퓨필 비대칭을 측정함으로써 오버레이가 결정될 수 있다.Another of the changes in the physical configuration of the unit cell of interest is the relative shift between the structure 1000 and the structure 1005, that is, the change in the overlay 1040. These overlay shifts
Figure 112018094715975-pct00012
Will be referred to as. Of course, overlays can be considered in other or additional directions. Importantly,
Figure 112018094715975-pct00013
Will result in the physical configuration of the unit cell different from the axis of symmetry or the other side of the point of symmetry on one side of the axis of symmetry or point of symmetry; Each pair of symmetric pixels has information about the overlay. Importantly, changes in almost all of the target profile parameters (CD, height, etc.) induce a symmetrical change within the pupil (and therefore can be considered a symmetrical parameter), whereas changes in the overlay will result in asymmetrical changes to the measured pupil. Change is brought about. Thus, the overlay change provides an asymmetric pupil response. Furthermore, almost all, if not all, of the other unit cell profile parameters do not cause asymmetry to appear in the unit cell or pupil response. However, they can affect the measured overlay value. As will be described later, other unit cell profile parameters may have no effect on the first order. In one embodiment, for orders greater than or equal to the second order, other unit cell profile parameters influence determining the overlay value. Therefore, as described in more detail below, the overlay can be determined by measuring the pupil asymmetry.

구체적으로 설명하면, 오버레이가 측정된 퓨필 비대칭으로부터 어떻게 결정될 수 있는지를 평가하기 위하여, 퓨필 이미지(1060) 내의 픽셀 i의 세기

Figure 112018094715975-pct00014
는 다음과 같이 규정될 수 있다:Specifically, in order to evaluate how the overlay can be determined from the measured pupil asymmetry, the intensity of the pixel i in the pupil image 1060
Figure 112018094715975-pct00014
Can be defined as:

Figure 112018094715975-pct00015
Figure 112018094715975-pct00015

여기에서

Figure 112018094715975-pct00016
는 조명 방사선에 기인하는 기저 세기이고, a, e, f 및 g는 계수들이다. 그러므로, 이와 유사하게, 퓨필 이미지(1060)의 상보적 대칭 픽셀의 세기
Figure 112018094715975-pct00017
는 다음과 같이 규정될 수 있다:From here
Figure 112018094715975-pct00016
Is the baseline intensity due to the illumination radiation, and a, e, f and g are the coefficients. Therefore, similarly, the intensity of the complementary symmetric pixels of the pupil image 1060
Figure 112018094715975-pct00017
Can be defined as:

Figure 112018094715975-pct00018
Figure 112018094715975-pct00018

여기에서 계수 a', b', c', d', e' 및 f'은 상보적 대칭 픽셀의 세기

Figure 112018094715975-pct00019
에 특유하며 퓨필 이미지(1060) 내의 픽셀의 세기
Figure 112018094715975-pct00020
에 대한 계수 a, b, c, d, e 및 f에 비견된다.Where the coefficients a', b', c', d', e'and f'are the intensity of complementary symmetric pixels
Figure 112018094715975-pct00019
And the intensity of the pixels in the pupil image 1060
Figure 112018094715975-pct00020
It is compared to the coefficients a, b, c, d, e and f for.

그러면, 퓨필 이미지(1060) 내의 대칭 픽셀들 사이의 세기의 차분

Figure 112018094715975-pct00021
가 다음과 같이 평가될 수 있다:Then, the difference in intensity between symmetric pixels in the pupil image 1060
Figure 112018094715975-pct00021
Can be evaluated as follows:

Figure 112018094715975-pct00022
Figure 112018094715975-pct00022

예를 들어 대칭성 때문에, 대칭적 파라미터만을 보유할 수 있는 모든 항, 예컨대

Figure 112020053512248-pct00023
는 수학식 3 에서 볼 수 있듯이 없어진다는 것이 발견되었다. 더 나아가, 예를 들어 대칭성 때문에, 오버레이의 짝수 거듭제곱을 가지는 항들도 대칭적으로 위치된 픽셀들에 대해서 동일하다는 것이 발견되었고, 따라서
Figure 112020053512248-pct00024
과 같은 이러한 항들도 없어진다. 그러면, 대칭적 파라미터를 가지는 오버레이의 조합을 포함하는 항과 홀수 거듭제곱(예를 들어, 1, 3, 5, 7 거듭제곱 등)으로 오버레이만을 가지는 항들만 남는다.For example, because of symmetry, all terms that can only hold symmetric parameters, e.g.
Figure 112020053512248-pct00023
Was found to disappear as can be seen in Equation 3. Furthermore, it has been found that terms with even powers of the overlay are the same for symmetrically positioned pixels, for example because of symmetry, and thus
Figure 112020053512248-pct00024
These terms such as Then, only terms including a combination of overlays having symmetric parameters and terms having only overlays with odd powers (eg, powers of 1, 3, 5, 7, etc.) remain.

위의 수학식 3 에서, 세기의 차분

Figure 112018094715975-pct00025
는 주로
Figure 112018094715975-pct00026
에 의존한다는 것이 발견되었다. 즉, 세기의 차분
Figure 112018094715975-pct00027
는 대부분 오버레이에 선형적으로 의존하고, 또는 더 중요하게도, 오버레이는 대부분 세기, 구체적으로는 세기의 차분
Figure 112018094715975-pct00028
에 선형으로 의존한다. 따라서, 픽셀의 세기들을 조합하면 적절한 변환 인자와 선형 조합될 경우 오버레이의 양호한 추정값을 제공할 수 있다.In Equation 3 above, the intensity difference
Figure 112018094715975-pct00025
Is mainly
Figure 112018094715975-pct00026
Was found to depend on. That is, the difference in the century
Figure 112018094715975-pct00027
Is mostly linearly dependent on the overlay, or more importantly, the overlay mostly depends on the intensity, specifically the difference in intensity.
Figure 112018094715975-pct00028
Linearly depends on Thus, combining the intensities of the pixels can provide a good estimate of the overlay when linearly combined with an appropriate transform factor.

그러므로, 일 실시예에서, 오버레이가 적절하게 가중된(가중치 자체가 세기로부터 오버레이로 가는 변환 인자로서의 역할을 하거나 세기-오버레이 변환 인자와 결합될 수 있음) 픽셀의 세기들의 조합으로부터 결정될 수 있다는 것이 발견되었다. 일 실시예에서, 오버레이 신호는 다음과 같이 기술될 수 있다:Therefore, in one embodiment, it has been found that the overlay can be determined from a combination of intensities of a properly weighted pixel (the weight itself serves as a transform factor from intensity to overlay or may be combined with an intensity-overlay transform factor). Became. In one embodiment, the overlay signal may be described as follows:

Figure 112018094715975-pct00029
Figure 112018094715975-pct00029

여기에서, 오버레이 신호 M은 측정된 퓨필에서의 신호 성분들 Si의 가중된 조합이고,

Figure 112020053512248-pct00030
는 신호 성분 Si의 각각에 대한 각각의 가중치이다(가중치는 신호 성분과 오버레이 사이의 변환 인자로서의 역할을 한다; 위에서 언급된 바와 같이, 그 대신에, 변환 인자는 신호 성분을 오버레이로 변환시키는 작용을 하지 않는 가중치와 조합되어 사용될 수 있음). 일 실시예에서, 가중치
Figure 112020053512248-pct00031
는 그 크기가 오버레이에 관련된 벡터이다. 위에서 언급된 바와 같이, 신호 성분 Si는 측정된 퓨필의 절반에 대해서 결정될 수 있다. 일 실시예에서, 만일 신호 성분 Si가 대칭 픽셀(N)의 모든 쌍(N/2)에 대해서 실질적으로 동일한 크기를 가지고 있으면, 신호 성분 Si는 다음 수학식에 따라 평균화되고 신호 성분 Si 전부로부터 오버레이까지의 변환 인자 C와 결합되어 총 오버레이를 제공한다:
Figure 112020053512248-pct00032
. 그러므로, 일 실시예에서, 가중치는 두 가지 역할을 가질 수 있다 - 하나는 픽셀들의 쌍마다 그 오버레이의 측정에 대한 트러스트(trust)로서의 역할이고, 다른 역할은 신호 성분의 광학 특성(예를 들어, 세기 레벨, 예를 들어 그레이 레벨)의 값을 오버레이 값(예를 들어 나노미터 단위로) 변환하는 것이다. 위에서 논의된 바와 같이, 제 2 역할은 변환 인자에 맡겨질 수 있다.Here, the overlay signal M is a weighted combination of signal components Si in the measured pupil,
Figure 112020053512248-pct00030
Is the respective weight for each of the signal components Si (the weight serves as a conversion factor between the signal component and the overlay; as mentioned above, instead, the conversion factor serves to convert the signal component into an overlay. Can be used in combination with weights that do not). In one embodiment, the weight
Figure 112020053512248-pct00031
Is a vector whose size is relative to the overlay. As mentioned above, the signal component Si can be determined for half of the measured pupil. In one embodiment, if the signal component Si has substantially the same size for all pairs (N/2) of symmetric pixels (N), the signal component Si is averaged according to the following equation and is overlaid from all of the signal components Si. Combined with a conversion factor of C to give the total overlay:
Figure 112020053512248-pct00032
. Therefore, in one embodiment, the weight can have two roles-one as a trust for the measurement of its overlay per pair of pixels, and the other role is the optical properties of the signal component (e.g., It converts the value of the intensity level (eg, gray level) to the overlay value (eg, in nanometers). As discussed above, the second role can be left to the conversion factor.

하지만, 예를 들어 신호 성분 Si가 대칭 픽셀의 모든 쌍에 대해서 실질적으로 동일한 크기를 가지지 않는 경우, 측정된 퓨필 내의 모든 픽셀들을 동일하게 가중하면 낮은 신호-대-잡음 비(열악한 정밀도)가 얻어질 수 있다. 그러므로, 오버레이에 민감한 그러한 픽셀들은 오버레이의 계산에 더 많이 기여하도록 가중하는 것이 바람직하다. 그러므로, 일 실시예에서, 오버레이에 민감한 픽셀들은 오버레이에 낮은 감도를 가지는 그러한 픽셀(실질적으로 인액티브 픽셀)과 다른(예를 들어, 더 높은) 가중치를 가진다. 위에서 언급된 바와 같이, 유도된 퓨필(1065)의 지역(1075 및 1080) 내의 픽셀은 오버레이에 대하여 상대적으로 더 높은 감도를 가지는 반면에, 지역(1075 및 1080) 내의 픽셀에 상대적으로 낮거나 제로인 세기를 가지는, 유도된 퓨필(1065) 내의 나머지 픽셀은 오버레이에 대해 낮은 감도를 가진다(따라서 오버레이 결정에 적게 기여하도록 가중되어야 함).However, for example, if the signal component Si does not have substantially the same size for all pairs of symmetric pixels, a low signal-to-noise ratio (poor precision) will be obtained if all pixels in the measured pupil are weighted equally. I can. Therefore, it is desirable to weight those pixels that are sensitive to the overlay to contribute more to the calculation of the overlay. Therefore, in one embodiment, overlay-sensitive pixels have a different (eg, higher) weight than those pixels that have low sensitivity to the overlay (substantially inactive pixels). As mentioned above, the pixels within regions 1075 and 1080 of the derived pupil 1065 have a relatively higher sensitivity to the overlay, while intensities that are relatively low or zero for pixels within regions 1075 and 1080. The remaining pixels in the derived pupil 1065, having a, have low sensitivity to the overlay (and thus should be weighted to contribute less to the overlay decision).

일 실시예에서, 가중치는 수학식 3 의

Figure 112020053512248-pct00033
항에 대해서 효과적으로 결정된다. 일 실시예에서, 가중치는
Figure 112020053512248-pct00034
항 및
Figure 112020053512248-pct00035
(및 통상적으로 다른 파라미터, 예컨대 CD, 측벽 각도 등에 대한 다른 비견한 항)에 대해서 결정되도록 확장될 수 있다. 그러나, 이러한 계산은 수학식 3 의
Figure 112020053512248-pct00036
항에 대해서만 효과적으로 가중치를 결정하는 것에 비하여 더 복잡할 수 있다. 더욱이, 비선형 프로세스(대칭적 파라미터에 대한)에 대한 견실성과 오버레이를 결정하는 정밀도(즉, 결정된 값이 동일한 실제 오버레이의 각각의 결정에 대해서 얼마나 가까운지에 대한 정밀도) 사이에는 트레이드오프가 존재한다. 그러므로, 이러한 계산을 사용하여 견실성을 향상시키려면 정밀도가 희생될 수 있다. 따라서, 정밀도를 향상시키고(예를 들어, 선형 항의 영향을 최대화하고 비선형 항을 억제함), 견실성을 향상시키기 위하여(예를 들어, 비선형 항을 최대화함), 또는 이들 사이에 균형을 찾기 위하여 최적화가 수행될 수 있다. 하지만, 어떠한 경우에서도, 연관된 가중치와 선형으로 조합된 세기의 조합을 사용하면 오버레이를 빨리 결정할 수 있는데, 그 이유는 단순히 퓨필 획득 및 수학식 4 의 간단한 계산만이 필요하기 때문이다.In one embodiment, the weight of Equation 3
Figure 112020053512248-pct00033
Is effectively determined for terms. In one embodiment, the weight is
Figure 112020053512248-pct00034
Term and
Figure 112020053512248-pct00035
(And typically other comparable terms for other parameters such as CD, sidewall angle, etc.) can be extended to determine. However, this calculation is
Figure 112020053512248-pct00036
It can be more complex than effectively determining the weights for terms only. Moreover, there is a tradeoff between the robustness for a nonlinear process (for symmetrical parameters) and the precision of determining the overlay (i.e., how close the determined value is for each decision of the same actual overlay). Therefore, precision can be sacrificed to improve robustness using these calculations. Thus, to improve precision (e.g. to maximize the effect of linear terms and suppress nonlinear terms), to improve robustness (e.g. to maximize nonlinear terms), or to find a balance between them. Optimization can be performed. However, in any case, if the combination of the associated weight and the linearly combined intensity is used, the overlay can be determined quickly, because only pupil acquisition and simple calculation of Equation 4 are required.

일 실시예에서, 더 높은 차수 항들이 커지는 경우,

Figure 112018094715975-pct00037
및/또는 다른 더 높은 차수 항을 가지는 수학식 3 을 풀도록 비선형 솔루션 기법이 채택될 수 있다. 이해될 수 있는 것처럼, 비선형 솔루션 기법은 단순히 측정된 퓨필 내의 각각의 신호 성분 Si를 각각의 신호 성분 Si에 대한 각각의 가중치
Figure 112018094715975-pct00038
로 승산하고 이들을 모두 합산하는 것보다 더 복잡할 수 있다. 더욱이, 비선형 프로세스에 대한 견실성과 오버레이를 결정하는 정밀도(즉, 결정된 값이 동일한 실제 오버레이의 각각의 결정에 대해서 얼마나 가까운지에 대한 정밀도) 사이에도 역시 트레이드오프가 존재한다. 그러므로, 이러한 계산을 사용하여 견실성을 향상시키려면 정밀도가 희생될 수 있다. 따라서, 정밀도를 향상시키고 및/또는 견실성을 향상시키기 위해서 최적화가 수행될 수 있다.In one embodiment, when higher order terms become larger,
Figure 112018094715975-pct00037
And/or other higher-order terms, a nonlinear solution technique may be employed to solve equation (3). As can be understood, the nonlinear solution technique simply adds each signal component Si in the measured pupil to each weight for each signal component Si.
Figure 112018094715975-pct00038
It can be more complicated than multiplying by and summing them all together. Moreover, there is also a tradeoff between the robustness for a nonlinear process and the precision that determines the overlay (ie, how close the determined value is for each decision of the same actual overlay). Therefore, precision can be sacrificed to improve robustness using these calculations. Accordingly, optimization can be performed to improve precision and/or improve robustness.

그러므로, 오버레이에 의해 초래된 유닛 셀의 기하학적 비대칭으로부터 비대칭 세기 분포를 구현하면, 오버레이의 오차가 이러한 비대칭 세기 분포를 강조하는 분석을 통해서 결정될 수 있다. 따라서, 오버레이와 연관된 타겟의 물리적 구성의 변화에 기인하여 초래되는 비대칭 세기 분포로부터 오버레이를 결정하기 위한 기법이 지금부터 논의될 것이다.Therefore, by implementing the asymmetric intensity distribution from the geometric asymmetry of the unit cell caused by the overlay, the error of the overlay can be determined through an analysis emphasizing this asymmetric intensity distribution. Accordingly, a technique for determining the overlay from the asymmetric intensity distribution resulting from a change in the physical configuration of the target associated with the overlay will now be discussed.

도 11 을 참조하면, 가중치를 결정하는 방법이 개략적으로 묘사된다. 가중치 결정을 하기 위하여, 도 9 에서 전술된 재구성 기법이 유리하게 사용될 것이다. 즉, 일 실시예에서, 오버레이 신호를 비대칭 유닛 셀의 물리적 인스턴스의 퓨필 이미지로부터 격리하기 위해서 CD 재구성이 사용된다.Referring to Fig. 11, a method of determining weights is schematically depicted. In order to determine the weights, the reconstruction technique described above in FIG. 9 will be advantageously used. That is, in one embodiment, CD reconstruction is used to isolate the overlay signal from the pupil image of the physical instance of the asymmetric unit cell.

도 11 의 방법은 두 개의 프로세스를 수반한다. 제 1 프로세스(1100)는 CD 및/또는 타겟의 하나 이상의 다른 프로파일 파라미터에 대해 재구성 기법을 사용하여, 패터닝 프로세스의 일부로서 기판 상에 노광된 타겟의 공칭 프로파일(및 따라서 타겟 내의 유닛 셀의 하나 이상의 물리적 인스턴스의 공칭 프로파일)을 유도하는 것을 수반한다. 타겟의 공칭 프로파일이 있으면, 재구성 기법의 기본적인 엔진이 프로세스(1110)에서 사용되어 가중치를 유도한다. 그러면, 가중치는 도 12 와 관련하여 더 설명되는 바와 같이, 측정된 퓨필로부터 오버레이를 유도하기 위하여 사용될 수 있다.The method of FIG. 11 involves two processes. The first process 1100 uses a reconstruction technique for the CD and/or one or more other profile parameters of the target, the nominal profile of the target exposed on the substrate as part of the patterning process (and thus one or more of the unit cells in the target). It entails deriving the nominal profile of the physical instance). If there is a nominal profile of the target, the basic engine of the reconstruction technique is used in process 1110 to derive the weights. Then, the weight can be used to derive an overlay from the measured pupil, as will be described further with respect to FIG. 12.

그러므로, 프로세스(1100)에서, 타겟으로서 기판 상에 제공된 관심 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 기판의 측정치(1130)가 얻어진다. 일 실시예에서, 측정치는 에칭 후의 타겟에 관한 것이다. 일 실시예에서, 측정치는 현상 이후 에칭 이전의 타겟에 관한 것이다. 일 실시예에서, 타겟은 디바이스 구조체이다. 일 실시예에서, 측정은 도 7 의 계측 장치와 같은 계측 장치를 사용하여 수행되거나 수행되었을 수 있다. 예를 들어, 타겟은 도 10a 또는 도 10b 의 유닛 셀의 물리적 인스턴스, 예를 들어 도 10c 에 도시된 바와 같은 단일 인스턴스 또는 복수 개의 인접한 인스턴스를 포함할 수 있다. 일 실시예에서, 복수 개의 타겟의 측정치(따라서 복수 개의 유닛 셀의 물리적 인스턴스의 측정치)가 얻어진다. 일 실시예에서, 측정치는 기판에 걸쳐서 분포된 타겟에 대한 것이다. 일 실시예에서, 하나 이상의 타겟(유닛 셀의 하나 이상의 물리적 인스턴스를 각각 가짐)을 각각 가지는 복수 개의 기판이 측정된다. 그러므로, 일 실시예에서, 각각의 측정된 타겟에 대해서 방사선 분포(108)가 획득된다.Therefore, in process 1100, measurements 1130 of a substrate having one or more physical instances of a unit cell of interest provided on the substrate as a target are obtained. In one embodiment, the measurements relate to the target after etching. In one embodiment, the measurements relate to the target after development and before etching. In one embodiment, the target is a device structure. In one embodiment, the measurement may have been or may have been performed using a measurement device such as the measurement device of FIG. 7. For example, the target may comprise a physical instance of the unit cell of FIG. 10A or 10B, for example a single instance as shown in FIG. 10C or a plurality of adjacent instances. In one embodiment, measurements of a plurality of targets (and thus measurements of physical instances of a plurality of unit cells) are obtained. In one embodiment, the measurements are for targets distributed across the substrate. In one embodiment, a plurality of substrates are measured, each having one or more targets (each having one or more physical instances of a unit cell). Therefore, in one embodiment, a radiation distribution 108 is obtained for each measured target.

그러면, 1100 에서의 재구성 프로세스, 예컨대 도 9 와 관련하여 설명된 재구성 프로세스가, 도 9 의 프로파일(206)에 비견되는 유닛 셀의 물리적 인스턴스의 공칭 프로파일을 유도하기 위하여 사용된다. 재구성 프로세스는 유닛 셀의 물리적 인스턴스의 기대된 프로파일(1120)을 획득하여 재구성 프로세스를 시작하고 용이하게 만든다. 일 실시예에서, 유도된 공칭 프로파일은 하나 이상의 기판에 걸친 타겟들의 프로파일의 평균으로부터 얻어진다. 예를 들어, 각각의 타겟에 대한 방사선 분포(108)는 처리되어 타겟의 해당 인스턴스의 특정 프로파일을 유도하고, 그러면 타겟의 복수 개의 인스턴스의 프로파일이 서로 평균화되어 공칭 프로파일을 유도한다. 일 실시예에서, 공칭 프로파일은 적어도 타겟의 기하학적 프로파일을 포함한다. 일 실시예에서, 기하학적 프로파일은 3-D 프로파일이다. 일 실시예에서, 공칭 프로파일은 물리적 타겟을 구성하는 하나 이상의 층의 하나 이상의 재료 특성에 관련된 정보를 포함한다.The reconfiguration process at 1100, e.g., the reconfiguration process described in connection with FIG. 9, is then used to derive a nominal profile of the physical instance of the unit cell compared to the profile 206 of FIG. 9. The reconfiguration process initiates and facilitates the reconfiguration process by obtaining the expected profile 1120 of the physical instance of the unit cell. In one embodiment, the derived nominal profile is obtained from an average of the profiles of targets across one or more substrates. For example, the radiation distribution 108 for each target is processed to derive a specific profile of that instance of the target, and the profiles of a plurality of instances of the target are then averaged together to derive a nominal profile. In one embodiment, the nominal profile includes at least the geometrical profile of the target. In one embodiment, the geometrical profile is a 3-D profile. In one embodiment, the nominal profile includes information related to one or more material properties of one or more layers constituting a physical target.

그러므로, 일 실시예에서, 공칭 프로파일은, 기판에 걸쳐서 타겟의 다수의 인스턴스를 측정하거나 선택적으로는 두 개 이상의 기판에서 측정하여 획득된, 타겟(및 따라서 유닛 셀)의 프로파일의 다양한 파라미터의 값들에 대한 무게중심이라고 간주될 수 있다. 하지만, 일 실시예에서, 공칭 프로파일은 상이한 형태를 가질 수 있고 더 고유할 수 있다. 예를 들어, 공칭 프로파일은 타겟의 하나 이상의 특정 인스턴스에 대해서 규정될 수 있다(예를 들어, 다수의 기판으로부터의 동일한 타겟 위치(들)로부터 얻어진 값들을 사용함으로써). 다른 예로서, 공칭 프로파일은 특정 기판에 대하여 규정될 수 있다(예를 들어, 해당 기판으로부터의 값만을 사용함으로써). 일 실시예에서, 공칭 프로파일은 도 12 의 프로세스의 일부로서 특정 타겟 및/또는 기판에 대해서 튜닝될 수 있다. 예를 들어, 타겟 및/또는 기판은 도 12 의 프로세스의 일부로서 측정되고, 재구성 기법이 측정된 데이터와 함께 사용되어 해당 타겟 및/또는 기판에 대한 공칭 프로파일을 미세 튜닝할 수 있으며, 그러면 미세-튜닝된 공칭 프로파일이 가중치를 결정하기 위해서 본 명세서에서 공칭 프로파일로서 사용될 수 있고, 이러한 가중치는 이제 동일한 측정된 데이터와 함께 사용되어 하나 이상의 오버레이 값을 제공할 수 있다.Therefore, in one embodiment, the nominal profile is based on the values of various parameters of the profile of the target (and thus the unit cell), obtained by measuring multiple instances of the target across the substrate or, optionally, measuring on more than one substrate. It can be regarded as the center of gravity. However, in one embodiment, the nominal profile may have a different shape and may be more unique. For example, a nominal profile can be defined for one or more specific instances of a target (eg, by using values obtained from the same target location(s) from multiple substrates). As another example, a nominal profile can be defined for a particular substrate (eg, by using only values from that substrate). In one embodiment, the nominal profile may be tuned for a specific target and/or substrate as part of the process of FIG. 12. For example, a target and/or substrate is measured as part of the process of FIG. 12, and a reconstruction technique can be used with the measured data to fine-tune the nominal profile for that target and/or substrate, which can then be fine-tuned. A tuned nominal profile can be used herein as a nominal profile to determine the weights, and these weights can now be used with the same measured data to provide one or more overlay values.

그러면, 재구성 공칭 프로파일(1140)이 프로세스(1110)로 제공된다. 따라서, 일 실시예에서, 프로세스(1110)는 타겟의 유도된 공칭 프로파일, 예를 들어 측정된 데이터로부터 유도된, 디바이스의 유닛 셀의 기하학적 에칭후 프로파일을 사용한다. 일 실시예에서, 공칭 프로파일은, 측정된 유닛 셀에 따라서 파라미터화된 The reconstruction nominal profile 1140 is then provided to the process 1110. Thus, in one embodiment, the process 1110 uses the derived nominal profile of the target, e.g., the geometric post-etch profile of the device's unit cells, derived from measured data. In one embodiment, the nominal profile is parameterized according to the measured unit cell

모델(206)과 같은, 파라미터화된 모델의 형태일 수 있다. 따라서, 일 실시예에서, 프로세스(1110)는 유닛 셀의 유도된 프로파일 모델, 예를 들어 측정된 데이터로부터 유도된 디바이스의 유닛 셀의 물리적 인스턴스의 기하학적 에칭후 프로파일의 모델을 사용한다.It may be in the form of a parameterized model, such as model 206. Thus, in one embodiment, process 1110 uses a derived profile model of a unit cell, eg, a model of a geometrical post-etch profile of a physical instance of a unit cell of a device derived from measured data.

본 명세서에서 설명되는 재구성 기법의 기본적인 엔진이 유도된 프로파일 또는 유도된 프로파일 모델과 함께 프로세스(1110)에서 사용되어 가중치를 유도한다. 일 실시예에서, 유도된 프로파일 모델 또는 유도된 프로파일로부터 유도된 바 있는 유도된 프로파일 모델이 유닛 셀 내의 오버레이에 만감한 퓨필 픽셀을 결정하기 위하여 사용된다. 특히, 일 실시예에서, 퓨필 응답의 오버레이에 대한 감도는, 시뮬레이션(예를 들어, 맥스웰 솔버)을 사용하여 결정되어, 공칭 프로파일에 대한 오버레이의 유도된 변화에 대한 퓨필 응답의 변화를 결정한다.The basic engine of the reconstruction technique described herein is used in process 1110 with the derived profile or the derived profile model to derive the weights. In one embodiment, a derived profile model or a derived profile model that has been derived from a derived profile is used to determine the pupil pixels that are insensitive to the overlay in the unit cell. In particular, in one embodiment, the sensitivity of the pupil response to the overlay is determined using a simulation (eg, a Maxwell solver) to determine the change in the pupil response to the induced change of the overlay to the nominal profile.

이것은, 특정량(예를 들어, 1 nm)의 오버레이 변화가 모델에서 유도되도록, 유도된 프로파일 모델이 변하게 하고 유도된 프로파일 모델의 다른 모든 파라미터/변수는 변하지 않도록 함으로써 달성될 수 있다. 그러면 실질적으로, 대칭적 유닛 셀이 비대칭이 되거나 이미 비대칭인 유닛 셀이 대칭을 변경하게 한다(더 비대칭이 되게 하거나 비대칭 상황으로부터 대칭으로 바뀌게 함).This can be achieved by making the derived profile model change and all other parameters/variables of the derived profile model unchanged so that a certain amount (eg 1 nm) of the overlay change is derived in the model. In practice, this causes the symmetrical unit cell to become asymmetric or to cause the already asymmetric unit cell to change the symmetry (make it more asymmetric or change from an asymmetric situation to symmetric).

그러면, 계측 장치(예를 들어, 특정한 측정 빔 파장, 측정 빔 편광, 측정 빔 세기 등을 가지는 방사선에 대한 계측 장치)에서 기대될 퓨필이, 유도된 오버레이 변화를 가진 유도된 프로파일 모델에 기초하여 유도될 수 있다(예를 들어, 맥스웰 솔버, 라이브러리 검색 또는 다른 재구성 기법을 사용함). 유닛 셀의 물리적 인스턴스가 빔 스폿보다 작은 경우, 재구성은 빔 스폿이 유닛 셀의 물리적 인스턴스로 채워져 있는 것처럼 취급할 수 있다. 일 실시예에서, 유도된 퓨필은 시뮬레이션된 퓨필 이미지(1060) 및/또는 시뮬레이션된 퓨필 이미지에 기초하는 유도된 퓨필 이미지(1065)일 수 있다. Then, the pupil to be expected in the measurement device (e.g., measurement device for radiation having a specific measurement beam wavelength, measurement beam polarization, measurement beam intensity, etc.) is derived based on the derived profile model with the induced overlay change. Can be (e.g., using a Maxwell solver, library search, or other reconstruction technique). If the physical instance of the unit cell is smaller than the beam spot, the reconstruction can be treated as if the beam spot is filled with the physical instance of the unit cell. In one embodiment, the derived pupil may be a simulated pupil image 1060 and/or a derived pupil image 1065 based on the simulated pupil image.

그러면, 예를 들어 유도된 오버레이가 없는 유닛 셀에 대한 유도된 퓨필과의 비교에 의하여, 복수 개의 퓨필 픽셀에서의 세기의 오버레이 변화에 대한 감도를 결정하기 위해서, 유도된 퓨필이 사용될 수 있다(예를 들어, 유도된 오버레이가 없는 유닛 셀에 대한 유도된 퓨필은 시뮬레이션된 퓨필 이미지(1030) 및/또는 시뮬레이션된 퓨필 이미지에 기초하는 유도된 퓨필 이미지(1035)일 수 있음). 일 실시예에서, 이러한 감도가 가중치의 기초가 된다.Then, the derived pupil can be used to determine the sensitivity to an overlay change in intensity in a plurality of pupil pixels, for example, by comparison with the derived pupil for the unit cell without the induced overlay (e.g. For example, the derived pupil for a unit cell without a derived overlay may be a simulated pupil image 1030 and/or a derived pupil image 1035 based on the simulated pupil image). In one embodiment, this sensitivity is the basis of the weight.

일 실시예에서, 퓨필의 픽셀(따라서 픽셀 세기, 신호 성분 Si 등)이 벡터로서 표현될 수 있다. 일 실시예에서, 이제 모델링 중 생성된 야코비안 행렬로부터 가중치가 유도될 수 있다. 일 실시예에서, 가중치는 모델링 중 생성된 야코비안 행렬의 무어-펜로즈 의사 역행렬(Moore-Penrose pseudo inverse)로부터 유도될 수 있다. 그러므로, 가중치는 수학식 3 의

Figure 112018094715975-pct00039
항에 대해서 효과적으로 결정된다. 야코비안 행렬 또는 야코비안 행렬의 무어-펜로즈 의사 역행렬으로부터 유도되는 가중치는, 상대적으로 적은(예를 들어, ±3 nm 내 또는 ±4 nm 내 또는 ±5 nm 내) 오버레이 변동에 대해서 잘 적용되는 것으로 보인다.In one embodiment, pixels of the pupil (thus pixel intensity, signal component Si, etc.) may be represented as vectors. In one embodiment, weights can now be derived from the Jacobian matrix generated during modeling. In an embodiment, the weight may be derived from a Moore-Penrose pseudo inverse of a Jacobian matrix generated during modeling. Therefore, the weight of Equation 3
Figure 112018094715975-pct00039
Is effectively determined for terms. Weights derived from the Jacobian matrix or the Moore-Penrose pseudo-inverse of the Jacobian matrix are well applied for relatively small (e.g., within ±3 nm or within ±4 nm or within ±5 nm) overlay variations. see.

일 실시예에서, 가중치는

Figure 112020053512248-pct00040
항 및
Figure 112020053512248-pct00041
(및 통상적으로 다른 파라미터, 예컨대 CD, 측벽 각도 등에 대한 다른 비견한 항)에 대해서 결정되도록 확장될 수 있다. 이러한 경우에, 가중치는 야코비안 행렬에 추가하여, 모델링 중에 생성된 헤시안(Hessian) 행렬이거나 그로부터 유도될 수 있다. 헤시안은 오버레이에 대한 응답이 특정량의 다른 (대칭적) 파라미터(예컨대 CD)의 변화에 기인하여 어떻게 변하는지를 보여준다. 그러므로, 이러한 파라미터 모두에 대하여 헤시안 내에는 열이 존재한다. 일 실시예에서, (더) 견실해지기 위하여, 유닛 셀이 민감성을 가지는 열(파라미터)에 대해서 더 많이 직교하게 되도록 가중치가 변경될 수 있다. 더 많이 직교하기 위하여, 하나 이상의 감도 높은 열이 야코비안에 연쇄(concatenate)될 수 있고, 그러면 무어-펜로즈 의사 역행렬이 헤시안으로부터 나온 하나 이상의 열이 연쇄된 이러한 야코비안으로부터 계산될 수 있다. 이러한 계산으로부터 가중치가 나온다. 그러나, 이러한 계산은 더 복잡할 수 있고, 따라서 오버레이 값이 실제로, , 야코비안 행렬(의 무어-펜로즈 의사 역행렬)로부터 유도된 가중치가 양호한 결과를 나타내는 오버레이 변동 범위를 초과할 것으로 기대되는 상황에 대해서 적합할 수 있다.In one embodiment, the weight is
Figure 112020053512248-pct00040
Term and
Figure 112020053512248-pct00041
(And typically other comparable terms for other parameters such as CD, sidewall angle, etc.) can be extended to determine. In this case, the weight may be or may be derived from a Hessian matrix generated during modeling, in addition to the Jacobian matrix. Hessian shows how the response to the overlay changes due to a change in a certain amount of other (symmetric) parameters (eg CD). Therefore, there is heat in Hessian for all of these parameters. In one embodiment, in order to be (more) robust, the weight may be changed so that the unit cell is more orthogonal to the sensitive column (parameter). To be more orthogonal, one or more sensitive columns can be concatenated into Jacobians, and then the Moore-Penrose pseudo-inverse matrix can be computed from these Jacobians in which one or more columns from Hessian are concatenated. Weights come from these calculations. However, these calculations can be more complex, so for situations where the overlay values are actually expected to exceed the range of overlay fluctuations, where the weights derived from the Jacobian matrix (the Moore-Penrose pseudo-inverse matrix) are expected to exceed the range of overlay fluctuations that give good results. May be suitable.

일 실시예에서, 가중치는 수학식 3 의 다른 항에 대해서 결정되도록 확장될 수 있다. 그 경우에, 가중치는 야코비안 행렬에 추가하여, 모델링 중에 생성된 3차 도함수이거나 그것으로부터 유도될 수 있다.In one embodiment, the weight may be extended to be determined for other terms in Equation 3. In that case, the weights can be derived from or are cubic derivatives generated during modeling, in addition to the Jacobian matrix.

위에서 언급된 바와 같이, 공칭 프로파일은 타겟 또는 기판마다의 미세 튜닝된 공칭 프로파일 수 있다. 예를 들어, 특정 타겟 또는 기판이 도 12 의 프로세스의 일부로서 측정되는 경우, 해당 타겟 또는 기판에 대한 공칭 프로파일을 미세 튜닝하기 위하여 재구성 기법이 측정된 데이터와 함께 사용될 수 있다. 이제, 미세 튜닝에 따라서, 가중치는(재-)결정될 수 있고 및/또는 이루어지는 가중의 타입들(예를 들어, 야코비안 또는 야코비안 및 헤시안의 조합) 사이에서 선택이 이루어질 수 있다. 예를 들어, 미세 튜닝되지 않았던 공칭 프로파일에 기초한 가중치가

Figure 112018094715975-pct00042
의 효과를 억제하기 위해서 사전에 선택되었을 수 있지만, 미세 튜닝이 해당 타겟 및/또는 기판에 대해서
Figure 112018094715975-pct00043
를 식별하고 업데이트하면,
Figure 112018094715975-pct00044
의 효과는 억제될 필요가 없을 수도 있다. 따라서, 견실성보다 정밀도를 우선시하는 가중치가 선택될 수 있다.As mentioned above, the nominal profile can be a fine tuned nominal profile per target or substrate. For example, if a particular target or substrate is measured as part of the process of FIG. 12, a reconstruction technique may be used with the measured data to fine tune the nominal profile for that target or substrate. Now, depending on fine tuning, the weights can be (re-)determined and/or a choice can be made between the types of weights that are made (eg Jacobian or a combination of Jacobian and Hessian). For example, weights based on nominal profiles that were not fine tuned
Figure 112018094715975-pct00042
May have been pre-selected to suppress the effect of the target, but fine tuning is required for that target and/or substrate.
Figure 112018094715975-pct00043
Identify and update,
Figure 112018094715975-pct00044
May not need to be suppressed. Thus, a weight that prioritizes precision over robustness can be selected.

그러므로, 프로세스(1110)로부터, 가중치

Figure 112018094715975-pct00045
의 콜렉션(예를 들어, 벡터)이 출력될 수 있다. 가중치
Figure 112018094715975-pct00046
는 그 자체로 세기-오버레이의 변환 인자로서의 역할을 할 수 있고, 또는 가중치는 세기-오버레이의 변환인자와 조합될 수 있다(이러한 변환 인자는 동일한 모델링의 일부로서 유도될 수 있음). 퓨필 이미지(1065)로부터 이해될 수 있는 것처럼, 지역(1075 및 1080) 내의 픽셀은 지역(1075 및 1080) 밖의 픽셀들보다 오버레이에 대해 상대적으로 높은 감도를 가지고, 따라서 그들의 가중치는 지역(1075 및 1080) 밖의 픽셀(이러한 픽셀은 오버레이에 대해 상대적으로 낮은 감도를 가짐)의 가중치와 크게 다를 것이다(예를 들어, 더 높음). 그러므로, 가중치는 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟의 측정된 세기 값과 조합(예컨대, 수학식 4 에 따라서)되고, 오버레이 신호가 특정 타겟(예컨대 유닛 셀의 물리적 인스턴스를 가지는 디바이스 패턴)에 대해서 획득될 수 있다.Therefore, from process 1110, the weight
Figure 112018094715975-pct00045
A collection (for example, a vector) of can be output. weight
Figure 112018094715975-pct00046
May itself serve as a transform factor of the intensity-overlay, or the weight may be combined with the transform factor of the intensity-overlay (this transform factor can be derived as part of the same modeling). As can be understood from pupil image 1065, pixels within regions 1075 and 1080 have a relatively higher sensitivity to overlay than pixels outside regions 1075 and 1080, so their weights are based on regions 1075 and 1080. ) Will differ significantly from the weight of the pixels outside (such pixels have a relatively low sensitivity to the overlay) (e.g. higher). Therefore, the weight is combined with the measured intensity value of the target having one or more physical instances of the unit cell (e.g., according to Equation 4), and the overlay signal is applied to a specific target (e.g., a device pattern having a physical instance of the unit cell). Can be obtained for.

더 나아가, 하나 이상의 측정 파라미터가 결정되어 타겟의 측정된 세기 값을 획득할 때에 사용되기 위한 측정 전략을 형성할 수 있다. 하나 이상의 측정 파라미터는 픽셀의 오버레이 감도에 영향을 줄 수 있다. 예를 들어, 오버레이 감도는 상이한 측정 빔 파장들에 걸쳐서 변한다. 그러므로, 일 실시예에서, 하나 이상의 측정 파라미터(예컨대 파장, 편광, 선량, 타겟의 특정 하나의 조명의 검출기 센서에 의해 읽어낸 여러 광학 특성 판독치(이러한 판독치는 통상적으로 평균화되어 해당 타겟의 측정치에 대한 평균화된 광학 특성 값을 제공함))가 모델링 프로세스(1110)의 일부로서 변경될 수 있다. 예를 들어, 하나 이상의 측정 파라미터가 특정한 유도된 오버레이 변화에 대해서 조사되어, 예를 들어 가중치가 하나 이상의 파라미터의 하나의 값에 대한 것일 경우에 획득된 오버레이와 가중치가 하나 이상의 파라미터의 다른 값에 대한 것일 경우에 획득된 오버레이 사이의 오차 잔차를, 최소값으로 또는 특정 임계치 아래로 감소시킬 수 있다. 그러므로, 하나 이상의 측정 파라미터의 값은 이제 개선된 해당 정밀도에서 획득될 수 있다.Furthermore, one or more measurement parameters may be determined to form a measurement strategy for use in obtaining the measured intensity value of the target. One or more measurement parameters can affect the overlay sensitivity of the pixel. For example, the overlay sensitivity varies over different measurement beam wavelengths. Therefore, in one embodiment, several optical property readings read by the detector sensor of one or more measurement parameters (e.g. wavelength, polarization, dose, specific illumination of the target, these readings are typically averaged and compared to the measurements of the target). (Providing an averaged optical property value for)) may be modified as part of the modeling process 1110. For example, if one or more measurement parameters are investigated for a particular induced overlay change, for example, if the weight is for one value of one or more parameters, the acquired overlay and weights are for different values of one or more parameters. The error residuals between the overlays obtained in the case of one can be reduced to a minimum value or below a certain threshold. Therefore, the values of one or more measurement parameters can now be obtained with improved corresponding precision.

더 나아가, 프로세스 변동에 대한 견실성은 하나 이상의 측정 파라미터들의 상이한 값에 걸쳐서 달라진다. 특히, 예를 들어 프로세스 변동에 대한 견실성은 측정 빔 파장 및/또는 측정 편광의 상이한 값들에 걸쳐서 달라진다. 따라서, 일 실시예에서, 프로세스 변동에 대한 견실성이 부족해지는 데 대한 적어도 우세한 기여 요소를 가중 스킴이 해결하여야 한다. 그러므로, 개선된 정밀도에 대해서 하나 이상의 측정 파라미터의 값을 결정하는 것에 추가적으로 또는 대안적으로, 하나 이상의 측정 파라미터가 상이한 특정하게 유도된 오버레이 변화 값(및/또는 유도된 프로파일 모델의 하나 이상의 다른 파라미터의 특정하게 유도된 변화, 예컨대 CD, 측벽 각도 등에서의 변화)에 대해서 검사되어, 프로세스 변동에 대한 견실성을 향상시킨 가중치를 사용한 결과가 나오게 하는, 하나 이상의 측정 파라미터의 값을 획득할 수 있다. 예를 들어, 유도된 오버레이 변화의 상이한 양에 대하여, 하나 이상의 측정 파라미터의 다양한 값이 평가되어, 하나 이상의 측정 파라미터의 값과 연관된 가중치를 사용하는 결정된 오버레이의 최소 변동(또는 임계치 아래의 변동)이 생기게 하는 하나 이상의 측정 파라미터의 값을 결정할 수 있다. 물론, 정밀도와 향상된 견실성 사이에서 하나 이상의 측정 파라미터의 값을 선택하는 데에 균형이 사용될 수 있다. 예를 들어, 정밀도에 대해서 결정된 하나 이상의 측정 파라미터의 값(예를 들어, 정밀도를 측정하는 성능 메트릭에 적용된 가중치)과 향상된 견실성에 대해서 결정된 하나 이상의 측정 파라미터의 값(예를 들어, 견실성을 측정하는 성능 메트릭에 적용된 가중치) 사이의 가중치가 적용될 수 있고, 그러면 가장 크고 가장 높은 순위를 갖는 등의 조합이 선택될 수 있다. 물론, 하나 이상의 측정 파라미터의 복수 개의 값은 전체 측정 전략에 사실상 복수 개의 상이한 측정 전략이 존재하도록 결정될 수 있다. 복수 개의 값은 하나 이상의 성능 메트릭에 따라서 순위가 정해질 수 있다. 따라서, 선택적으로, 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟의 측정된 세기 값을 얻는 데에 사용하기 위한 어떤 측정 전략이 프로세스(1110)로부터 출력될 수 있다.Furthermore, the robustness to process variation varies across different values of one or more measurement parameters. In particular, for example the robustness to process variations varies across different values of the measurement beam wavelength and/or measurement polarization. Thus, in one embodiment, the weighting scheme must address at least the dominant contributing factor to the lack of robustness against process variation. Therefore, in addition to or alternatively to determining the value of one or more measurement parameters for improved precision, one or more measurement parameters are different specifically derived overlay change values (and/or of one or more other parameters of the derived profile model). Values of one or more measurement parameters can be obtained that are inspected for specific induced changes, such as changes in CD, sidewall angle, etc., resulting in results using weights that improve robustness against process variations. For example, for different amounts of induced overlay change, various values of one or more measurement parameters may be evaluated so that the minimum variation (or variation below a threshold) of the determined overlay using the weights associated with the values of the one or more measurement parameters. It is possible to determine the value of one or more measurement parameters that result. Of course, a balance can be used to select the value of one or more measurement parameters between precision and improved robustness. For example, the value of one or more measurement parameters determined for precision (e.g., the weight applied to a performance metric measuring precision) and the value of one or more measurement parameters determined for improved robustness (e.g., measuring robustness). The weight applied to the performance metric) may be applied, and then a combination such as the largest and highest ranking may be selected. Of course, a plurality of values of one or more measurement parameters may be determined such that there are in fact a plurality of different measurement strategies in the overall measurement strategy. A plurality of values may be ranked according to one or more performance metrics. Thus, optionally, some measurement strategy may be output from process 1110 for use in obtaining the measured intensity value of a target having one or more physical instances of a unit cell.

더 나아가, 하나 이상의 비-오버레이 파라미터, 예컨대 CD, 측벽 각도 등이 세기 신호를 오버레이로 매핑하기 위해 사용되는 가중치에 영향을 줄 수 있다. 위에서 언급된 바와 같이, 이러한 콘텍스트에서 가중치를 결정하는 예시적인 방식은 헤시안 행렬 및/또는 3차 도함수를 사용하는 것이다. 그러므로, 일 실시예에서, 다양한 가능한 가중 스킴들이 양호한 오버레이 값을 계속 유지하기 위하여 하나 이상의 비-오버레이 파라미터를 고려할 수 있다. 일 실시예에서, 오버레이-정보(overlay informative) 오버레이 픽셀 및 그들의 가중치가 오버레이 결정 정밀도에 대해서 최적화될 수 있다. 그러려면 양호한 모델 품질, 즉, 비-오버레이 파라미터의 양호한 추정이 요구될 수 있다. 일 실시예에서, 오버레이-정보 픽셀 및 그들의 가중치는 예컨대 비-오버레이 파라미터에서의 프로세스 변동에 대한 견실성을 증가시키도록 최적화될 수 있다. 이것은 정밀도를 희생시킬 수 있다.Furthermore, one or more non-overlay parameters, such as CD, sidewall angle, etc., may affect the weights used to map the intensity signal to the overlay. As mentioned above, an exemplary way to determine weights in this context is to use a Hessian matrix and/or a cubic derivative. Therefore, in one embodiment, various possible weighting schemes may take into account one or more non-overlay parameters in order to maintain a good overlay value. In one embodiment, overlay informative overlay pixels and their weights can be optimized for overlay decision precision. This may require good model quality, ie good estimation of non-overlay parameters. In one embodiment, the overlay-information pixels and their weights may be optimized to increase robustness to process variations in non-overlay parameters, for example. This can sacrifice precision.

일 실시예에서, 하나 이상의 비-오버레이 파라미터의 추정은, 예를 들어 도 9 와 관련하여 설명된 재구성 기법을 사용하여 이루어지고, 피드-포워드되어 유도된 프로파일 또는 유도된 프로파일 모델을 튜닝할 수 있다. 예를 들어, CD 재구성은 기판에서의 특정 위치에 있는 타겟의 CD 및/또는 패터닝 프로세스 셋팅(예를 들어, 노광 도즈, 노광 초점 등)의 특정 조합에 대한 CD를 추정하고, 그러한 CD 추정치를 사용하여 유도된 프로파일 또는 유도된 프로파일 모델의 CD 파라미터를 튜닝할 수 있다. 일 실시예에서, 정확한 유도 프로파일 또는 유도된 프로파일 모델 파라미터의 반복적 재구성이 수행될 수 있다.In one embodiment, the estimation of one or more non-overlay parameters is made, for example, using the reconstruction technique described in connection with FIG. 9, and can be fed-forward to tune the derived profile or the derived profile model. . For example, CD reconstruction estimates the CD for a specific combination of CD and/or patterning process settings (e.g., exposure dose, exposure focus, etc.) of a target at a specific location on the substrate, and uses that CD estimate. Thus, the derived profile or the CD parameter of the derived profile model can be tuned. In one embodiment, an iterative reconstruction of the correct derived profile or derived profile model parameters may be performed.

도 12 를 참조하면, 기하학적으로 대칭일 수 있는 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟에 대한 오버레이 값을 결정하는 방법이 예시된다. 이러한 방법은 두 가지 프로세스(1200 및 1210)를 수반한다. 프로세스(1200)는 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟의 측정치를 획득하는 것을 수반한다. 프로세스(1210)는 프로세스(1200)로부터 나온, 타겟의 측정치에 기초하여 측정된 타겟에 대한 오버레이 값을 결정하는 것을 수반한다.Referring to FIG. 12, a method of determining an overlay value for a target having one or more physical instances of a unit cell that may be geometrically symmetric is illustrated. This method involves two processes 1200 and 1210. Process 1200 involves obtaining a measure of a target having one or more physical instances of a unit cell. Process 1210 involves determining an overlay value for the measured target based on the measurement of the target, from process 1200.

프로세스(1200)는 입력으로서 기하학적으로 대칭일 수 있는, 본 명세서에서 설명된 바와 같은 유닛 셀의 하나 이상의 물리적 인스턴스를 포함하는 측정될 타겟(1220)을 취한다. 일 실시예에서, 타겟의 하나 이상의 인스턴스가 있는 기판이 계측 장치, 예컨대 도 7 의 계측 장치로 제공된다.Process 1200 takes as input a target to be measured 1220 comprising one or more physical instances of a unit cell as described herein, which may be geometrically symmetric. In one embodiment, a substrate with one or more instances of a target is provided with a metrology device, such as the metrology device of FIG. 7.

또는, 프로세스(1200)는 입력으로서 해당 타겟에 대해서 규정된 특정 측정 전략(1230)을 얻는다. 일 실시예에서, 측정 전략은 하나 이상의 측정 파라미터, 예컨대 측정 빔 파장, 측정 빔 편광, 측정 빔 선량, 및/또는 타겟의 특정한 하나의 조명의 계측 장치의 검출기 센서에 의해 읽어진 다수의 광학 특성 판독치 중에서 선택된 하나 이상의 값을 규정할 수 있다. 일 실시예에서, 측정 전략은 하나 이상의 측정 파라미터의 값을 특정하는 복수 개의 측정 전략을 포함할 수 있다. 측정 전략은 타겟을 측정하기 위하여 사용될 수 있다.Alternatively, process 1200 obtains as input a specific measurement strategy 1230 defined for that target. In one embodiment, the measurement strategy is one or more measurement parameters, such as measurement beam wavelength, measurement beam polarization, measurement beam dose, and/or a number of optical properties read by the detector sensor of the metrology device of a particular illumination of the target. One or more values selected from among the values can be defined. In one embodiment, the measurement strategy may include a plurality of measurement strategies that specify values of one or more measurement parameters. Measurement strategies can be used to measure targets.

그러면 프로세스(1200)는 선택적인 측정 전략에 따라서 계측 장치를 사용하여 타겟을 측정한다. 일 실시예에서, 계측 장치는 재지향된 방사선의 퓨필 표현을 획득한다. 일 실시예에서, 계측 장치는 퓨필 이미지(1030)(예를 들어 타겟이 오버레이에 오차를 가지지 않는다면) 또는 퓨필 이미지(1060)(예를 들어 타겟이 오버레이에 오차를 가진다면)와 같은 퓨필 표현을 생성할 수 있다. 따라서, 일 실시예에서, 프로세스(1200)는 타겟으로부터의 재지향된 방사선에 관련된 광학적 정보(1240), 예컨대 방사선의 퓨필 표현을 출력한다.The process 1200 then measures the target using a metrology device according to an optional measurement strategy. In one embodiment, the metrology device obtains a pupil representation of the redirected radiation. In one embodiment, the metrology device displays a pupil representation, such as a pupil image 1030 (e.g., if the target has no error in the overlay) or a pupil image 1060 (e.g., if the target has an error in the overlay). Can be generated. Thus, in one embodiment, the process 1200 outputs optical information 1240 related to the redirected radiation from the target, such as a pupil representation of the radiation.

그러면, 프로세스(1210)는 광학적 정보(1240)를 수신하고, 광학적 정보를 처리하여 해당 타겟에 대한 오버레이 값(1260)을 결정한다. 일 실시예에서, 프로세스(1210)는 입력으로서 도 11 의 방법에서 결정된 가중치(1250)를 수신하는데, 가중치는 광학적 정보(1240)로부터 획득되거나 유도된 하나 이상의 광학 특성 값(예를 들어, 세기)과 조합된다.Then, the process 1210 receives the optical information 1240 and processes the optical information to determine an overlay value 1260 for the target. In one embodiment, process 1210 receives as input the weights 1250 determined in the method of FIG. Is combined with

일 실시예에서, 프로세스(1210)(또는 프로세스(1200))는 광학적 정보를 처리하여, 광학적 정보로부터 원시 오버레이 신호를 유도할 수 있다. 일 실시예에서, 원시 오버레이 신호는 광학적 정보의 차이, 즉 대칭축 또는 대칭점에 걸친 대칭 픽셀들 사이의 광학 특성 값의 차분을 포함한다. 일 실시예에서, 유도된 퓨필 이미지(1035)(예를 들어 타겟이 오버레이에 오차를 가지지 않는다면) 또는 유도된 퓨필 이미지(1065)(예를 들어 타겟이 오버레이에 오차를 가진다면)가 획득될 수 있다.In one embodiment, process 1210 (or process 1200) may process optical information to derive a raw overlay signal from the optical information. In one embodiment, the raw overlay signal comprises a difference in optical information, i.e., a difference in optical characteristic values between symmetric pixels across an axis of symmetry or a point of symmetry. In one embodiment, a derived pupil image 1035 (for example, if the target does not have an error in the overlay) or a derived pupil image 1065 (for example, if the target has an error in the overlay) may be obtained. have.

일 실시예에서, 타겟에 의해 재지향된 방사선에 대한 가중치 및 광학적 정보(예를 들어, 프로세스(1200)로부터 온 광학적 정보 또는 원시 오버레이 신호와 같이 프로세스(1200)로부터 온 광학적 정보의 처리된 버전)는 결합되어 오버레이 값을 결정한다. 일 실시예에서, 연관된 가중치와 선형으로 조합된 재지향된 측정 빔 세기들의 조합을 사용하면 오버레이를 빠르게 결정할 수 있다. 예를 들어, 일 실시예에서, 오버레이 값은 수학식 4 로부터 유도될 수 있는데, 여기에서 오버레이 값 M은 신호 성분 Si의 각각에 대한 개별 가중치

Figure 112018094715975-pct00047
를 사용하며 원시 오버레이 신호로부터 얻은 신호 성분 Si의 가중된 조합으로서 계산된다.In one embodiment, the weight and optical information for the radiation redirected by the target (e.g., optical information from process 1200 or a processed version of optical information from process 1200 such as a raw overlay signal) is Combined to determine the overlay value. In one embodiment, the use of a combination of the associated weights and linearly combined redirected measurement beam intensities can be used to quickly determine the overlay. For example, in one embodiment, the overlay value may be derived from Equation 4, where the overlay value M is an individual weight for each of the signal components Si.
Figure 112018094715975-pct00047
And is calculated as a weighted combination of signal components Si obtained from the raw overlay signal.

일 실시예에서, 프로세스(1200)로부터 수집된 광학적 정보는 오버레이 이외의 하나 이상의 타겟 관련된 파라미터를 유도하도록 추가적으로 사용될 수 있다. 예를 들어, 프로세스(1200)로부터 수집된 광학적 정보는 재구성 프로세스에서 사용되어 타겟의 임의의 하나 이상의 기하학적 프로파일 파라미터, 예컨대 CD, 측벽 각도, 하단 바닥 틸트 등을 유도할 수 있다. 그러므로, 일 실시예에서, 타겟, 예컨대 인-다이 에칭후 타겟으로부터 수집된 광학적 정보의 동일한 세트가 CD 및/또는 타겟(예컨대 디바이스 구조체)의 하나 이상의 다른 기하학적 프로파일 파라미터를 결정하기 위하여 사용될 수 있다.In one embodiment, the optical information collected from process 1200 may be additionally used to derive one or more target related parameters other than overlay. For example, optical information collected from process 1200 can be used in a reconstruction process to derive any one or more geometric profile parameters of the target, such as CD, sidewall angle, bottom floor tilt, and the like. Therefore, in one embodiment, the same set of optical information collected from the target, such as the target after in-die etching, may be used to determine the CD and/or one or more other geometrical profile parameters of the target (eg device structure).

위에서 언급된 바와 같이 비록 세기에 중점을 두었지만, 일 실시예에서 광학 특성은 반사도일 수 있고, 방사선은 편광될 수 있으며, 측정치는 교차-편광 측정치일 수 있다. 예를 들어, 특정 선형 편광으로 노광된 타겟은 해당 편광으로 또는 상이한 편광에서 측정될 수 있다. 그러므로, 대칭 픽셀

Figure 112018094715975-pct00048
Figure 112018094715975-pct00049
(다시 표시는 대칭 위치를 나타냄)의 경우, 그러한 픽셀에 대한 반사도 R이 다음과 같이 측정될 수 있다:As mentioned above, although emphasis is placed on intensity, in one embodiment the optical property may be reflectivity, the radiation may be polarized, and the measurement may be a cross-polarized measurement. For example, a target exposed with a specific linear polarization can be measured with that polarization or at a different polarization. Therefore, symmetric pixels
Figure 112018094715975-pct00048
And
Figure 112018094715975-pct00049
In the case of (again indicating a symmetrical position), the reflectivity R for such a pixel can be measured as follows:

Figure 112018094715975-pct00050
Figure 112018094715975-pct00050

Figure 112018094715975-pct00051
Figure 112018094715975-pct00051

여기에서 s는 편광을 나타내고 p는 편광을 나타낸다. 따라서, 반사도

Figure 112018094715975-pct00052
는 타겟이 s 편광을 사용하여 조명되었을 때에 측정된 s 편광된 방사선의 반사도 R에 대응하고, 반사도
Figure 112018094715975-pct00053
는 타겟이 p 편광을 사용하여 조명되었을 때에 측정된 s 편광된 방사선의 반사도 R에 대응하며, 그 외에도 마찬가지이다. 더욱이, 이러한 측정치는 상이한 파장에서 취해질 수 있다. 그리고, 특정 실시예들에서, 오버레이 변화에 응답하여 자신의 대칭을 바꾸는 대칭적 유닛 셀에 대한 오버레이가 합동체(congruent)
Figure 112018094715975-pct00054
Figure 112018094715975-pct00055
로부터 발견되고 결정될 수 있다는 것이 발견되었다.Here, s represents polarized light and p represents polarized light. Therefore, the reflectivity
Figure 112018094715975-pct00052
Corresponds to the reflectivity R of the s polarized radiation measured when the target is illuminated using s polarized light,
Figure 112018094715975-pct00053
Corresponds to the reflectivity R of s-polarized radiation measured when the target is illuminated using p-polarized light, and so on. Moreover, these measurements can be taken at different wavelengths. And, in certain embodiments, the overlay for a symmetric unit cell that changes its symmetry in response to the overlay change is congruent.
Figure 112018094715975-pct00054
And
Figure 112018094715975-pct00055
It has been discovered that can be found and determined from

더 나아가, 오버레이 및/또는 다른 파라미터로부터 비선형성이 생길 수 있다. 위에서 논의된 바와 같이, 특정 비선형성은 가중치를 적절히 선택함으로써, 예를 들어 헤시안 행렬 및/또는 3차 도함수를 사용하여 가중치를 유도함으로써 해소될 수 있다. 일 실시예에서, 비선형성은 타겟으로부터 재지향된 방사선의 측정된 광학적 정보로부터 오버레이를 유도하기 위한 비선형 솔루션을 사용함으로써 해소될 수 있다.Furthermore, nonlinearities can arise from overlays and/or other parameters. As discussed above, certain nonlinearities can be resolved by appropriate selection of weights, for example by deriving the weights using a Hessian matrix and/or a cubic derivative. In one embodiment, nonlinearity may be addressed by using a nonlinear solution to derive an overlay from measured optical information of radiation redirected from the target.

일 실시예에서, 오버레이는 공칭 프로파일을 유도하기 위해서 사용된 전술된 바와 같은 재구성 엔진을 사용하여 결정될 수 있다. 예를 들어, 유도된 공칭 프로파일로부터 유도된 모델 및/또는 유도된 공칭 프로파일 모델로부터 작동하는 비선형 솔버가 관심 타겟으로부터의 재지향된 방사선으로부터 기대된 광학적 정보의 시뮬레이션된 버전을 유도하기 위하여 사용될 수 있고, 이것은 관심 타겟의 측정된 광학적 정보와 비교될 수 있다. 위에서 언급된 바와 같이, 관심 타겟은 대칭일수 있고 오버레이에 노출되면 자신의 대칭을 바꾸는 유닛 셀의 하나 이상의 물리적 인스턴스를 포함한다. 그러면, 특정 임계 내에 합의가 되지 않으면, 기하학적 프로파일 파라미터(예를 들어, 오버레이)는 변경되고, 광학적 정보의 시뮬레이션된 버전은 재계산되어 임계 내에 합의가 있을 때까지 측정된 광학적 정보와 비교될 수 있다. 이와 유사하게, 관심 타겟의 측정된 광학적 정보는 관심 타겟으로부터의 재지향된 방사선으로부터 기대되는 광학적 정보의 라이브러리(이러한 라이브러리는 통상적으로 비선형 솔버를 사용하여 유도될 것임)에 대해서 비교될 수 있다. 그러면, 특정 임계 내에 합의가 되지 않으면, 기하학적 프로파일 파라미터(예를 들어, 오버레이)는 변경되고, 임계 내에 합의가 있을 때까지 측정된 광학적 정보와 비교되는 광학적 정보의 시뮬레이션된 버전에 대해서 라이브러리가 참조될 수 있다.In one embodiment, the overlay may be determined using a reconstruction engine as described above used to derive the nominal profile. For example, a model derived from the derived nominal profile and/or a nonlinear solver operating from the derived nominal profile model may be used to derive a simulated version of the expected optical information from the redirected radiation from the target of interest, This can be compared to the measured optical information of the target of interest. As mentioned above, a target of interest can be symmetric and contains one or more physical instances of a unit cell that changes its symmetry when exposed to an overlay. Then, if no agreement is reached within a certain threshold, the geometrical profile parameter (e.g., overlay) is changed, and the simulated version of the optical information can be recalculated and compared to the measured optical information until there is agreement within the threshold. . Similarly, the measured optical information of the target of interest can be compared against a library of optical information expected from the redirected radiation from the target of interest (such a library will typically be derived using a nonlinear solver). Then, if no agreement is reached within a certain threshold, the geometrical profile parameter (e.g., overlay) is changed, and the library is referenced for a simulated version of the optical information compared to the measured optical information until agreement is reached within the threshold. I can.

일 실시예에서, 관심 타겟으로부터의 측정된 광학적 정보와 재구성 엔진을 사용하는 것은 측정된 광학적 정보를 사용하는데, 그로부터 방사선의 대칭적 분포가 전술된 바와 같이, 예를 들어 각각의 픽셀에서의 광학 특성 값으로부터 대칭점 또는 대칭축에 걸쳐 대칭적으로 위치된 픽셀에서의 광학 특성 값을 감산함으로써 제거된 바 있다. 따라서, 광학적 정보는 실질적으로 방사선의 비대칭 분포에만 관련된다. 이와 유사하게, 광학적 정보의 시뮬레이션되거나 라이브러리 버전은 실질적으로 방사선의 비대칭 분포에만 관련된다. 그러면 광학적 정보의 상당한 부분이 대차법(differencing)을 통해서 소거될 것이기 때문에 계산되거나 평가될 필요가 없어질 것이어서, 계산 및/또는 비교 시간이 촉진될 것이다.In one embodiment, using the measured optical information from the target of interest and the reconstruction engine uses the measured optical information, from which the symmetrical distribution of radiation is as described above, e.g., optical properties at each pixel. It has been removed from the value by subtracting the value of the optical property at the point of symmetry or the pixel located symmetrically across the axis of symmetry. Thus, the optical information relates substantially only to the asymmetric distribution of radiation. Similarly, a simulated or library version of optical information relates substantially only to the asymmetric distribution of radiation. Then there will be no need to be calculated or evaluated since a significant portion of the optical information will be erased through differentiation, thus facilitating calculation and/or comparison times.

비선형 솔루션의 추가적인 실시예에서, 수학식 3 의 전개가 비선형 솔버로 풀이되어

Figure 112020053512248-pct00056
를 유도할 수 있다. 특히, 수학식 3 의
Figure 112020053512248-pct00057
,
Figure 112020053512248-pct00058
,
Figure 112020053512248-pct00059
등(적용가능한 경우)의 값은 관심 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델을 결정하는 것의 일부로서 결정될 수 있다. 예를 들어, 유도된 공칭 프로파일이 비선형 재구성의 일부로서 결정되면, 유도된 공칭 프로파일에 대응하는(예를 들어, 오버레이의 특정한 변화에 대한 유도된 공칭 프로파일의 섭동(예를 들어,
Figure 112020053512248-pct00060
)에 대응하는) 퓨필에 대한 시뮬레이션된 또는 라이브러리 광학적 정보가 획득될 수 있고, 그러면 a, b, c 등(적용가능한 경우)의 값이 잔차를 최소화하기 위해서, 예를 들어 솔루션들을 통해서 반복하는(예를 들어, 오버레이 내의 하나 이상의 섭동(예를 들어,
Figure 112020053512248-pct00061
)에 응답하여) 비선형 솔버를 이용하여 각각의 픽셀에 대해서 결정될 수 있다. 그 결과는, 적용가능한 경우 퓨필에 대한 a 값(각각의 a 값은 퓨필의 픽셀에 대응함)의 벡터, 퓨필에 대한 b 값(각각의 b 값은 퓨필의 픽셀에 대응함)의 벡터, 퓨필에 대한 c 값(각각의 c 값은 퓨필의 픽셀에 대응함)의 벡터 등이다. 그러면, 이러한 벡터는 관심 유닛 셀을 가지는 타겟의 측정된 퓨필로부터 결정된
Figure 112020053512248-pct00062
값들의 벡터와 조합될 수 있다. 예를 들어 잔차를 최소화하기 위해서 솔루션들을 통해서 반복하는 비선형 솔버는 이러한 입력 벡터를 취하고 오버레이
Figure 112020053512248-pct00063
에 대해서 풀이할 수 있다.In a further embodiment of the nonlinear solution, the expansion of equation 3 is solved with a nonlinear solver
Figure 112020053512248-pct00056
Can induce In particular, in Equation 3
Figure 112020053512248-pct00057
,
Figure 112020053512248-pct00058
,
Figure 112020053512248-pct00059
The value of etc. (if applicable) can be determined as part of determining the derived nominal profile and/or derived nominal profile model of the unit cell of interest. For example, if the derived nominal profile is determined as part of the nonlinear reconstruction, then the perturbation of the induced nominal profile corresponding to the derived nominal profile (e.g., for a specific change in the overlay (e.g.
Figure 112020053512248-pct00060
The simulated or library optical information for the pupil can be obtained, and then the values of a, b, c, etc. (if applicable) iterate through the solutions, e.g., to minimize residuals ( For example, one or more perturbations within the overlay (e.g.,
Figure 112020053512248-pct00061
In response to )) can be determined for each pixel using a nonlinear solver. The result is a vector of a values for the pupil (each a value corresponding to a pixel in the pupil), a vector of b values for the pupil (each b value corresponding to a pixel in the pupil), and a vector for the pupil, if applicable. It is a vector of c values (each value of c corresponds to a pixel in the pupil). Then, this vector is determined from the measured pupil of the target having the unit cell of interest.
Figure 112020053512248-pct00062
Can be combined with a vector of values. For example, a nonlinear solver that iterates through solutions to minimize residuals takes these input vectors and overlays them.
Figure 112020053512248-pct00063
Can be interpreted for.

전술된 논의가 유닛 셀의 물리적 프로파일을 모델링하는 모델을 사용하는 것에 중점을 두었지만, 일 실시예에서 가중치는 물리적 프로파일 모델링을 요구하지 않는 데이터-구동 기법을 사용하여 유도될 수 있거나 물리적 프로파일 모델을 보완하는 데이터-구동 기법으로 유도될 수 있다. 그러므로, 일 실시예에서, 데이터-구동 기법은 바람직하게는 물리적 프로파일 모델을 요구하지 않을 수 있다; 이것은, 예를 들어, 물리적 프로파일 모델링이 유닛 셀이 디바이스 패턴 구조체인 경우에는 민감한 정보일 수 있는 유닛 셀(및 따라서 타겟)에 관련된 세부사항을 가지고 시작하거나 그것을 결정하기 때문에, 기밀 정보의 공유를 제한하기 위해서 유용할 수 있다. 일 실시예에서, 데이터-구동 기법은, 예를 들어 위에서 논의된 바와 같이 가중치의 상대적으로 빠른 결정을 가능하게 하여, 측정된 광학적 정보(예를 들어, 퓨필 세기)를 패터닝 프로세스 파라미터(예를 들어, 오버레이)로 변환할 수 있다. 일 실시예에서, 데이터-구동 기법은, 후술되는 바와 같이 데이터-구동 기법이 측정된 데이터 및 연관된 레퍼런스만을 필요로 할 수 있기 때문에, 빠른 스테이지에서 패터닝 프로세스 파라미터가 결정될 수 있게 한다.Although the above discussion has focused on using a model to model the physical profile of a unit cell, in one embodiment the weights can be derived using a data-driven technique that does not require physical profile modeling or It can be derived with complementary data-driven techniques. Therefore, in one embodiment, the data-driven scheme may preferably not require a physical profile model; This limits the sharing of confidential information, for example, because physical profile modeling begins with or determines it with details related to the unit cell (and thus the target), which may be sensitive information if the unit cell is a device pattern structure. It can be useful to do. In one embodiment, the data-driven technique enables relatively fast determination of weights, e.g., as discussed above, so that the measured optical information (e.g., pupil intensity) is converted into a patterning process parameter (e.g. , Overlay). In one embodiment, the data-driven technique allows the patterning process parameters to be determined in a fast stage, as the data-driven technique may require only measured data and associated references, as described below.

그러므로, 일 실시예에서, 데이터-구동 기법은, 관심 대상 패터닝 프로세스 파라미터(예를 들어, 오버레이)의 하나 이상의 특정한 설정 값을 가지고, 그 위에 패터닝된 관심 유닛 셀의 물리적 인스턴스를 가지는 하나 이상의 기판으로부터 측정된 데이터("겟(get)" 데이터)를 처리하는 것을 수반한다. 특정 패터닝 프로세스 파라미터(예를 들어, 오버레이)의 "설정된(set)" 의도적인 값들을 이렇게 조합하여 패턴과 함께 그러한 패턴으로부터 측정된 데이터("겟" 데이터)를 생성하는 것은 "셋-겟(set-get)" 프로세스라고 불린다. 예를 들어, 유닛 셀의 특정 양의 물리적 인스턴스의 오버레이는 패터닝 프로세스의 일부로서 생성되고, 그러면 유닛 셀의 물리적 인스턴스를 가지는 타겟이, 예를 들어 그것의 퓨필 이미지(즉, "겟" 데이터)를 획득하기 위해서 측정된다. 일 실시예에서, 복수 개의 기판은 이러한 방식으로 패터닝되고 측정될 수 있다. 일 실시예에서, 오버레이의 복수 개의 상이한 설정 값이 생성되는데, 오버레이의 그러한 상이한 값들은 하나의 기판에 대한 것일 수 있고, 상이한 기판들에 걸쳐 있을 수도 있다. 일 실시예에서, 각각의 기판은 측정된 복수 개의 타겟을 가져서, 예를 들어 복수 개의 퓨필 이미지를 제공할 것이다. 일 실시예에서, 오버레이는 유닛 셀의 물리적 인스턴스의 상이한 부분들을 패터닝하는 사이의 설계 확대(design magnification)로부터 확대 변화를 유도함으로써 생성될 수 있다. 일 실시예에서, 오버레이는 유닛 셀의 물리적 인스턴스의 상이한 부분들을 패터닝하는 사이의 설계 위치설정(design positioning)으로부터 의도적인 병진을 제공함으로써 생성될 수 있다. 따라서, 그 결과는, 예를 들어 리소그래피 장치에 의해 유도된 타겟 내의 의도적으로 인가된 오버레이이다.Therefore, in one embodiment, the data-driven technique is from one or more substrates having one or more specific set values of a patterning process parameter of interest (e.g., an overlay) and having a physical instance of the unit cell of interest patterned thereon. It involves processing measured data ("get" data). This combination of "set" intentional values of a particular patterning process parameter (eg, an overlay) together with a pattern to produce measured data ("get" data) from that pattern is a "set-get" -get)" process. For example, an overlay of a certain amount of physical instances of a unit cell is created as part of the patterning process, so that a target with a physical instance of the unit cell can, for example, create a pupil image of it (i.e., "get" data). It is measured to obtain. In one embodiment, a plurality of substrates can be patterned and measured in this manner. In one embodiment, a plurality of different setting values of the overlay are created, those different values of the overlay may be for one substrate or may span different substrates. In one embodiment, each substrate will have a plurality of targets measured, for example providing a plurality of pupil images. In one embodiment, the overlay may be created by deriving a magnification change from design magnification between patterning different portions of a physical instance of a unit cell. In one embodiment, the overlay may be created by providing intentional translation from design positioning between patterning different portions of a physical instance of a unit cell. Thus, the result is a deliberately applied overlay in a target induced by a lithographic apparatus for example.

일 실시예에서, 일반적으로, 획득된 측정 데이터 및 연관된 레퍼런스 값이 존재한다. 그러므로, 일 실시예에서, 상이한 오버레이가 존재하지만 그러한 오버레이들이 다른 수단에 의해(예를 들어, 스캐닝 전자 현미경으로부터) 결정된다면, 의도적 오버레이는 제공될 필요가 없다. 일 실시예에서, 대응하는 레퍼런스 데이터(예를 들어 CD-SEM으로부터 얻어진 데이터)가 있는 임계 치수 균일성 기판이 입력 데이터로서 사용될 수 있다. 측정된 데이터 및 레퍼런스 값이 있으면, 데이터-구동 접근법은 본 명세서에서 논의된 바와 같이, 유추된 오버레이 값이 레퍼런스 값과 비슷하게 되도록 가중치를 찾아낼 수 있다. 그러므로, 데이터-구동 기법의 논의가 의도적으로 설정된 오버레이 값에서의 측정된 광학적 정보 및 퓨필 표현에 중점을 두고 있지만, 이들은 더 일반적인 측정 데이터 및 연관된 레퍼런스 값(측정되거나 의도적으로 설정됨)에 적용될 수 있다.In one embodiment, in general, there are acquired measurement data and associated reference values. Therefore, in one embodiment, if different overlays exist but those overlays are determined by other means (eg, from a scanning electron microscope), an intentional overlay need not be provided. In one embodiment, a critical dimension uniformity substrate with corresponding reference data (eg, data obtained from CD-SEM) may be used as input data. Given the measured data and reference values, the data-driven approach can find the weights such that the inferred overlay value is similar to the reference value, as discussed herein. Therefore, although the discussion of data-driven techniques focuses on the measured optical information and pupil representation at intentionally set overlay values, they can be applied to more general measurement data and associated reference values (measured or intentionally set). .

더 나아가, 본 발명의 기법이 특정 오버레이(예를 들어, X-방향의 오버레이)에 관련되지만, 본 발명의 기법이 대응하는 측정 데이터 및 레퍼런스 값을 사용하여 상이한 오버레이(예를 들어, Y-방향의 오버레이, 다른 층들에 있는 구조체들 사이의 오버레이 등)에 대해서 반복될 수 있다는 것이 이해될 것이다. 따라서, 상이한 오버레이에 대해서 상이한 가중치 세트가 결정될 수 있다.Furthermore, although the inventive technique relates to a specific overlay (e.g., an overlay in the X-direction), the inventive technique uses the corresponding measurement data and reference values to provide different overlays (e.g., Y-direction). It will be appreciated that it may be repeated for overlays of, overlays between structures in different layers, etc.). Thus, different sets of weights can be determined for different overlays.

그러므로, 도 13 을 참조하면, 데이터 구동 기법의 일 실시예의 고수준 흐름도가 도시된다. 1300 에서, 위에서 논의된 바와 같이 가중치를 유도하기 위하여 계산이 수행되어, 측정된 광학적 정보(예를 들어, 퓨필 세기)를 패터닝 프로세스 파라미터(예를 들어, 오버레이)로 변환한다. 특히, 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1320)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1310)이다. 일 실시예에서, 광학적 정보(1310)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1310 및 1320)이 데이터-구동 기법으로 처리되어 가중치(1330)에 도달한다. 이러한 데이터-구동 기법의 예들이 이제부터 후술된다.Therefore, referring to FIG. 13, a high-level flowchart of an embodiment of a data driving technique is shown. At 1300, calculations are performed to derive the weights as discussed above, transforming the measured optical information (eg, pupil intensity) into patterning process parameters (eg, overlay). In particular, these calculations use multiple inputs. One of the inputs is the set value 1320 of the set-get process for the target having the physical instance of the unit cell of interest. As mentioned above, multiple instances of the target can be measured across one or more substrates, one or more instances of the target having different values of intentionally set values of the patterning process parameters than one or more other instances of the target. . An additional input is the measured optical information 1310 for such instances of the target at different set values. In one embodiment, the optical information 1310 is a plurality of pupil representations, each corresponding to an instance of a target. The inputs 1310 and 1320 are then processed with a data-driven technique to arrive at a weight 1330. Examples of such data-driven techniques are now described below.

일 실시예에서, 가중치들의 벡터

Figure 112018094715975-pct00064
를 찾기 위한 데이터-구동 기법의 일 예는 후속하는 목적 함수 또는 메리트 함수를 최소화하여 가중치
Figure 112018094715975-pct00065
에 이르게 하는 것이다:In one embodiment, a vector of weights
Figure 112018094715975-pct00064
An example of a data-driven technique for finding a weight value by minimizing the following objective function or merit function
Figure 112018094715975-pct00065
Is what leads to:

Figure 112018094715975-pct00066
Figure 112018094715975-pct00066

여기에서

Figure 112018094715975-pct00067
는 측정된 광학 특성(예를 들어, 세기)의 값과 조합되어 패터닝 프로세스 파라미터(예를 들어, 오버레이)를 결정하기 위한 가중치의 벡터이고, 각각의 가중치는 퓨필의 픽셀 값에 대응하며,
Figure 112018094715975-pct00068
는 패터닝 프로세스 파라미터의 특정 설정 값을 얻도록 패터닝된 기판
Figure 112018094715975-pct00069
으로부터 획득된 타겟의 인스턴스의 측정된 퓨필로부터 얻은 측정된 광학 특성의 픽셀 값을 각 열이 보유하는 행렬이고(그러면 이러한 행렬은 열이 퓨필의 픽셀이 되고, 행이 기판 상의 타겟의 하나 이상의 인스턴스가 되도록 전치되고, 행렬의 값은 각각의 픽셀에서의 측정된 광학 특성의 값이다),
Figure 112018094715975-pct00070
는 하나 이상의 기판
Figure 112018094715975-pct00071
상의 타겟의 하나 이상의 인스턴스에 대한 패터닝 프로세스 파라미터의 대응하는 설정 값을 보유하는 벡터이며, 각각의 설정 값은 패터닝 프로세스 파라미터 값에 대응하고,
Figure 112018094715975-pct00072
은 설정 값의 개수만큼의 크기인 단위 벡터이며,
Figure 112018094715975-pct00073
는 각각의 기판에 대한 패터닝 프로세스 파라미터의 설정값과 패터닝 프로세스 파라미터(
Figure 112018094715975-pct00074
)의 추론된 값 사이의 오프셋 차분이며, D는 측정되는 기판의 개수이다. 행렬
Figure 112018094715975-pct00075
는 타겟의 각각의 인스턴스에 대한 상이한 결과들의 조합일 수 있다. 예를 들어, 타겟은 상이한 파장, 상이한 편광 등으로 측정될 수 있다. 그러므로, 이러한 결과는 각각의 열에 연쇄될 수 있어서, 예를 들어 하나의 열은 제 1 파장 및 제 1 편광으로 측정된 타겟의 퓨필의 픽셀에 대한 값들을 가질 수 있고, 이들 뒤에는 제 2 의 다른 파장으로 측정된 타겟의 퓨필의 픽셀에 대한, 열 내의 값들이 후속되거나, 제 2 의 다른 편광으로 측정된 타겟의 퓨필의 픽셀에 대한, 열 내의 값들이 후속될 수 있다(이들 뒤에도 하나 이상의 상이한 편광 및/또는 파장에서의 추가적인 값들이 후속할 수 있다).From here
Figure 112018094715975-pct00067
Is a vector of weights for determining a patterning process parameter (e.g., overlay) in combination with a value of the measured optical characteristic (e.g., intensity), each weight corresponding to a pixel value of the pupil,
Figure 112018094715975-pct00068
Is the patterned substrate to obtain a specific set value of the patterning process parameter
Figure 112018094715975-pct00069
Is a matrix in which each column holds the pixel values of the measured optical properties obtained from the measured pupils of the instances of the target obtained from (therefore, these matrices are the columns being pixels of the pupil, and the rows are the Transposed to be possible, and the value of the matrix is the value of the measured optical properties at each pixel),
Figure 112018094715975-pct00070
Is one or more substrates
Figure 112018094715975-pct00071
Is a vector holding corresponding set values of the patterning process parameters for one or more instances of the target on the image, each set value corresponding to the patterning process parameter value,
Figure 112018094715975-pct00072
Is a unit vector that is as large as the number of set values,
Figure 112018094715975-pct00073
Is the set value of the patterning process parameter for each substrate and the patterning process parameter (
Figure 112018094715975-pct00074
) Is the offset difference between the inferred values, and D is the number of substrates to be measured. procession
Figure 112018094715975-pct00075
May be a combination of different results for each instance of the target. For example, targets can be measured with different wavelengths, different polarizations, and the like. Therefore, these results can be chained to each column, for example one column can have values for the pixels of the target's pupil measured with a first wavelength and a first polarization, followed by a second other wavelength. For a pixel of the pupil of the target measured as, values in the column may be followed, or for a pixel of the pupil of the target measured as a second different polarization, the values in the column may be followed (after one or more different polarizations and /Or additional values in wavelength may follow).

그러므로, 결과적으로, 이러한 함수는 가중치 벡터

Figure 112018094715975-pct00076
를 찾아내어, 각각의 기판
Figure 112018094715975-pct00077
에 대한 추론 값
Figure 112018094715975-pct00078
가 오프셋
Figure 112018094715975-pct00079
로부터 떨어진 설정-값
Figure 112018094715975-pct00080
와 가능한 한 비슷해 보이게(L2 정규화 놈(norm)의 의미에서) 한다. 이론상, 최적의 가중치 및 오프셋이 행렬 반전에 의하여 계산될 수 있다. 측정된 광학 특성의 픽셀 값들이 하나 이상의 특정 계측 장치로 얻어지기 때문에, 획득된 가중치는 캘리브레이션 데이터에 의해 정규화되어 특정 계측 장치 자체가 결과에 미치는 영향을 감소시킬 수 있다.Hence, as a result, these functions are weight vectors
Figure 112018094715975-pct00076
Find out, each substrate
Figure 112018094715975-pct00077
Inferred value for
Figure 112018094715975-pct00078
Fall offset
Figure 112018094715975-pct00079
Set-value away from
Figure 112018094715975-pct00080
To look as similar as possible (in the sense of the L2 normalization norm). In theory, optimal weights and offsets can be calculated by matrix inversion. Since the pixel values of the measured optical properties are obtained with one or more specific measurement devices, the obtained weights can be normalized by the calibration data to reduce the effect of the specific measurement device itself on the results.

전술된 바와 같이 데이터-구동 기법과 같이 목적 함수 또는 메리트 함수를 사용하여 가중치를 찾아내는 대신에 또는 이에 추가하여, 데이터-구동 기법은 신경망과 같은 머신 러닝 알고리즘, 또는 비선형 방법을 사용하여 관심 대상인 패터닝 프로세스 파라미터(예를 들어, 오버레이) 내의 의도적으로 제공된 차분과 함께 타겟의 측정된 퓨필에 기초하여 가중치를 결정할 수 있다.Instead of or in addition to finding the weights using an objective function or a merit function like the data-driven technique as described above, the data-driven technique is a patterning process of interest using a machine learning algorithm such as a neural network, or a nonlinear method. The weight can be determined based on the measured pupil of the target along with the intentionally provided difference in the parameter (eg, overlay).

일 실시예에서, 훈련(즉, 목적 함수 또는 메리트 함수 또는 머신 러닝 알고리즘을 사용한 훈련) 이후에, 가중치는 다른 데이터를 사용하여 점검될 수 있다. 훈련의 결과로 오버핏(overfit)이 생길 수 있다; 데이터-구동 접근법은 데이터를 설정 값에 "정확하게(just)" 피팅한다. 그러므로, 교차 인증이 완료된다. 알려진 설정 값을 가지는 새로운 데이터가 가중치를 점검하기 위하여 사용된다. 이러한 새로운 데이터는 당장의 기판의 서브세트일 수 있다. 그러므로, 일 실시예에서, 기판의 서브세트에 훈련이 수행되고, 기판의 다른(구별되는(disjunct)) 서브세트에는 인증이 수행된다.In one embodiment, after training (ie, training using an objective or merit function or machine learning algorithm), the weights may be checked using other data. Overfit can occur as a result of training; The data-driven approach fits data "just" to a set value. Therefore, cross-authentication is completed. New data with a known set value is used to check the weight. This new data could be a subset of the current substrate. Therefore, in one embodiment, training is performed on a subset of the substrates and authentication is performed on another (disjunct) subset of the substrates.

도 14 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다. 이러한 실시예에서, 도 13 과 관련하여 설명된 것과 같은 데이터-구동 기법이 가중치를 유도하기 위하여 사용될 수 있고, 이들은 물리적 기하학적 모델(예를 들어, 물리적 기하학적 모델의 야코비안(의 무어-펜로즈 의사 역행렬))로부터 얻어진 가중치들이 데이터-구동 기법에 의해 결정된 가중치와 동일하거나 유사해 지도록(예를 들어, 값에 의해서나 통계적으로 등), 물리적 기하학적 모델을 튜닝(예를 들어, 헤시안을 사용하여 더 양호한 모델 공칭 값을 얻음으로써, 모델 공칭 값의 변경함으로써, 등)하기 위하여 사용된다. 따라서, 일 실시예에서, (스케일링된) 가중치 벡터

Figure 112018094715975-pct00081
는, 야코비안(의 무어-펜로즈 의사 역행렬)이 (스케일링된) 가중치 벡터
Figure 112018094715975-pct00082
와 유사하게 되게끔 물리적 기하학적 모델이 튜닝되도록, 물리적 기하학적 모델을 미세 튜닝하기 위해서 사용될 수 있다.14 shows a high level flow of an embodiment of a data-driven technique combined with a physical geometric model. In this embodiment, data-driven techniques such as those described in connection with FIG. 13 may be used to derive the weights, which are physical geometric models (e.g., Jacobian's Moore-Penrose pseudo inverse matrix of physical geometric models )) to be equal to or similar to the weights determined by the data-driven technique (e.g., by value or statistically, etc.), tuning the physical geometric model (e.g., using Hessian to further It is used to obtain a good model nominal value, by changing the model nominal value, etc.). Thus, in one embodiment, the (scaled) weight vector
Figure 112018094715975-pct00081
Is the Jacobian (Moore-Penrose pseudo-inverse matrix) is a (scaled) weight vector
Figure 112018094715975-pct00082
It can be used to fine tune the physical geometric model, such that the physical geometric model is tuned to be similar to.

그러므로, 일 실시예에서, 1400 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1420)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1410)이다. 일 실시예에서, 광학적 정보(1410)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1410 및 1420)이 데이터-구동 기법으로 처리되어 가중치(1430)에 도달한다.Therefore, in one embodiment, a data-driven technique (the examples are discussed above) at 1400 is performed to derive the weights as discussed above. These calculations take multiple inputs. One of the inputs is a set value 1420 of a set-get process for a target having a physical instance of the unit cell of interest. As mentioned above, multiple instances of the target can be measured across one or more substrates, one or more instances of the target having different values of intentionally set values of the patterning process parameters than one or more other instances of the target. . An additional input is the measured optical information 1410 for that instance of the target at different setting values. In one embodiment, the optical information 1410 is a plurality of pupil representations, each corresponding to an instance of a target. The inputs 1410 and 1420 are then processed with a data-driven scheme to arrive at a weight 1430.

가중치(1430)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1430)가 프로세스(1440)에 입력된다. 프로세스(1440)는 유닛 셀에 대한 물리적 프로파일(1450)(프로세스(1440)가 물리적 프로파일 모델을 유도하기 위해서 사용함)을 획득하거나 유닛 셀에 대한 물리적 프로파일 모델(1450)(프로세스(1440)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다. Weights 1430 are input to process 1440 to fine tune the physical geometric model using weights 1430. The process 1440 acquires a physical profile 1450 (used by the process 1440 to derive a physical profile model) for a unit cell or a physical profile model 1450 (used by the process 1440) for a unit cell. To obtain. In one embodiment, the physical profile is a derived nominal profile and/or a derived nominal profile model of a unit cell, as described above.

프로세스(1440)는 가중치(1430)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1430)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, CD, 측벽 각도, 재료 높이 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 일 실시예에서, 헤시안은 이러한 미세 튜닝을 수행하기 위하여 사용될 수 있고, 또는 비선형 솔버(하나 이상의 순방향 호(call)를 포함하는 솔버(예를 들어, 맥스웰 솔버))를 사용하여 수행될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다. 그러면, 튜닝된 물리적 기하학적 모델은, 패터닝 프로세스 파라미터 값을 유도하기 위하여 관심 타겟의 측정된 광학적 정보와 조합하는 데에 사용되기 위한 업데이트된 가중치(1460)를 출력할 수 있다.Process 1440 uses a physical geometric model to derive weights corresponding to weights 1430. Then, those weights are compared to weights 1430. Such comparison may involve matching sizes, statistical analysis, fitting evaluation, and the like. If significant differences exist (eg, by evaluating a comparison against a threshold), one or more parameters of the physical profile can be tuned. For example, one or more physical profile parameters (eg, CD, sidewall angle, material height, etc.) can be tuned such that the comparison result is closer or closer to a particular threshold, for example. In one embodiment, Hessian may be used to perform such fine tuning, or may be performed using a nonlinear solver (a solver containing one or more forward calls (e.g., Maxwell solver)). . Tuning and comparison can be repeated until the threshold is satisfied or passed. The tuned physical geometric model can then output an updated weight 1460 for use in combining it with the measured optical information of the target of interest to derive the patterning process parameter value.

도 15 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 다른 실시예의 고레벨 흐름을 도시한다. 물리적 기하학적 모델이 측정된 데이터와 유사한 거동을 보이는 경우, 물리적 기하학적 모델은 프로세스 변동의 영향을 예측하기 위하여 사용될 수 있다. 그러므로, 일 실시예에서, 물리적 기하학적 모델의 헤시안은 가중치를 튜닝하여, 물리적 기하학적 모델을 튜닝하기 위하여 사용된 가중치를 얻기 위해서 데이터-구동 기법에서 사용된 데이터에는 존재하지 않았던 프로세스 변동에 가중치가 (더) 직교하도록 하기 위하여 사용될 수 있다.15 shows a high level flow of another embodiment of a data-driven technique combined with a physical geometric model. If the physical geometric model exhibits similar behavior to the measured data, the physical geometric model can be used to predict the effect of process variations. Therefore, in one embodiment, the Hessian of the physical geometric model tunes the weights, and in order to obtain the weights used to tune the physical geometric model, the weight is ( More) can be used to make it orthogonal.

가중치를 튜닝하기 위하여 헤시안을 사용하는 이러한 접근법은 데이터-구동 기법이 없이 수행될 수도 있다. 즉, 가중치를 업데이트하기 위하여 헤시안을 사용하는 이러한 기법은 도 11 과 연관되어 설명된 물리적 기하학적 모델 접근법과 함께 수행될 수 있다. 이러한 경우에, 예를 들어 가중치는, 전술된 바와 같이 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델을 얻기 위해서 사용된 데이터에는 존재하지 않았던 프로세스 변동에 가중치가 (더) 직교하도록 하기 위하여 튜닝될 수 있다. 이러한 튜닝을 통하여, 가중치는 물리적 기하학적 모델을 생성하도록 사용된 측정된 데이터에서는 관찰되지 않는 프로세스 변동에 대하여 더욱 견실해진다.This approach using Hessian to tune the weights may be performed without the data-driven technique. That is, this technique of using Hessian to update weights may be performed together with the physical geometric model approach described in connection with FIG. 11. In this case, for example, the weights are such that the weights are (more) orthogonal to process variations that were not present in the data used to obtain the derived nominal profile and/or derived nominal profile model of the unit cell as described above. Can be tuned to Through this tuning, the weights become more robust against process variations not observed in the measured data used to generate the physical geometric model.

그러므로, 일 실시예에서, 1500 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1505)이다. 일 실시예에서, 광학적 정보(1505)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1505 및 1510)이 데이터-구동 기법으로 처리되어 가중치(1515)에 도달한다.Therefore, in one embodiment, a data-driven technique (the examples are discussed above) at 1500 is performed to derive the weights as discussed above. These calculations take multiple inputs. One of the inputs is a set value 1510 of a set-get process for a target having a physical instance of the unit cell of interest. As mentioned above, multiple instances of the target can be measured across one or more substrates, one or more instances of the target having different values of intentionally set values of the patterning process parameters than one or more other instances of the target. . An additional input is the measured optical information 1505 for that instance of the target at different setting values. In one embodiment, optical information 1505 is a plurality of pupil representations, each corresponding to an instance of a target. The inputs 1505 and 1510 are then processed in a data-driven scheme to arrive at a weight 1515.

가중치(1515)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1515)가 프로세스(1520)에 입력된다. 프로세스(1520)는 유닛 셀에 대한 물리적 프로파일(1525)(프로세스(1520)가 물리적 프로파일 모델을 유도하기 위해서 사용함)을 획득하거나 유닛 셀에 대한 물리적 프로파일 모델(1525)(프로세스(1520)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.Weights 1515 are input to process 1520 to fine tune the physical geometric model using weights 1515. Process 1520 obtains a physical profile 1525 (used by process 1520 to derive a physical profile model) for a unit cell or a physical profile model 1525 (used by process 1520) for a unit cell. To obtain. In one embodiment, the physical profile is a derived nominal profile and/or a derived nominal profile model of a unit cell, as described above.

프로세스(1520)는 가중치(1515)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1515)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, CD, 측벽 각도, 재료 높이 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 일 실시예에서, 헤시안은 이러한 미세 튜닝을 수행하기 위하여 사용될 수 있고, 또는 비선형 솔버(하나 이상의 순방향 콜(call)을 포함하는 솔버(예를 들어, 맥스웰 솔버))를 사용하여 수행될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다.Process 1520 uses a physical geometric model to derive weights corresponding to weights 1515. Then, those weights are compared to the weights 1515. Such comparison may involve matching sizes, statistical analysis, fitting evaluation, and the like. If significant differences exist (eg, by evaluating a comparison against a threshold), one or more parameters of the physical profile can be tuned. For example, one or more physical profile parameters (eg, CD, sidewall angle, material height, etc.) can be tuned such that the comparison result is closer or closer to a particular threshold, for example. In one embodiment, Hessian may be used to perform such fine tuning, or may be performed using a nonlinear solver (a solver containing one or more forward calls (e.g., Maxwell solver)). . Tuning and comparison can be repeated until the threshold is satisfied or passed.

하지만, 이해될 수 있는 것처럼, 패터닝 프로세스는 실행 중에 그리고 패터닝 프로세스의 상이한 실행에 대해서 다르게 변동할 수 있다. 따라서, 데이터-구동 기법에 대해서 획득된 데이터는 가능한 패터닝 프로세스 변동을 모두 고려하지 않는다. 하지만, 물리적 기하학적 모델을 튜닝해서 이러한 모델이 측정된 데이터와 유사하게 거동하게 되는 경우, 물리적 기하학적 모델은 프로세스 변동의 영향을 예측하고 이에 따라서 가중치를 조절하기 위하여 사용될 수 있다.However, as can be appreciated, the patterning process may vary differently during execution and for different executions of the patterning process. Therefore, the data obtained for the data-driven technique does not take into account all possible patterning process variations. However, if a physical geometric model is tuned so that the model behaves similarly to the measured data, the physical geometric model can be used to predict the impact of process variations and adjust the weights accordingly.

그러므로, 일 실시예에서, 튜닝된 물리적 기하학적 모델(1530)은 1535 에서, 튜닝된 물리적 기하학적 모델의 헤시안을 계산하기 위하여 사용된다. 그러면, 헤시안(1540)은, 1545 에서 가중치를 튜닝하여, 물리적 기하학적 모델을 튜닝하기 위하여 사용된 가중치를 얻기 위해서 데이터-구동 기법에서 사용된 데이터에는 존재하지 않았던 프로세스 변동에 대해 가중치가 (더) 직교하도록(즉, 견실하도록) 하기 위하여 사용될 수 있다. 다르게 말하면, 가중치는, 기판이 프로세스 변동을 겪는 경우에도 기판으로부터의 측정 데이터와 조합되면 정확한 결과를 산출할 가능성이 높아지도록 튜닝된다.Therefore, in one embodiment, the tuned physical geometric model 1530 is used, at 1535, to compute the Hessian of the tuned physical geometric model. Then, Hessian (1540) tunes the weights at 1545 and obtains the weights used to tune the physical geometric model, so that the weights are (more) for process variations that were not present in the data used in the data-driven technique. It can be used to be orthogonal (i.e. to be robust). In other words, the weights are tuned to increase the likelihood of producing accurate results when combined with measurement data from the substrate even if the substrate undergoes process variation.

헤시안이 가중치를 미세 튜닝하기 위하여 어떻게 사용될 수 있는지의 비한정적인 예가 본 명세서에서 오버레이의 콘텍스트에서 기술된다; 상이한 패터닝 프로세스 파라미터도 적절하게 사용될 수 있다. 이러한 예에서, 하나의 오버레이 타입(예를 들어, X 방향의 오버레이)만이 평가된다고 가정된다. 다수의 오버레이 타입이 있는 미세-튜닝도 역시 가능하다.A non-limiting example of how Hessian can be used to fine tune weights is described herein in the context of an overlay; Different patterning process parameters can also be used as appropriate. In this example, it is assumed that only one overlay type (eg, overlay in the X direction) is evaluated. Fine-tuning with multiple overlay types is also possible.

가중치를 미세 튜닝하기 위하여 헤시안을 사용하는 이러한 실시예에서, 오버레이 응답은, 이러한 데이터에 단일 값 분해(decomposition)를 적용함으로써 하나 이상의 셋-겟 기판으로부터 측정된 데이터로부터 추정된다. 고유벡터

Figure 112018094715975-pct00083
(길이 1 을 가짐)가 오버레이 응답에 대응한다고 가정된다. 그러면 벡터
Figure 112018094715975-pct00084
를 찾기 위해서 다음 수학식을 푼다:In this embodiment using Hessian to fine tune the weights, the overlay response is estimated from measured data from one or more set-get substrates by applying a single value decomposition to this data. Eigenvector
Figure 112018094715975-pct00083
It is assumed that (with length 1) corresponds to the overlay response. Then vector
Figure 112018094715975-pct00084
Solve the following equation to find:

Figure 112018094715975-pct00085
Figure 112018094715975-pct00085

여기에서

Figure 112018094715975-pct00086
는 오버레이 파라미터에 대한 야코비안이고, 헤시안
Figure 112018094715975-pct00087
는 열들이 프로세스 변동(예를 들어, CD, 재료 높이 등의 변동) 및 오버레이 파라미터에 대한 편도함수를 포함하는 행렬이다(야코비안 및 헤시안 양자 모두는 전술된 바와 같은 모델로부터 획득된다). 그러면, 결정된 벡터
Figure 112018094715975-pct00088
는 업데이트된(예를 들어, 더 양호한) 모델을 얻기 위해서 모델 내의 비-오버레이 파라미터에 적용될 델타 파라미터에 대응한다.From here
Figure 112018094715975-pct00086
Is the Jacobian for the overlay parameter, and Hessian
Figure 112018094715975-pct00087
Is a matrix in which the columns contain partial derivatives for process variation (eg, variation in CD, material height, etc.) and overlay parameters (both Jacobian and Hessian are obtained from the model as described above). Then, the determined vector
Figure 112018094715975-pct00088
Corresponds to the delta parameter to be applied to the non-overlay parameter in the model in order to obtain an updated (eg, better) model.

가중치가 프로세스 변동에 견실하게(즉 프로세스 변동에 직교하게) 하기 위해서, 후속하는 기법이 사용될 수 있다. 퓨필

Figure 112018094715975-pct00089
는 다음의 2차 테일러 전개식에 의해 규정될 수 있다:In order for the weights to be robust to the process variation (ie, orthogonal to the process variation), a subsequent technique can be used. Pupil
Figure 112018094715975-pct00089
Can be defined by the following quadratic Taylor expansion:

Figure 112018094715975-pct00090
Figure 112018094715975-pct00090

여기에서

Figure 112018094715975-pct00091
는 오버레이 파라미터에 대한 야코비안이고,
Figure 112018094715975-pct00092
는 열들이 프로세스 변동(예를 들어, CD, 재료 높이 등의 변동) 및 오버레이 파라미터에 대한 편도함수를 포함하는 행렬이다. 벡터
Figure 112018094715975-pct00093
는 대응하는 프로세스 변동을 포함한다. 따라서, 오버레이 값 ο를 가지는 주어진 구조체 및 주어진 프로세스 변동 인스턴스
Figure 112018094715975-pct00094
에 대하여, 퓨필은 (근사적으로)
Figure 112018094715975-pct00095
와 같아진다. 이해될 수 있는 것처럼, 위의 공식은 이러한 기여분을 함께 추가함으로써 더 많은 오버레이 파라미터로 확장될 수 있다. 더욱이, 테일러 전개식의 더 높은 차수가 무시되기 때문에, 이러한 공식은 근사화이다.From here
Figure 112018094715975-pct00091
Is the Jacobian for the overlay parameter,
Figure 112018094715975-pct00092
Is a matrix in which the columns contain partial derivatives for process variation (eg, variation in CD, material height, etc.) and overlay parameters. vector
Figure 112018094715975-pct00093
Contains the corresponding process variation. Thus, a given structure with overlay value ο and a given process variation instance
Figure 112018094715975-pct00094
Regarding, the pupil is (approximately)
Figure 112018094715975-pct00095
Becomes equal to As can be appreciated, the above formula can be extended to more overlay parameters by adding these contributions together. Moreover, this formula is an approximation because the higher order of the Taylor expansion equation is neglected.

이제, 프로세스 변동의 영향이 작다면, 가중치는 야코비안

Figure 112018094715975-pct00096
의 펜로즈-무어 역행렬을 사용하여 계산된다. 오직 하나의 오버레이 파라미터만 있는 경우에, 가중치는
Figure 112018094715975-pct00097
과 같아진다. 그리고 사실상, 퓨필과의 가중된 평균(내적)은 오버레이 값 ο(
Figure 112018094715975-pct00098
)가 되고, 즉Now, if the impact of process variation is small, the weight is Jacobian
Figure 112018094715975-pct00096
It is calculated using the Penrose-Moore inverse matrix. If there is only one overlay parameter, the weight is
Figure 112018094715975-pct00097
Becomes equal to And in fact, the weighted average (dot product) with the pupil is the overlay value ο(
Figure 112018094715975-pct00098
), that is

Figure 112018094715975-pct00099
Figure 112018094715975-pct00099

이다. 그러나, 프로세스 변동의 영향이 크면, 오버레이 응답은 다음과 같이 변한다:to be. However, if the impact of process variations is large, the overlay response changes as follows:

Figure 112018094715975-pct00100
Figure 112018094715975-pct00100

가중치가 이러한 변동에 대해 견실해지게 하려면,To make the weights robust against these fluctuations,

Figure 112018094715975-pct00101
Figure 112018094715975-pct00101

이다. 이것은 가중치

Figure 112018094715975-pct00102
를 행렬
Figure 112018094715975-pct00103
의 의사 역행렬의 제 1 행과 같게 만듦으로써 달성될 수 있다. 또는 다르게 말하면, 헤시안 행렬
Figure 112018094715975-pct00104
는 반전되기 전에 야코비안에 연쇄된다. 이러한 방식으로, 가중치는 프로세스 변동에 직교하게 된다(하지만 정밀도가 일부 희생됨).to be. This is the weight
Figure 112018094715975-pct00102
Matrix
Figure 112018094715975-pct00103
This can be achieved by making it equal to the first row of the pseudo-inverse matrix of. Or in other words, the Hessian matrix
Figure 112018094715975-pct00104
Is chained to Jacobian before being reversed. In this way, the weights are orthogonal to the process variation (but at the expense of some precision).

따라서, 튜닝(1545)으로부터의 튜닝된 가중치(1550)가, 패터닝 프로세스 파라미터 값을 유도하기 위하여 관심 타겟의 측정된 광학적 정보와 조합하는 데에 사용되기 위하여 출력된다.Thus, tuned weights 1550 from tuning 1545 are output for use in combining with the measured optical information of the target of interest to derive the patterning process parameter values.

도 16 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 다른 실시예의 고레벨 흐름을 도시한다. 이러한 실시예에서, 데이터-구동 기법에 입력되는 데이터는, 패터닝 프로세스에 대한 프로세스 변동(예를 들어 패터닝 프로세스 변동은 CD 측정으로부터 획득될 수 있음)을 포함하는 합성 광학적 정보(예를 들어, 퓨필 표현)를 포함시킴으로써 확장된다. 합성 광학적 정보는 홀로 또는 측정된 광학적 정보와 조합되어, 데이터-구동 기법을 사용하여 새로운 가중치를 찾기 위하여 사용될 수 있다.16 shows a high level flow of another embodiment of a data-driven technique combined with a physical geometric model. In this embodiment, the data input to the data-driven technique is synthetic optical information (e.g., pupil representation, including process variation (e.g., patterning process variation can be obtained from CD measurements) for the patterning process). ). Synthetic optical information can be used alone or in combination with measured optical information to find new weights using data-driven techniques.

그러므로, 일 실시예에서, 1500 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1505)이다. 일 실시예에서, 광학적 정보(1505)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1505 및 1510)이 데이터-구동 기법으로 처리되어 가중치(1515)에 도달한다.Therefore, in one embodiment, a data-driven technique (the examples are discussed above) at 1500 is performed to derive the weights as discussed above. These calculations take multiple inputs. One of the inputs is a set value 1510 of a set-get process for a target having a physical instance of the unit cell of interest. As mentioned above, multiple instances of the target can be measured across one or more substrates, one or more instances of the target having different values of intentionally set values of the patterning process parameters than one or more other instances of the target. . An additional input is the measured optical information 1505 for that instance of the target at different setting values. In one embodiment, optical information 1505 is a plurality of pupil representations, each corresponding to an instance of a target. The inputs 1505 and 1510 are then processed in a data-driven scheme to arrive at a weight 1515.

가중치(1515)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1515)가 프로세스(1520)에 입력된다. 프로세스(1520)는 유닛 셀에 대한 물리적 프로파일(1525)(프로세스(1520)가 물리적 프로파일 모델을 유도하기 위해서 사용함) 또는 유닛 셀에 대한 물리적 프로파일 모델(1525)(프로세스(1520)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.Weights 1515 are input to process 1520 to fine tune the physical geometric model using weights 1515. Process 1520 obtains a physical profile 1525 for a unit cell (process 1520 uses to derive a physical profile model) or a physical profile model 1525 for a unit cell (process 1520 uses). do. In one embodiment, the physical profile is a derived nominal profile and/or a derived nominal profile model of a unit cell, as described above.

프로세스(1520)는 가중치(1515)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1515)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, CD, 측벽 각도, 재료 높이 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다.Process 1520 uses a physical geometric model to derive weights corresponding to weights 1515. Then, those weights are compared to the weights 1515. Such comparison may involve matching sizes, statistical analysis, fitting evaluation, and the like. If significant differences exist (eg, by evaluating a comparison against a threshold), one or more parameters of the physical profile can be tuned. For example, one or more physical profile parameters (eg, CD, sidewall angle, material height, etc.) can be tuned such that the comparison result is closer or closer to a particular threshold, for example. Tuning and comparison can be repeated until the threshold is satisfied or passed.

그러므로, 일 실시예에서, 튜닝된 물리적 기하학적 모델(1530)은 1535 에서, 튜닝된 물리적 기하학적 모델의 헤시안을 계산하기 위하여 사용된다. 그러면, 합성 광학적 정보(예를 들어, 하나 이상의 퓨필 표현)를 1610 에서에서 생성하기 위하여 헤시안(1600)이 사용된다. 합성 광학적 정보는 시뮬레이션된 광학적 정보이다. 합성 광학적 정보는 패터닝 프로세스에서의 하나 이상의 기대된 프로세스 변동을 모사(mimic)하려는 의도를 갖는다. 일 실시예에서, 패터닝 프로세스에서의 하나 이상의 프로세스 변동에 관련된 데이터(1620)는 헤시안(1600)과 조합되어 사용되어 합성 광학적 정보를 유도할 수 있다. 일 실시예에서, 합성 퓨필

Figure 112018094715975-pct00105
는 위의 수학식 8 에 상이한 오버레이 값 ο와 상이한 파라미터 변동
Figure 112018094715975-pct00106
를 대입함으로써 생성될 수 있는데, 여기에서 가중치는
Figure 112018094715975-pct00107
에 대응한다. 전술된 수학식 8 이 오버레이 파라미터에 직결되지만, 이러한 기법은 그러한 기여분을 함께 추가함으로써 더 많은 오버레이 파라미터로 확장될 수 있다. 더욱이, 테일러 전개식의 더 높은 차수가 무시되기 때문에, 수학식 8 을 사용하는 기법은 근사화이다. 데이터(1620)는, 예를 들어 프로세스 변동의 종류 및 치수를 기술하는 정보(예를 들어, 오버레이, CD 등이 어떤 퍼센티지만큼 변할 수 있다는 표시)를 포함할 수 있다. 데이터(1620)는 패터닝 프로세스에서의 측정, 예를 들어 오버레이, CD 등의 측정에 의하여 획득될 수 있다. 따라서, 데이터(1620)는 기대된 프로세스 변동을 포함하는 시뮬레이션된 광학적 정보(1630)를 생성하도록, 헤시안(1600)과 함께 사용된다. 합성 광학적 정보(1630)는 합성 광학적 정보(1630)와 연관된 하나 이상의 연관된 추정된 설정 값을 더 포함할 수 있다. 그러면, 합성 광학적 정보(1630)(및 임의의 연관된 설정 값)는, 데이터-구동 기법을 사용하여 새로운 가중치를 찾기 위해서, 분석을 위하여 홀로 또는 측정된 광학적 정보와 조합되어 데이터-구동 기법(1500)에 입력된다.Therefore, in one embodiment, the tuned physical geometric model 1530 is used, at 1535, to compute the Hessian of the tuned physical geometric model. Then, Hessian 1600 is used to generate at 1610 synthetic optical information (eg, one or more pupil representations). Synthetic optical information is simulated optical information. The synthetic optical information is intended to mimic one or more expected process variations in the patterning process. In one embodiment, data 1620 relating to one or more process variations in the patterning process may be used in combination with Hessian 1600 to derive synthetic optical information. In one embodiment, synthetic pupil
Figure 112018094715975-pct00105
Is a different overlay value ο and a different parameter variation in Equation 8 above
Figure 112018094715975-pct00106
Can be created by substituting for, where the weight is
Figure 112018094715975-pct00107
Corresponds to Equation 8 described above is directly connected to the overlay parameter, but this technique can be extended to more overlay parameters by adding those contributions together. Moreover, since the higher order of the Taylor expansion equation is neglected, the technique using equation 8 is an approximation. The data 1620 may include, for example, information describing the type and dimension of process variation (eg, an indication that an overlay, CD, etc. may vary by a certain percentage). The data 1620 may be obtained by measurement in a patterning process, for example, an overlay, a CD, or the like. Accordingly, data 1620 is used in conjunction with Hessian 1600 to generate simulated optical information 1630 including expected process variations. The composite optical information 1630 may further include one or more associated estimated set values associated with the composite optical information 1630. The synthesized optical information 1630 (and any associated set values) is then combined with the measured optical information alone or for analysis to find a new weight using the data-drive technique, and the data-drive technique 1500. Is entered in

도 17 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 다른 실시예의 고레벨 흐름을 도시한다. 이러한 실시예는, 헤시안을 계산하는 대신에 합성 광학적 정보를 얻기 위해서 모든 프로세스 변동에 대해 비선형 솔버(예를 들어, 맥스웰 솔버)로 순방향 호가 이루어진다는 것을 제외하고는, 도 16 의 실시예와 유사하다.17 shows a high level flow of another embodiment of a data-driven technique combined with a physical geometric model. This embodiment is similar to the embodiment of Fig. 16, except that a forward arc is made with a nonlinear solver (e.g. Maxwell solver) for all process variations to obtain synthetic optical information instead of calculating Hessian. Do.

그러므로, 일 실시예에서, 1500 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1505)이다. 일 실시예에서, 광학적 정보(1505)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1505 및 1510)이 데이터-구동 기법으로 처리되어 가중치(1515)에 도달한다.Therefore, in one embodiment, a data-driven technique (the examples are discussed above) at 1500 is performed to derive the weights as discussed above. These calculations take multiple inputs. One of the inputs is a set value 1510 of a set-get process for a target having a physical instance of the unit cell of interest. As mentioned above, multiple instances of the target can be measured across one or more substrates, one or more instances of the target having different values of intentionally set values of the patterning process parameters than one or more other instances of the target. . An additional input is the measured optical information 1505 for that instance of the target at different setting values. In one embodiment, optical information 1505 is a plurality of pupil representations, each corresponding to an instance of a target. The inputs 1505 and 1510 are then processed in a data-driven scheme to arrive at a weight 1515.

가중치(1515)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1515)가 프로세스(1520)에 입력된다. 프로세스(1520)는 유닛 셀에 대한 물리적 프로파일(1525)(프로세스(1520)가 물리적 프로파일 모델을 유도하기 위해서 사용함) 또는 유닛 셀에 대한 물리적 프로파일 모델(1525)(프로세스(1520)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.Weights 1515 are input to process 1520 to fine tune the physical geometric model using weights 1515. Process 1520 obtains a physical profile 1525 for a unit cell (process 1520 uses to derive a physical profile model) or a physical profile model 1525 for a unit cell (process 1520 uses). do. In one embodiment, the physical profile is a derived nominal profile and/or a derived nominal profile model of a unit cell, as described above.

프로세스(1520)는 가중치(1515)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1515)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, 오버레이, CD, 측벽 각도 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다.Process 1520 uses a physical geometric model to derive weights corresponding to weights 1515. Then, those weights are compared to the weights 1515. Such comparison may involve matching sizes, statistical analysis, fitting evaluation, and the like. If significant differences exist (eg, by evaluating a comparison against a threshold), one or more parameters of the physical profile can be tuned. For example, one or more physical profile parameters (eg, overlay, CD, sidewall angle, etc.) may be tuned such that the result of the comparison is closer or closer to a particular threshold, for example. Tuning and comparison can be repeated until the threshold is satisfied or passed.

그러므로, 일 실시예에서, 튜닝된 물리적 기하학적 모델(1700)은 1720 에서, 전술된 바와 같은 합성 광학적 정보를 계산하기 위하여 사용된다. 위에서 논의된 것과 유사하게, 패터닝 프로세스에서의 하나 이상의 프로세스 변동에 관련된 데이터(1710)는 튜닝된 물리적 기하학적 모델(1700)과 조합되어 사용되어 합성 광학적 정보를 유도할 수 있다. 예를 들어, 데이터(1710)는, 프로세스 변동의 종류 및 치수를 기술하는 정보(예를 들어, 오버레이, CD 등이 어떤 퍼센티지만큼 변할 수 있다는 표시)를 포함할 수 있다. 데이터(1710)는 패터닝 프로세스에서의 측정, 예를 들어 오버레이, CD 등의 측정에 의하여 획득될 수 있다. 위에서 언급된 바와 같이, 프로세스 1720 에서의 프로세스는 프로세스 변동에 대하여 비선형 솔버(예를 들어, 맥스웰 솔버)로의 순방향 호를 사용하여 합성 광학적 정보를 얻을 수 있다. 따라서, 데이터(1710)는 기대된 프로세스 변동을 포함하는 시뮬레이션된 광학적 정보(1730)를 생성하도록, 튜닝된 물리적 기하학적 모델(1700)과 함께 사용된다. 합성 광학적 정보(1730)는 합성 광학적 정보(1730)와 연관된 하나 이상의 연관된 추정된 설정 값을 더 포함할 수 있다. 그러면, 합성 광학적 정보(1730)(및 임의의 연관된 설정 값)는, 데이터-구동 기법을 사용하여 새로운 가중치를 찾기 위해서, 분석을 위하여 홀로 또는 측정된 광학적 정보와 조합되어 데이터-구동 기법(1500)에 입력된다.Therefore, in one embodiment, the tuned physical geometric model 1700 is used at 1720 to compute the composite optical information as described above. Similar to that discussed above, data 1710 related to one or more process variations in the patterning process can be used in combination with the tuned physical geometric model 1700 to derive synthetic optical information. For example, the data 1710 may include information describing the type and dimension of process variation (eg, an indication that an overlay, CD, etc. may vary by a certain percentage). The data 1710 may be obtained by measurement in a patterning process, for example, an overlay, a CD, or the like. As mentioned above, the process in process 1720 can obtain synthetic optical information using a forward arc to a nonlinear solver (eg, Maxwell solver) for process variations. Accordingly, data 1710 is used with the tuned physical geometric model 1700 to generate simulated optical information 1730 that includes the expected process variation. The composite optical information 1730 may further include one or more associated estimated set values associated with the composite optical information 1730. The synthesized optical information 1730 (and any associated set values) is then combined with the measured optical information alone or for analysis to find a new weight using the data-drive technique, and the data-drive technique 1500. Is entered in

도 10a 내지 도 10c 에서, 본질적으로 오직 하나의 방향의 오버레이가 유닛 셀의 대칭에 변화를 야기한, 유닛 셀의 상대적으로 간단한 예가 제공되었다. 특히, 도 10a 내지 도 10c 의 유닛 셀에서, X 방향의 오버레이 변화는 유닛 셀의 대칭/비대칭에 변화가 생기게 했지만, Y 방향의 오버레이 변화는 유닛 셀의 대칭에 변화가 생기게 하지 않는다. 이것은 도 10a 내지 도 10c 의 유닛 셀이 본질적으로 오직 하나의 방향의 오버레이가 유닛 셀의 대칭에 변화를 야기한 특정한 기하학적 방식으로 구성된 두 개의 구조체(1000, 1005)를 가지는 결과이다. 물론, 이것은 구조체를 적절한 선택함으로써 이러한 방식으로 설계될 수 있다. 그러나, 본질적으로 오직 하나의 방향의 오버레이가 유닛 셀의 대칭에 변화를 야기하도록 특정한 기하학적 구조를 가지는 현존하는 구조체, 예컨대 디바이스 구조체가 식별될 수 있다. 그러므로, 본질적으로 오직 하나의 방향(X 방향이어야 하는 것은 아님)의 오버레이를 결정할 수 있게 하는 다양한 유닛 셀이 선택되거나 설계될 수 있다.In FIGS. 10A-10C, a relatively simple example of a unit cell is provided, in which essentially only one direction of overlay caused a change in the symmetry of the unit cell. In particular, in the unit cells of FIGS. 10A to 10C, an overlay change in the X direction causes a change in symmetry/asymmetry of the unit cell, but an overlay change in the Y direction does not cause a change in the symmetry of the unit cell. This is the result of the unit cell of FIGS. 10A-10C having essentially two structures 1000, 1005 constructed in a particular geometrical manner in which the overlay in only one direction caused a change in the symmetry of the unit cell. Of course, this can be designed in this way by choosing the appropriate structure. However, an existing structure, such as a device structure, can be identified having a specific geometry such that essentially only one orientation of the overlay causes a change in the symmetry of the unit cell. Therefore, a variety of unit cells can be selected or designed that essentially allow determining the overlay in only one direction (but not the X direction).

그러나, 바람직하게는, 유닛 셀의 대칭에 변화가 생기면 두 개 이상의 상이한 오버레이를 초래하도록 구성되는 유닛 셀이 식별되거나 설계될 수 있다. 일 실시예에서, 상이한 오버레이는 상이한 방향일 수 있다. 구체적으로 설명하면, 일 실시예에서, 제 1 오버레이는 X 방향일 수 있는 반면에, 제 2 오버레이는 Y 방향일 수 있다. 일 실시예에서, 상이한 오버레이는 유닛 셀의 구조체들 또는 부분들의 상이한 조합들 사이에 각각 존재할 수 있다. 일 실시예에서, 그러한 구조체는 타겟의 동일한 층 및/또는 상이한 층에 있을 수 있다. 구체적으로 설명하면, 일 실시예에서, 제 1 오버레이는 유닛 셀의 제 1 구조체와 제 2 구조체 사이에 있을 수 있고, 제 2 오버레이는 유닛 셀의 제 1 구조체(또는 제 2 구조체)와 제 3 구조체 사이 또는 유닛 셀의 제 3 구조체와 제 4 구조체 사이에 있을 수 있다. 이러한 경우에, 제 1 오버레이 및 제 2 오버레이는 동일한 방향일 수 있다. 자연적으로, 상이한 방향의 상이한 오버레이 및 유닛 셀의 구조체들의 조합으로부터의 상이한 오버레이의 조합이 있을 수 있다. 예를 들어, 제 1 오버레이는 제 1 층의 제 1 구조체 및 아래에 있는 제 2 층의 제 2 구조체에 대해서 X 방향일 수 있고, 제 2 오버레이는 제 1 층의 제 1 구조체 및 제 2 층 아래에 있는 제 3 층의 제 3 구조체에 대해서 Y 방향일 수 있다. 따라서, 오버레이의 다수의 조합은 유닛 셀(따라서 타겟)의 적절한 식별 또는 설계를 통해서 결정될 수 있다.However, preferably, a unit cell configured to cause two or more different overlays can be identified or designed if a change in the symmetry of the unit cell occurs. In one embodiment, different overlays may be in different directions. Specifically, in one embodiment, the first overlay may be in the X direction, while the second overlay may be in the Y direction. In one embodiment, different overlays may each exist between different combinations of structures or portions of a unit cell. In one embodiment, such structures may be on the same and/or different layers of the target. Specifically, in one embodiment, the first overlay may be between the first structure and the second structure of the unit cell, and the second overlay may be the first structure (or second structure) and the third structure of the unit cell. It may be between or between the third structure and the fourth structure of the unit cell. In this case, the first overlay and the second overlay may be in the same direction. Naturally, there may be different overlays in different directions and different combinations of overlays from combinations of structures of the unit cell. For example, the first overlay can be in the X direction with respect to the first structure of the first layer and the second structure of the underlying second layer, and the second overlay is below the first structure and the second layer of the first layer. It may be in the Y direction with respect to the third structure of the third layer. Thus, multiple combinations of overlays can be determined through proper identification or design of unit cells (and thus targets).

더욱이, 이해될 수 있는 것처럼, X 방향과 Y 방향의 오버레이의 결정은 적절한 조합을 통해서 총 오버레이(X 및 Y 방향)를 결정할 수 있게 할 수 있다. 이와 유사하게, 그들 사이에 오버레이가 발생할 수 있는 다수의 상이한 구조체들에 대한 총 오버레이를 결정할 수 있게 하기 위해서는, 그러한 구조체들 각각에 대한 오버레이가 결정될 필요가 있다. 그러므로, 일 예로서, 그들 사이에 오버레이가 발생할 수 있는 4 개의 층들(층들 중 하나는 레퍼런스 층임)에 4 개의 별개의 구조체를 가지는 유닛 셀의 경우, 이제 유닛 셀에 대한 총 오버레이의 결정을 가능하게 하기 위해서 6 개의 오버레이(각각의 층마다 X 및 Y)가 결정될 수 있다. 물론, 4 개의 층들 사이에서 관심 대상인 하나 이상의 상이한 오버레이에 도달하기 위해서, 필요에 따라 서브-조합이 결정될 수 있다.Moreover, as can be appreciated, the determination of the overlay in the X and Y directions can make it possible to determine the total overlay (X and Y directions) through an appropriate combination. Similarly, in order to be able to determine the total overlay for a number of different structures that may have an overlay between them, the overlay for each of those structures needs to be determined. Therefore, as an example, for a unit cell having 4 distinct structures in 4 layers (one of the layers being the reference layer) where an overlay may occur between them, it now makes it possible to determine the total overlay for the unit cell. To do this, six overlays (X and Y for each layer) can be determined. Of course, in order to reach one or more different overlays of interest between the four layers, sub-combinations can be determined as needed.

도 18 은 타겟의 다중 오버레이 유닛 셀의 예시적인 실시예를 도시한다. 도 10a 내지 도 10c 의 유닛 셀과 유사하게, 이러한 유닛 셀은 제 1 구조체(1000) 및 제 2 구조체(1005)를 포함한다. 추가적으로, 이러한 유닛 셀은, 이러한 실시예에서 Z 방향으로 제 1 및 제 2 구조체(1000, 1005) 위에 있는 층에 있는 제 3 구조체(1800)를 가진다. 이러한 실시예에서, 이러한 유닛 셀의 비대칭은 하나 이상의 상이한 오버레이에 의해서 생성될 수 있다. 예를 들어, X 방향에서 구조체(1005)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 X 방향의 오버레이를 제공할 수 있다. 다른 예로서, Y 방향에서 구조체(1005)와 구조체(1000) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 오버레이를 제공할 수 있다. 추가적인 예로서, Y 방향에서 구조체(1000)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 추가적인 오버레이를 제공할 수 있다.18 shows an exemplary embodiment of a multiple overlay unit cell of a target. Similar to the unit cell of FIGS. 10A to 10C, this unit cell includes a first structure 1000 and a second structure 1005. Additionally, this unit cell has a third structure 1800 in a layer over the first and second structures 1000 and 1005 in the Z direction in this embodiment. In this embodiment, this asymmetry of unit cells may be created by one or more different overlays. For example, a relative shift between structure 1005 and structure 1800 in the X direction may provide an overlay in the X direction that results in asymmetry. As another example, a relative shift between the structure 1005 and the structure 1000 in the Y direction may provide an overlay in the Y direction resulting in asymmetry. As an additional example, the relative shift between the structure 1000 and the structure 1800 in the Y direction may provide an additional overlay in the Y direction that results in asymmetry.

도 19 는 타겟의 다중 오버레이 유닛 셀의 추가적인 예시적인 실시예를 도시한다. 도 10a 내지 도 10c 의 유닛 셀과 유사하게, 이러한 유닛 셀은 제 1 구조체(1000) 및 제 2 구조체(1005)를 포함한다. 추가적으로, 도 18 의 유닛 셀과 유사하게, 이러한 유닛 셀은, 이러한 실시예에서 Z 방향으로 제 1 및 제 2 구조체(1000, 1005) 위에 있는 층에 있는 제 3 구조체(1800)를 가진다. 더 나아가, 이러한 유닛 셀은, 이러한 실시예에서 Z 방향으로 제 1, 제 2 및 제 3 구조체(1000, 1005, 1800) 위에 있는 층에 있는 제 4 구조체(1900) 를 가진다. 도 18 의 유닛 셀과 유사하게, 이러한 실시예에서, 이러한 유닛 셀의 비대칭은 하나 이상의 상이한 오버레이에 의해서 생성될 수 있다. 예를 들어, X 방향에서 구조체(1005)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 X 방향의 오버레이를 제공할 수 있다. 다른 예로서, X 방향에서 구조체(1005)와 구조체(1900) 사이의 상대적인 시프트는 비대칭을 초래하는 X 방향의 오버레이를 제공할 수 있다. 다른 예로서, Y 방향에서 구조체(1005)와 구조체(1000) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 오버레이를 제공할 수 있다. 추가적인 예로서, Y 방향에서 구조체(1000)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 추가적인 오버레이를 제공할 수 있다.19 shows a further exemplary embodiment of a target multiple overlay unit cell. Similar to the unit cell of FIGS. 10A to 10C, this unit cell includes a first structure 1000 and a second structure 1005. Additionally, similar to the unit cell of FIG. 18, this unit cell has a third structure 1800 in a layer over the first and second structures 1000, 1005 in the Z direction in this embodiment. Furthermore, this unit cell has a fourth structure 1900 in a layer over the first, second and third structures 1000, 1005, 1800 in the Z direction in this embodiment. Similar to the unit cell of FIG. 18, in this embodiment, the asymmetry of this unit cell may be created by one or more different overlays. For example, a relative shift between structure 1005 and structure 1800 in the X direction may provide an overlay in the X direction that results in asymmetry. As another example, the relative shift between the structure 1005 and the structure 1900 in the X direction may provide an overlay in the X direction that results in asymmetry. As another example, a relative shift between the structure 1005 and the structure 1000 in the Y direction may provide an overlay in the Y direction resulting in asymmetry. As an additional example, the relative shift between the structure 1000 and the structure 1800 in the Y direction may provide an additional overlay in the Y direction that results in asymmetry.

따라서, 일 실시예에서, 도 18 또는 도 19 의 유닛 셀의 조명된 물리적 인스턴스의 측정은, 사실상 다수의 상이한 오버레이가 존재한다면 다수의 상이한 오버레이를 잠재적으로 포함할 수 있는 광학적 정보를 제공할 것이다. 예를 들어, 도 18 을 참조하면, 도 18 의 유닛 셀의 대칭이 제로 오버레이를 나타내고, 그 오버라잉 구조체에 상대적으로 그 제로 오버레이 위치로부터 구조체(1005)의 X 및 Y 시프트(예를 들어, 0, 90, 180 또는 270 도가 아닌 방향의 시프트)가 존재한다면, 그러한 시프트는 X 방향에서의 구조체(1005)와 구조체(1800) 사이의 상대적인 시프트 및 Y 방향에서의 구조체(1005)와 구조체(1000) 사이의 상대적인 시프트에 기인하여 비대칭을 초래할 것이다. 그러므로, X 및 Y 방향에서의 구조체(1005)에 대한 양자 모두의 오버레이(그 조합은 구조체(1005)의 총 오버레이를 제공할 것임)를 결정하는 것이 바람직할 것이다.Thus, in one embodiment, measurement of the illuminated physical instance of the unit cell of FIG. 18 or 19 would in fact provide optical information that could potentially include a number of different overlays if there were a number of different overlays. For example, referring to FIG. 18, the symmetry of the unit cell of FIG. 18 represents a zero overlay, and the X and Y shifts of the structure 1005 from the zero overlay position relative to the overlying structure (e.g., 0 , A shift in a direction other than 90, 180, or 270 degrees), such a shift is a relative shift between the structures 1005 and 1800 in the X direction and the structures 1005 and 1000 in the Y direction. It will result in asymmetry due to the relative shift between. Therefore, it would be desirable to determine the overlay of both for the structure 1005 in the X and Y directions (the combination will give the total overlay of the structure 1005).

이제부터 논의되는 바와 같이, 광학 특성 값으로부터, 유닛 셀의 물리적 인스턴스에 대한 제 1 오버레이의 값을 유닛 셀의 물리적 인스턴스에 대한 것이고 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 오버레이와 별개로 결정할 수 있는 기법이 제공되는데, 제 1 오버레이는 제 2 오버레이와 다른 방향이거나(예를 들어, X 방향 오버레이 및 Y 방향 오버레이) 또는 유닛 셀 중 제 2 오버레이와 상이한 조합의 부분들 사이에 있다(예를 들어, 제 1 오버레이는 구조체(1005)와 구조체(1800) 사이에 있고 제 2 오버레이는 구조체(1005)와 구조체(1000) 사이에 있거나 구조체(1000)와 구조체(1800) 사이에 있고, 제 1 오버레이 및 제 2 오버레이는 동일한 방향일 수 있음).As will now be discussed, from the optical property value, the value of the first overlay for the physical instance of the unit cell can be determined separately from the second overlay that is for the physical instance of the unit cell and also obtainable from the same optical property value. A technique is provided, wherein the first overlay is in a different direction than the second overlay (e.g., an X-direction overlay and a Y-direction overlay) or between portions of a unit cell in a different combination than the second overlay (e.g., The first overlay is between the structure 1005 and the structure 1800 and the second overlay is between the structure 1005 and the structure 1000 or between the structure 1000 and the structure 1800, the first overlay and the first overlay 2 Overlays can be in the same orientation).

즉, 일 실시예에서, 광학 특성 값에 있는 제 1 오버레이 정보를 동일한 광학 특성 값에 있는 제 2 (또는 그 이상)의 오버레이 정보로부터 디커플링하기 위해서 가중치가 결정된다. 따라서, 일 실시예에서, 특별하게 선택된 가중치를 적용함으로써, 가중치를 광학 특성 값과 조합하면 동일한 광학 특성 값에 있는 다른 가능한 오버레이 정보로부터 구별되는 특정한 관심 오버레이가 제공될 것이다. 결과적으로, 가중치는 관심 오버레이는 강조하고 하나 이상의 다른 오버레이는 약화시킬 것이다. 물론, 상이한 관심 오버레이 각각에 대해 상이한 값을 제공하게끔 광학 특성 값들이 처리될 수 있도록, 가중치의 상이한 세트가 각각의 관심 오버레이에 대해서 구성될 수 있다.That is, in one embodiment, the weight is determined to decouple the first overlay information in the optical property value from the second (or more) overlay information in the same optical property value. Thus, in one embodiment, by applying a specially selected weight, combining the weight with an optical characteristic value will provide a specific overlay of interest that is distinct from other possible overlay information at the same optical characteristic value. As a result, the weights will highlight the overlay of interest and weaken one or more other overlays. Of course, a different set of weights can be configured for each overlay of interest so that optical property values can be processed to provide a different value for each of the different overlays of interest.

이러한 기법은 도 20 의 가중치의 상이한 세트에 대해서 설명될 것이다. 도 20 의 그래프는 이러한 기법의 그래픽 표현을 제공하지만, 실무상 그래프를 생성할 필요가 없이 모든 처리가 수학적으로 이루어질 수 있기 때문에 이러한 그래프는 구성될 필요가 없다. 더 나아가, 이러한 기법은 도 11 의 모델에 대하여 설명된다. 하지만, 본 명세서의 다른 도면에 대해서 설명되는 모델(및 연관된 다른 기법)이 사용될 수도 있다.This technique will be described for different sets of weights in FIG. 20. The graph of FIG. 20 provides a graphical representation of this technique, but in practice, such a graph does not need to be constructed since all processing can be done mathematically without the need to create a graph. Furthermore, this technique is described for the model of FIG. 11. However, models (and other associated techniques) described with respect to other figures herein may also be used.

더 나아가, 이러한 예는 모델로부터 가중치의 선형 버전을 유도하는 것에 대해서 제공된다. 즉, 일 실시예에서, 가중치는 야코비안(의 무어-펜로즈 의사 역행렬)으로부터 유도된다.Furthermore, this example is provided for deriving a linear version of the weights from the model. That is, in one embodiment, the weights are derived from Jacobian (the Moore-Penrose pseudo inverse matrix).

그러므로, 이러한 선형 케이스에서, 특정 방향의 오버레이와 같은 특정 파라미터를 재구성하기 위하여, 야코비안이 반전될 수 있다. 하지만, 관심 파라미터의 열이 잔여 열과 어떻게 상관되는지가 이러한 파라미터를 재구성하는 것이 얼마나 용이해질 것인지를 결정한다.Therefore, in this linear case, in order to reconstruct a specific parameter, such as an overlay in a specific direction, the Jacobian can be inverted. However, how the heat of the parameter of interest correlates with the residual heat determines how easy it will be to reconstruct these parameters.

그러므로, 예를 들어 관심 유닛 셀(예를 들어, 도 18 의 유닛 셀)에 대한 공칭 프로파일 모델을 가지고 있으면, 적어도 두 개의 벡터가 생성될 수 있다. 제 1 오버레이 벡터

Figure 112018094715975-pct00108
은 유닛 셀 내의 제 1 관심 오버레이(예를 들어, X-방향 오버레이)를 나타내고, 제 2 오버레이 벡터
Figure 112018094715975-pct00109
는 제 2 관심 오버레이(예를 들어, Y-방향 오버레이)를 나타낸다. 이해될 수 있는 것처럼, 추가적인 관심 오버레이에 대해서는 추가적인 벡터가 생성될 수 있다.Therefore, for example, if you have a nominal profile model for the unit cell of interest (eg, the unit cell of FIG. 18), at least two vectors can be generated. First overlay vector
Figure 112018094715975-pct00108
Represents the first overlay of interest (e.g., X-direction overlay) in the unit cell, and the second overlay vector
Figure 112018094715975-pct00109
Represents a second overlay of interest (eg, Y-direction overlay). As can be appreciated, additional vectors can be created for additional overlays of interest.

더 나아가, 두 개의 오버레이 벡터들 각각에 대해서, 유닛 셀의 물리적 인스턴스의 기대된 측정에 대응하는 퓨필 표현의 하나 이상의 픽셀이 선택된다. 이러한 실시예에서, 픽셀들의 쌍이 각각의 오버레이 벡터에 대해서 선택되는데, 픽셀의 각각의 쌍은 전술된 바와 같은 대칭적으로 위치된 픽셀을 포함한다. 바람직하게는, 픽셀의 쌍이 위에서 논의된 바와 같이 퓨필 표현의 비대칭 방사선 분포 부분으로부터 선택된다.Furthermore, for each of the two overlay vectors, one or more pixels of the pupil representation corresponding to the expected measurement of the physical instance of the unit cell are selected. In this embodiment, a pair of pixels is selected for each overlay vector, with each pair of pixels comprising a symmetrically positioned pixel as described above. Preferably, a pair of pixels is selected from the asymmetric radiation distribution portion of the pupil representation as discussed above.

이제, 제 1 오버레이 벡터

Figure 112020053512248-pct00110
은 제 1 오버레이 벡터에 대한 제 1 관심 오버레이의 변화(모든 다른 파라미터는 변하지 않게 유지되고, 즉 제 2 관심 오버레이는 변화가 없음)에 대한, 픽셀의 쌍에서의 응답(이러한 경우에, 쌍을 이루는 픽셀들 사이의 비대칭 신호)에 대응한다. 이러한 응답은 공칭 프로파일 모델을 사용하여, 제 1 관심 오버레이의 변화(예를 들어, 1 nm 변화)를 유도한 후 그러한 변화에 대한 픽셀의 쌍에서의 광학적 응답(예를 들어, 세기)을 계산함으로써 생성될 수 있다.Now, the first overlay vector
Figure 112020053512248-pct00110
Is the response in a pair of pixels (in this case, paired) to a change in the first overlay of interest to the first overlay vector (all other parameters remain unchanged, i.e. the second overlay of interest remains unchanged). Corresponds to an asymmetric signal between pixels). This response is determined by using a nominal profile model to derive a change in the first overlay of interest (e.g., 1 nm change) and then calculate the optical response (e.g., intensity) in a pair of pixels to that change. Can be created.

이와 유사하게, 제 2 오버레이 벡터

Figure 112020053512248-pct00111
는 제 2 오버레이 벡터에 대한 제 2 관심 오버레이의 변화(모든 다른 파라미터는 변하지 않게 유지되고, 즉 제 1 관심 오버레이는 변화가 없음)에 대한, 픽셀의 쌍에서의 응답(이러한 경우에, 쌍을 이루는 픽셀들 사이의 비대칭 신호)에 대응한다. 이러한 응답은 공칭 프로파일 모델을 사용하여, 제 2 관심 오버레이의 변화(예를 들어, 1 nm 변화)를 유도한 후 픽셀의 쌍에서의 광학적 응답(예를 들어, 세기)을 계산함으로써 생성될 수 있다.Similarly, the second overlay vector
Figure 112020053512248-pct00111
Is the response in a pair of pixels (in this case, paired) to a change in the second overlay of interest to the second overlay vector (all other parameters remain unchanged, i.e. the first overlay of interest remains unchanged). Corresponds to an asymmetric signal between pixels). This response can be generated by using a nominal profile model to derive a change (e.g., 1 nm change) of the second overlay of interest and then calculate the optical response (e.g., intensity) in a pair of pixels. .

결과적으로 얻어지는 벡터가 도 20 에 도시되는데, 수평 축

Figure 112018094715975-pct00112
는 제 1 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭 세기(Ii - Ii')에 대응하고, 수직 축
Figure 112018094715975-pct00113
는 제 2 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭 세기(Ii - Ii')에 대응한다. 그러므로, 도 20 은 두 개의 고도로 상관된 벡터
Figure 112018094715975-pct00114
Figure 112018094715975-pct00115
를 보여준다.The resulting vector is shown in Fig. 20, the horizontal axis
Figure 112018094715975-pct00112
Corresponds to the asymmetry intensity (Ii-Ii') between symmetrically located pixels of the first pixel pair, and the vertical axis
Figure 112018094715975-pct00113
Corresponds to the asymmetric intensity (Ii-Ii') between symmetrically located pixels of the second pixel pair. Therefore, Figure 20 is the two highly correlated vectors
Figure 112018094715975-pct00114
And
Figure 112018094715975-pct00115
Show

그러므로, 픽셀 쌍에 대한 제 1 및 제 2 관심 오버레이의 기여분을 디커플링하고 분리시키기 위하여, 벡터

Figure 112020053512248-pct00116
은 벡터
Figure 112020053512248-pct00117
에 직교하는 벡터인 벡터
Figure 112020053512248-pct00118
상에 역-투영되어 벡터
Figure 112020053512248-pct00119
를 형성하고, 투영된 벡터
Figure 112020053512248-pct00120
의 길이는 벡터
Figure 112020053512248-pct00121
Figure 112020053512248-pct00122
사이의 각도
Figure 112020053512248-pct00123
의 코사인으로 나누게 된다. 그러면 이러한 벡터는 픽셀 쌍(확장에 의하면 퓨필 표현 내의 다른 픽셀 쌍)의 세기로부터 제 1 관심 오버레이를 격리시키는 것을 돕는다.Therefore, in order to decouple and separate the contributions of the first and second overlay of interest to the pair of pixels, the vector
Figure 112020053512248-pct00116
Silver vector
Figure 112020053512248-pct00117
A vector orthogonal to
Figure 112020053512248-pct00118
Vector back-projected onto
Figure 112020053512248-pct00119
And the projected vector
Figure 112020053512248-pct00120
The length of the vector
Figure 112020053512248-pct00121
And
Figure 112020053512248-pct00122
Angle between
Figure 112020053512248-pct00123
Is divided by the cosine of This vector then helps to isolate the first overlay of interest from the intensity of the pair of pixels (the other pair of pixels in the pupil representation by extension).

추가적으로 또는 대안적으로, 벡터

Figure 112020053512248-pct00124
는 벡터
Figure 112020053512248-pct00125
에 직교하는 벡터인 벡터
Figure 112020053512248-pct00126
상에 역-투영되어 벡터
Figure 112020053512248-pct00127
를 형성하고, 투영된 벡터
Figure 112020053512248-pct00128
의 길이는 벡터
Figure 112020053512248-pct00129
Figure 112020053512248-pct00130
사이의 각도
Figure 112020053512248-pct00131
의 코사인으로 나누게 된다. 그러면 이러한 벡터는 픽셀 쌍(확장에 의하면 퓨필 표현 내의 다른 픽셀 쌍)의 세기로부터 제 2 관심 오버레이를 격리시키는 것을 돕는다.Additionally or alternatively, a vector
Figure 112020053512248-pct00124
The vector
Figure 112020053512248-pct00125
A vector orthogonal to
Figure 112020053512248-pct00126
Vector back-projected onto
Figure 112020053512248-pct00127
And the projected vector
Figure 112020053512248-pct00128
The length of the vector
Figure 112020053512248-pct00129
And
Figure 112020053512248-pct00130
Angle between
Figure 112020053512248-pct00131
Is divided by the cosine of This vector then helps to isolate the second overlay of interest from the intensity of the pair of pixels (the other pair of pixels in the pupil representation by extension).

그러므로, 다시 수학식 3 및 수학식 4 를 참조하면, Si는 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭 세기(Ii - Ii')를 나타낸다. 그러므로, 제 1 오버레이 벡터

Figure 112018094715975-pct00132
Figure 112018094715975-pct00133
의 Si를 가지는 제 1 픽셀 쌍 및
Figure 112018094715975-pct00134
의 Si를 가지는 제 2 픽셀 쌍에서의 제 1 관심 오버레이의 변화에 대한 응답에 대응할 수 있다. 이와 유사하게, 제 2 오버레이 벡터
Figure 112018094715975-pct00135
는 제 2 관심 오버레이의 변화에 대한 그러한 제 1 및 제 2 픽셀 쌍에서의 응답에 대응할 수 있다. 따라서, 벡터
Figure 112018094715975-pct00136
및/또는 벡터
Figure 112018094715975-pct00137
가 구성될 수 있다; 여기에서 양자 모두는 예시를 위해서 구성된다. 벡터
Figure 112018094715975-pct00138
및 벡터
Figure 112018094715975-pct00139
Figure 112018094715975-pct00140
에 대응하는 제 1 픽셀 쌍에 대응하는 세기
Figure 112018094715975-pct00141
에 관하여 그리고
Figure 112018094715975-pct00142
에 대응하는 제 2 픽셀 쌍에 대응하는 세기
Figure 112018094715975-pct00143
에 관하여 규정된다. 그러므로, 벡터
Figure 112018094715975-pct00144
및 벡터
Figure 112018094715975-pct00145
는 다음과 같이 특정될 수 있다:Therefore, referring again to Equations 3 and 4, Si denotes an asymmetric intensity (Ii-Ii') between symmetrically positioned pixels of a pixel pair. Therefore, the first overlay vector
Figure 112018094715975-pct00132
silver
Figure 112018094715975-pct00133
A first pair of pixels having Si of and
Figure 112018094715975-pct00134
It may correspond to a response to a change in the overlay of the first interest in the second pixel pair with Si of. Similarly, the second overlay vector
Figure 112018094715975-pct00135
May correspond to a response at such a first and second pixel pair to a change in the second overlay of interest. Thus, the vector
Figure 112018094715975-pct00136
And/or vector
Figure 112018094715975-pct00137
Can be configured; Both here are configured for illustration purposes. vector
Figure 112018094715975-pct00138
And vector
Figure 112018094715975-pct00139
Is
Figure 112018094715975-pct00140
Intensity corresponding to the first pair of pixels corresponding to
Figure 112018094715975-pct00141
About and
Figure 112018094715975-pct00142
Intensity corresponding to the second pair of pixels corresponding to
Figure 112018094715975-pct00143
Is defined in relation to. Therefore, the vector
Figure 112018094715975-pct00144
And vector
Figure 112018094715975-pct00145
Can be specified as follows:

Figure 112018094715975-pct00146
Figure 112018094715975-pct00146

Figure 112018094715975-pct00147
Figure 112018094715975-pct00147

그러므로, 이제 전술된 선형 콘텍스트에서 그리고 수학식 4 를 참조하면, 이제 제 1 관심 오버레이의 오버레이 값이

Figure 112018094715975-pct00148
,
Figure 112018094715975-pct00149
, 및 벡터
Figure 112018094715975-pct00150
Figure 112018094715975-pct00151
에 기초하여 다음과 같이 규정될 수 있다:Therefore, now in the linear context described above and referring to Equation 4, the overlay value of the first overlay of interest is now
Figure 112018094715975-pct00148
,
Figure 112018094715975-pct00149
, And vector
Figure 112018094715975-pct00150
And
Figure 112018094715975-pct00151
On the basis of, it can be defined as:

Figure 112018094715975-pct00152
Figure 112018094715975-pct00152

추가적으로 또는 대안적으로, 이제 제 2 관심 오버레이의 오버레이 값이

Figure 112018094715975-pct00153
,
Figure 112018094715975-pct00154
및 벡터
Figure 112018094715975-pct00155
Figure 112018094715975-pct00156
에 기초하여 다음과 같이 규정될 수 있다Additionally or alternatively, the overlay value of the second overlay of interest is now
Figure 112018094715975-pct00153
,
Figure 112018094715975-pct00154
And vector
Figure 112018094715975-pct00155
And
Figure 112018094715975-pct00156
Can be defined as follows on the basis of

Figure 112018094715975-pct00157
Figure 112018094715975-pct00157

그러므로, 수학식 14 로부터, 제 1 관심 오버레이를 결정하기 위한 가중치는

Figure 112018094715975-pct00158
Figure 112018094715975-pct00159
각각에 대하여, 다음과 같다:Therefore, from Equation 14, the weight for determining the first interest overlay is
Figure 112018094715975-pct00158
And
Figure 112018094715975-pct00159
For each, it is as follows:

Figure 112018094715975-pct00160
,
Figure 112018094715975-pct00161
Figure 112018094715975-pct00160
,
Figure 112018094715975-pct00161

더 나아가, 수학식 15 로부터, 제 2 관심 오버레이를 결정하기 위한 가중치는

Figure 112018094715975-pct00162
Figure 112018094715975-pct00163
각각에 대하여 다음과 같다:Furthermore, from Equation 15, the weight for determining the second interest overlay is
Figure 112018094715975-pct00162
And
Figure 112018094715975-pct00163
For each:

Figure 112018094715975-pct00164
Figure 112018094715975-pct00164

Figure 112018094715975-pct00165
Figure 112018094715975-pct00165

그러므로, 이해될 수 있는 것처럼, 제 1 관심 오버레이에 대한 가중치

Figure 112018094715975-pct00166
의 세트(
Figure 112018094715975-pct00167
)에 도달하고 및/또는 제 2 관심 오버레이에 대한 가중치
Figure 112018094715975-pct00168
의 세트(
Figure 112018094715975-pct00169
)에 도달하기 위하여, 이것은 퓨필 표현 내의 픽셀 쌍의 전부, 또는 실질적으로 전부에 대해서 반복될 수 있다. 그러면, 이들 중 하나 또는 양자 모두가 수학식 4 에 따라서 측정된 광학 특성 값에 적용되어, 각각의 관심 오버레이에 대한 오버레이 값에 도달할 수 있다. 물론, 하나 이상의 추가적 관심 오버레이가 평가될 수 있고 하나 이상의 적절한 가중치 세트가 그들로부터 결정된다. 이해될 수 있는 것처럼, 일 실시예에서, 상이한 관심 오버레이 모두에 대한 감도(예를 들어, 야코비안)는 특정 관심 오버레이에 대한 가중치 정의에 포함된다.Therefore, as can be understood, the weight for the first interest overlay
Figure 112018094715975-pct00166
Set of (
Figure 112018094715975-pct00167
) And/or weights for the second overlay of interest
Figure 112018094715975-pct00168
Set of (
Figure 112018094715975-pct00169
), this can be repeated for all, or substantially all, of the pair of pixels in the pupil representation. Then, one or both of these can be applied to the measured optical property values according to Equation 4, to reach the overlay values for each overlay of interest. Of course, one or more additional interest overlays can be evaluated and one or more appropriate sets of weights are determined from them. As can be appreciated, in one embodiment, the sensitivity (eg, Jacobian) for all different overlays of interest is included in the weight definition for a particular overlay of interest.

그러므로, 예를 들어 X 및 Y 방향에서의 층들 시프트에 시프트가 생기면 대칭에 변화를 야기할 수 있는(예를 들어, 비대칭이 생기게 하거나 비대칭이 심해지게 하거나, 비대칭 유닛 셀이 대칭적이 되게 함) 4 개의 층을 가지는 유닛 셀에 대하여(층들 중 하나는 레퍼런스 층임), 이제 6 개의 벡터가 생성될 수 있고(각각은 상이한 픽셀 쌍과 연관됨), 6 개의 벡터는 층들 각각에 대한 X-방향 오버레이 벡터 및 층들 각각에 대한 Y-방향 오버레이 벡터를 포함한다. 따라서, 각각의 오버레이를 유도하기 위해서 가중치의 6 개의 세트가 존재할 수 있다. 물론, 벡터들 중 하나가 관심 대상이 아니면, 가중치 세트들 모두를 유도해야 하는 것은 아니다(하지만 일 실시예에서, 상이한 관심 오버레이 모두에 대한 감도(예를 들어, 야코비안)가 특정 관심 오버레이에 대한 가중치 정의에 포함됨). 그러면, 임의의 다른 오버레이는 이러한 오버레이들 중 두 개 이상의 적절한 수학적 조합에 의해 결정될 수 있다.Therefore, for example, if there is a shift in the layer shift in the X and Y directions, it can cause a change in symmetry (e.g., asymmetry occurs or asymmetry becomes severe, or the asymmetric unit cell becomes symmetric) 4 For a unit cell with 3 layers (one of the layers is a reference layer), now 6 vectors can be created (each associated with a different pair of pixels), and 6 vectors are the X-direction overlay vectors for each of the layers. And a Y-direction overlay vector for each of the layers. Thus, there can be six sets of weights to derive each overlay. Of course, if one of the vectors is not of interest, it is not necessary to derive all of the weight sets (but in one embodiment, the sensitivity (e.g. Jacobian) for all of the different overlays of interest) is Included in the weight definition). Then, any other overlay can be determined by a suitable mathematical combination of two or more of these overlays.

이해될 수 있는 것처럼, 유닛 셀 내의 한 층의 특정 시프트는 대칭에 변화를 유발하지 않을 것이고, 따라서 그러한 시프트에 대응하는 오버레이는 유닛 셀로부터 결정될 수 없다. 그러므로, 이러한 시프트에 대해서는 어떠한 벡터도 규정되지 않을 것이라는 것이 명백하다. 그러므로, 도 18 을 일 예로서 참고하면, 세 개의 벡터들이 해당 유닛 셀에 대해서 규정될 수 있다 - 하나는 X-방향 오버레이에 대한 것이고 두 개는 상이한 Y-방향 오버레이에 관한 것이다. 그러므로, 측정된 광학 특성 값과 조합될 경우 X-방향의 오버레이를 제공할 가중치의 하나의 세트가 결정될 수 있다. 또는, 측정된 광학 특성 값과 조합될 경우 Y-방향의 오버레이 중 하나를 제공할 가중치의 하나의 세트가 결정될 수 있고, 및/또는 측정된 광학 특성 값과 조합될 경우 Y-방향의 오버레이 중 다른 것을 제공할 가중치의 하나의 세트가 결정될 수 있다. 물론, 가중치들의 세 개의 세트 모두 또는 두 개만이 결정될 수도 있다.As can be appreciated, a particular shift of a layer within a unit cell will not cause a change in symmetry, so the overlay corresponding to that shift cannot be determined from the unit cell. Therefore, it is clear that no vector will be specified for this shift. Therefore, referring to FIG. 18 as an example, three vectors can be defined for a corresponding unit cell-one for an X-direction overlay and two for a different Y-direction overlay. Therefore, one set of weights can be determined that will provide an overlay in the X-direction when combined with the measured optical characteristic values. Alternatively, one set of weights that will provide one of the Y-direction overlays when combined with the measured optical property values can be determined, and/or the other of the Y-direction overlays when combined with the measured optical property values One set of weights can be determined to provide them. Of course, all three sets of weights or only two may be determined.

전술된 논의는 디바이스의 구조체들로 이루어진 대칭적 유닛 셀의 하나 이상의 인스턴스에 의해 형성된 타겟에 중점을 두었다. 이러한 타겟은, 온-제품 타겟에 의해 재지향된 방사선의 온-제품 측정을 통하여, 패터닝 프로세스 파라미터의 온-제품 값이 결정되게 할 수 있다. 그러나, 전술된 바와 같이, 타겟은 디바이스 구조체들로만 이루어질 필요는 없다. 다르게 말하면, 그 구조체가 디바이스 구조체를 포함하지 않는 비-제품 타겟이 제공될 수 있다. 예를 들어, 일 실시예에서, 타겟은 디바이스를 형성하기 위해 사용되지 않고 오히려 측정만을 위해서 사용되는 구조체로 특별하게 생성될 수 있다. 이러한 타겟은, 예를 들어 디바이스로부터 떨어져 있는 스크라이브 레인 내에 제공될 수 있다(따라서 디바이스 패턴으로부터 떨어진 디바이스 패터닝 패턴의 일부에 제공됨). 일 실시예에서, 타겟은 디바이스 패턴들 사이에 제공될 수 있다(따라서 패터닝 디바이스 패턴의 디바이스 패턴의 피쳐들 사이에 제공됨). 적절한 경우, 비-제품 타겟은 하나 이상의 디바이스 구조체 및 디바이스를 형성하기 위해 사용되지 않고 오히려 측정만을 위해 사용되는 하나 이상의 특별하게 생성된 구조체를 포함할 수 있다.The above discussion has focused on targets formed by one or more instances of a symmetrical unit cell made up of the structures of the device. Such a target may cause the on-product value of the patterning process parameter to be determined through an on-product measurement of radiation redirected by the on-product target. However, as described above, the target need not consist solely of device structures. In other words, a non-product target may be provided whose structure does not include a device structure. For example, in one embodiment, the target may be specially created with a structure that is not used to form the device, but rather is used only for measurement. Such a target may be provided, for example, in a scribe lane away from the device (and thus to a portion of the device patterning pattern away from the device pattern). In one embodiment, a target may be provided between device patterns (and thus provided between features of the device pattern of the patterning device pattern). Where appropriate, a non-product target may include one or more device structures and one or more specially created structures that are not used to form the device, but rather are used only for measurement.

비-제품 타겟은, 예를 들어 패터닝 프로세스 파라미터가 대칭적 유닛 셀 인스턴스를 제공할 수 없는 디바이스 패턴에 대해서 결정되고 있다면 유용할 수 있다. 다른 예로서, 비-제품 타겟은, 예를 들어 패터닝 프로세스 파라미터가 해당 패터닝 프로세스 파라미터의 측정치를 제공할 수 있는 전술된 바와 같은 대칭적 유닛 셀을 가지지 않는 디바이스 패턴의 일부에 대해서 결정되고 있는 경우에, 유용할 수 있다. 예를 들어, 에칭 후의 오버레이에 대한 구조체가, 전술된 대칭적 유닛 셀 방법을 사용하여 결정되는 것이 소망되지만 대칭을 가지지 않는 경우가 있을 수 있다. 예를 들어, 논리 회로 또는 구조체는, 구조체의 대칭을 깰 수 있는 상이한 오버레이 성분을 각각 도입할 수 있는 많은 프로세스 층/스텝들을 가진다. 예를 들어 논리 회로의 경우에, 디바이스 패턴에 대한 측정은 통상적으로 논리 회로 구조체의 대칭적 유닛 셀이 부족하기 때문에 수행될 수 없다.Non-product targets may be useful, for example, if the patterning process parameters are being determined for device patterns that cannot provide symmetric unit cell instances. As another example, a non-product target is being determined for a portion of a device pattern that does not have a symmetrical unit cell as described above, e.g., the patterning process parameter can provide a measure of that patterning process parameter. , Can be useful. For example, there may be cases where it is desired that the structure for the overlay after etching is determined using the symmetric unit cell method described above, but does not have symmetry. For example, a logic circuit or structure has many process layers/steps that can each introduce a different overlay component that can break the symmetry of the structure. In the case of a logic circuit, for example, a measurement on the device pattern cannot be performed due to the lack of symmetric unit cells of the logic circuit structure in general.

추가적인 예로서, 대칭적 유닛 셀 인스턴스를 제공할 수 있는 디바이스 패턴과 연관되어 비-제품 타겟이 사용될 수 있다(유닛 셀이 모든 관심 대상인 패터닝 프로세스 파라미터의 측정치를 제공할 수 있는 경우에도). 예를 들어, 이것은 디바이스 패턴이 복잡한 경우일 수 있는데, 그러면 긴 계산 시간이 필요할 수 있다. 더 나아가, 디바이스 패턴은 관심 대상이 아닌 패터닝 프로세스 파라미터의 신호와의 잠재적인 크로스-토크를 제공할 수 있다. 일 예로서, 상이한 오버레이 성분의 퓨필 상관이 너무 커서 상이한 오버레이 오차들을 분리하는 것이 불가능할 수 있다.As a further example, non-product targets can be used in association with a device pattern that can provide a symmetric unit cell instance (even if the unit cell can provide a measure of all of the patterning process parameters of interest). For example, this may be the case where the device pattern is complex, which may require a long computation time. Furthermore, the device pattern can provide potential cross-talk with signals of patterning process parameters that are not of interest. As an example, the pupil correlation of different overlay components may be so great that it may be impossible to separate different overlay errors.

따라서, 비-제품 타겟은 빔 스폿에 대해 대칭적 유닛 셀의 인스턴스를 가지는 디바이스 패턴 또는 빔 스폿에 대해 대칭적 유닛의 인스턴스를 제공할 수 없는 디바이스 패턴과 함께 사용될 수 있다.Thus, a non-product target may be used with a device pattern that has instances of unit cells symmetric with respect to the beam spot or device patterns that cannot provide instances of symmetric units with respect to the beam spot.

그러므로, 일 실시예에서, 비-제품 타겟은, 특정 타입의 관심 패터닝 프로세스 파라미터(예를 들어, 오버레이)가 비-제품 타겟의 특정 타입의 (퓨필) 대칭을 깨뜨리도록 설계될 수 있다; 이것은 전술된 기법과 유사하다. 그리고, 위에서 논의된 바와 유사하게 오버레이가 논의의 초점일 것이지만, 오버레이와 다른 하나 이상의 패터닝 프로세스 파라미터가 결정될 수도 있다.Thus, in one embodiment, a non-product target may be designed such that a particular type of patterning process parameter of interest (eg, an overlay) breaks the (pupil) symmetry of a particular type of a non-product target; This is similar to the technique described above. And, similarly as discussed above, the overlay will be the focus of the discussion, but one or more patterning process parameters other than the overlay may be determined.

물론, 비-제품 타겟이 패터닝 프로세스 파라미터의 측정치를 제공하려면, 비-제품 타겟은 관심 패터닝 프로세스 파라미터에 대한 주된 기여자인 것으로 간주되는 그러한 프로세스 스텝들을 따라갈 것이다. 따라서, 위에서 논의된 바와 같이, 예를 들어 별개의 패터닝 프로세스에서 생성된 두 개의 구조체들 사이의 오버레이가 관심 대상이라면, 비-제품 타겟은 별개의 패터닝 프로세스 각각에서 그리고 바람직하게는 동일하거나 비견되는 프로세스에서 생성된 구조체를 포함한다.Of course, in order for a non-product target to provide a measure of the patterning process parameter, the non-product target will follow those process steps that are considered to be the primary contributor to the patterning process parameter of interest. Thus, as discussed above, if, for example, an overlay between two structures created in a separate patterning process is of interest, then the non-product target is in each of the separate patterning processes and preferably the same or comparable process. Contains the structure created in.

더 나아가, 어떤 타입의 기하학적 대칭(예를 들어, Y-대칭)이 깨지면 퓨필 도메인에서의 동일한 타입의 대칭이 깨지게 된다. 그러므로, 비-제품 타겟은, 대응하는 특정 패터닝 프로세스 파라미터 값이 대칭을 깨뜨리도록, 특정 타입의 기하학적 대칭에 대해서 설계될 수 있다. 예를 들어, Y-대칭은 X-오버레이에 의해 깨진다. 더 나아가, 두 개 이상의 방향에 대칭이 있는 경우, 상이한 타입의 패터닝 프로세스 파라미터(예를 들어, X에서의 오버레이와 Y에서의 오버레이와 같은 상이한 오버레이 타입)가 상이한 타입의 대칭을 깨뜨리도록 설계된 타겟을 사용하면, 유도된 비대칭을 모니터링하여(관련된 타입의 대칭에 따라서) 한 번에 하나의 패터닝 프로세스 파라미터(예를 들어, 오버레이)를 결정할 수 있게 된다.Furthermore, breaking any type of geometric symmetry (eg, Y-symmetric) breaks the same type of symmetry in the pupil domain. Therefore, non-product targets can be designed for a specific type of geometric symmetry, such that the corresponding specific patterning process parameter value breaks the symmetry. For example, the Y-symmetric is broken by the X-overlay. Furthermore, if there is symmetry in two or more directions, different types of patterning process parameters (e.g., different types of overlays, such as overlays on X and overlays on Y) are designed to break different types of symmetry. When used, the induced asymmetry can be monitored (depending on the type of symmetry involved) to determine one patterning process parameter (eg overlay) at a time.

비-제품 타겟은 하나 이상의 장점을 가질 수 있다. 예를 들어, 비-제품 타겟 디자인은 온-제품 타겟으로부터의 방사선의 측정을 사용하는 것과 비교할 때 감소되거나 최소화된 퓨필 상관을 가질 수 있고, 그 결과로서, 관심 패터닝 프로세스 파라미터가 측정된 방사선으로부터 더 쉽게 결정된다. 일 실시예에서, 비-제품 타겟 디자인은 동일한 패터닝 프로세스 파라미터의 상이한 타입들 사이 또는 패터닝 프로세스 파라미터의 상이한 종류들 사이의 크로스-토크를 감소시키거나 최소화할 수 있다. 따라서, 더 깨끗한 신호가 얻어질 수 있다. 비-제품 타겟 디자인은, 빔 스폿에 대한 대칭적 유닛 셀의 인스턴스를 가지지 않는 디바이스 패턴에 대한 패터닝 프로세스 파라미터를 측정하는 장점을 가질 수 있다. 따라서, 비-제품 타겟 디자인은 본 명세서에서 설명된 측정 및 결정 기법들이 디바이스 패턴이 유용한 대칭적 유닛 셀의 인스턴스들을 가지지 않을 수 있는 논리 회로 및/또는 진보된 메모리와 같은 응용예로 확장될 수 있게 한다. 비-제품 타겟 디자인은 상대적으로 단순화된 구조체를 가질 수 있고, 그 결과, 예를 들어 본 명세서에서 설명된 바와 같은 모델링이 쉬워질 수 있다. 그러면 단일 타겟으로부터 두 개 이상의 패터닝 프로세스 파라미터 타입을 더 쉽게 분리하고 결정할 수 있다. 더 나아가, 비-제품 타겟 디자인은, 단일 패터닝 프로세스 파라미터 타입만을 결정하거나 패터닝 프로세스 파라미터 타입들의 특정한 조합만을 결정하도록 특별하게 구성될 수 있다.Non-product targets can have one or more advantages. For example, a non-product target design may have a reduced or minimized pupil correlation when compared to using a measurement of radiation from an on-product target, as a result of which the patterning process parameter of interest is further reduced from the measured radiation. Easily determined. In one embodiment, the non-product target design may reduce or minimize cross-talk between different types of the same patterning process parameter or between different types of patterning process parameters. Thus, a cleaner signal can be obtained. Non-product target designs may have the advantage of measuring patterning process parameters for device patterns that do not have instances of symmetric unit cells with respect to the beam spot. Thus, non-product target design allows the measurement and determination techniques described herein to be extended to applications such as advanced memory and/or logic circuits where the device pattern may not have useful symmetrical unit cell instances. do. Non-product target designs can have a relatively simplified structure, as a result of which modeling can be facilitated, for example as described herein. This makes it easier to separate and determine two or more patterning process parameter types from a single target. Furthermore, the non-product target design can be specifically configured to determine only a single patterning process parameter type or only a specific combination of patterning process parameter types.

하지만, 비-제품 타겟 디자인을 너무 단순화하면 패터닝 프로세스 파라미터(예를 들어 오버레이)에 대한 중요한 기여자가 사라질 수도 있다. 이러한 위험을 완화하려면, 비-제품 타겟 디자인은 디바이스 제품 패턴과 실질적으로 동일한 프로세스 단계를 취해야 한다. 더 나아가, 관심 패터닝 프로세스 파라미터에 대한 메인 기여자가 인식됨으로써, 이들이 비-제품 타겟 디자인 및/또는 및 연관된 모델로 구분될 수 있어야 한다.However, oversimplification of non-product target designs may eliminate significant contributors to patterning process parameters (eg overlays). To mitigate this risk, non-product target designs should take substantially the same process steps as device product patterns. Furthermore, the main contributors to the patterning process parameters of interest should be recognized so that they can be differentiated into non-product target designs and/or and associated models.

그러므로, 온-제품 타겟 디자인과 유사하게, 비-제품 타겟 디자인의 일 실시예가 기하학적 대칭을 가지는 구조체를 포함하는 유닛 셀에 관하여 규정된다. 일 실시예에서, 대칭은 제 1 방향(예를 들어, X-방향), 제 2 의 직교하는 방향(예를 들어, Y-방향), 또는 양자 모두에 있을 수 있다. 일 실시예에서, 유닛 셀은 유닛 셀 내의 구조체의 물리적 구성에 변화가 생기면 대칭이 깨지도록 생성되는데, 이렇게 대칭이 깨지면 전술된 바와 같이 관심 패터닝 프로세스 파라미터의 값을 결정하기 위해 처리될 수 있는 특정 방사선 분포를 유발한다. 따라서, 실질적으로 계측 타겟으로서의 유닛 셀은, 일 실시예에서 관심 패터닝 프로세스 파라미터를 결정하기 위한 신호를 제공하기 위하여 사용되는 구조체의 최소 영역을 보유한다.Therefore, similar to the on-product target design, one embodiment of a non-product target design is defined with respect to a unit cell comprising a structure having geometric symmetry. In one embodiment, the symmetry may be in a first direction (eg, X-direction), a second orthogonal direction (eg, Y-direction), or both. In one embodiment, the unit cell is created so that the symmetry is broken if there is a change in the physical composition of the structure within the unit cell, which can cause specific radiation that can be processed to determine the value of the patterning process parameter of interest as described above. Causes distribution. Thus, a unit cell substantially as a metrology target, in one embodiment, retains the smallest area of the structure used to provide a signal for determining the patterning process parameter of interest.

일 실시예에서, 비-제품 타겟 디자인은 적어도 두 번의 패터닝 프로세스(예를 들어, 동일한 타입의 패터닝 프로세스의 적어도 두 번의 실행, 상이한 타입의 패터닝 프로세스의 적어도 두 번의 실행 등)에서 생성된 구조체를 포함한다. 일 실시예에서, 복수 개의 패터닝 프로세스 실행의 결과 관심 패터닝 프로세스 파라미터가 결정되는 상이한 층에 있는 구조체가 생기면, 비-제품 타겟 디자인 유닛 셀은 복수 개의 관심 층들 각각으로부터 하나의 구조체를 포함한다. 일 실시예에서, 패터닝 프로세스 실행의 결과 관심 패터닝 프로세스 파라미터가 결정되는 동일한 층에 있는 구조체가 생기면, 비-제품 타겟 디자인 유닛 셀은 적용가능한 상이한 관심 패터닝 프로세스 실행들 각각으로부터 하나의 구조체를 포함한다. 일 실시예에서, 제 1 패터닝 프로세스에 의해 생성된 제 1 구조체 및/또는 제 2 패터닝 프로세스에 의해 생성된 제 2 구조체는 디바이스 패턴의 기능성 양태를 생성하기 위하여 사용되지 않는다.In one embodiment, the non-product target design comprises structures created in at least two patterning processes (e.g., at least two executions of the same type of patterning process, at least two executions of different types of patterning processes, etc.) do. In one embodiment, if the execution of a plurality of patterning processes results in structures in different layers for which the patterning process parameter of interest is determined, the non-product target design unit cell comprises a structure from each of the plurality of layers of interest. In one embodiment, if the patterning process execution results in a structure in the same layer for which the patterning process parameter of interest is determined, the non-product target design unit cell includes one structure from each of the different applicable patterning process executions of interest. In one embodiment, the first structure created by the first patterning process and/or the second structure created by the second patterning process are not used to create the functional aspect of the device pattern.

그러므로, 일 실시예에서 그리고 유닛 셀에 대하여, 복수 개의 패터닝 프로세스들로부터 생긴 구조체들은 유닛 셀의 하나의 인스턴스를 함께 형성하고 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가지는데, 유닛 셀은 공칭 물리적 구성과 다른 상이한 물리적 구성에서, 예를 들어 제 1 패터닝 프로세스, 제 2 패터닝 프로세스 및/또는 다른 패터닝 프로세스에서의 패턴 배치의 상대적인 시프트 때문에 유닛 셀에 비대칭을 야기하는 피쳐를 가진다. 이러한 피쳐의 일 예는, 하나의 층에 있는 구조체의 다른 층에 있는 구조체에 대한 오프셋에 응답하여 유닛 셀에 비대칭을 야기하는 피쳐이다.Therefore, in one embodiment and for a unit cell, structures resulting from multiple patterning processes together form one instance of a unit cell and the unit cell has geometric symmetry in its nominal physical configuration, where the unit cell has a nominal physical configuration. In a different physical configuration than have features that cause asymmetry in the unit cell due to, for example, a relative shift in pattern placement in the first patterning process, the second patterning process and/or other patterning processes. One example of such a feature is a feature that causes asymmetry in a unit cell in response to an offset of a structure in one layer to a structure in another layer.

일 실시예에서, 비-제품 타겟 디자인은 유닛 셀의 반복을 포함한다. 즉, 일 실시예에서, 비-제품 타겟의 물리적 인스턴스 상의 빔 스폿은 해당 빔 스폿을 채우는 유닛 셀의 복수 개의 인스턴스를 조명할 것이다. 일 실시예에서, 비-제품 타겟 디자인은 유닛 셀의 적어도 4 개의 인스턴스, 적어도 8 개의 인스턴스, 적어도 10 개의 인스턴스, 적어도 20 개의 인스턴스, 적어도 40 개의 인스턴스, 적어도 80 개의 인스턴스, 적어도 100 개의 인스턴스, 적어도 200 개의 인스턴스, 적어도 400 개의 인스턴스, 또는 적어도 1000 개의 인스턴스를 포함한다.In one embodiment, the non-product target design includes repetition of unit cells. That is, in one embodiment, a beam spot on a physical instance of a non-product target will illuminate a plurality of instances of unit cells that fill that beam spot. In one embodiment, the non-product target design is at least 4 instances, at least 8 instances, at least 10 instances, at least 20 instances, at least 40 instances, at least 80 instances, at least 100 instances, at least It includes 200 instances, at least 400 instances, or at least 1000 instances.

일 실시예에서, 기판 상에 생성된 비-제품 타겟은 작은 크기를 가진다. 예를 들어, 비-제품 타겟은 100 평방 마이크론 이하, 50 평방 마이크론 이하, 또는 25 평방 마이크론 이하의 면적을 가질 수 있다. 일 실시예에서, 비-제품 타겟은 10 마이크론 이하 또는 5 마이크론 이하의 횡단(cross-wise) 치수를 가진다. 일 실시예에서, 비-제품 타겟에 대한 빔 스폿은 타겟의 최대 횡단 치수보다 작은 횡단 치수를 가진다. 일 실시예에서, 비-제품 타겟에 대한 빔 스폿은 10 마이크론 이하, 5 마이크론 이하 또는 2 마이크론 이하의 횡단 치수를 가진다. 일 실시예에서, 비-제품 타겟에 대한 빔 스폿은 100 평방 마이크론 이하, 50 평방 마이크론 이하, 또는 25 평방 마이크론 이하의 단면적을 가진다. 일 실시예에서, 비-제품 타겟의 유닛 셀은 250,000 평방 나노미터이하, 150,000 평방 나노미터이하, 100,000 평방 나노미터이하, 또는 50,000 평방 나노미터이하의 면적을 가진다. 일 실시예에서, 비-제품 타겟의 유닛 셀은 500 나노미터 이하, 300 나노미터 이하, 200 나노미터 이하, 또는 150 나노미터 이하의 횡단 치수를 가진다. 일 실시예에서, 비-제품 타겟의 유닛 셀은 비-제품 타겟과 연관된 디바이스 패턴의 유닛 셀보다 작은 크기를 가진다.In one embodiment, the non-product target created on the substrate has a small size. For example, a non-product target may have an area of 100 square microns or less, 50 square microns or less, or 25 square microns or less. In one embodiment, the non-product target has a cross-wise dimension of 10 microns or less or 5 microns or less. In one embodiment, the beam spot for a non-product target has a transverse dimension that is less than the maximum transverse dimension of the target. In one embodiment, the beam spot for the non-product target has a transverse dimension of 10 microns or less, 5 microns or less, or 2 microns or less. In one embodiment, the beam spot for the non-product target has a cross-sectional area of 100 square microns or less, 50 square microns or less, or 25 square microns or less. In one embodiment, the unit cell of the non-product target has an area of 250,000 square nanometers or less, 150,000 square nanometers or less, 100,000 square nanometers or less, or 50,000 square nanometers or less. In one embodiment, the unit cell of the non-product target has a transverse dimension of 500 nanometers or less, 300 nanometers or less, 200 nanometers or less, or 150 nanometers or less. In one embodiment, the unit cell of the non-product target has a smaller size than the unit cell of the device pattern associated with the non-product target.

일 실시예에서, 유닛 셀은, 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐(예를 들어, 구조체, 보이드 등) 및 제 2 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐(예를 들어, 구조체, 보이드 등)에 대응하는 피쳐(예를 들어, 구조체, 보이드 등)를 포함한다. 예를 들어, 유닛 셀의 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성되고, 유닛 셀의 다른 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성된다. 일 실시예에서, 유닛 셀 내에 생성된 하나 이상의 피쳐는, 패터닝 프로세스 파라미터를 결정하기 위해서 유닛 셀 피쳐가 사용되고 있는 디바이스 내의 피쳐의 중요한 프로세스 단계를 공유한다. 일 실시예에서, 각각의 대응하는 패터닝 프로세스에 의해 생성된 유닛 셀의 피쳐는, 예를 들어 유닛 셀의 피쳐(예를 들어, 선)에 본질적으로 평행한 방향에서 연장되거나 기다란 디바이스의 하나 이상의 피쳐(예를 들어, 구조체 예컨대 선)에 대응한다. 그러므로, 예를 들어, Y-방향으로 연장되는 구조체를 포함하는 유닛 셀은 Y-방향에서 연장되는 디바이스 내의 대응하는 구조체의 오버레이를 결정하기 위하여 사용될 수 있다.In one embodiment, the unit cell is a feature of a device manufactured using a first patterning process (e.g., a structure, voids, etc.) and a feature of a device manufactured using a second patterning process (e.g., a structure , Voids, etc.) corresponding features (eg, structures, voids, etc.). For example, the structure of the unit cell is created by a first patterning process that creates a corresponding device feature of the device, and another structure of the unit cell is created by a second patterning process that creates a corresponding device feature of the device. . In one embodiment, one or more features created within a unit cell share important process steps of the feature within the device in which the unit cell feature is being used to determine the patterning process parameter. In one embodiment, a feature of the unit cell created by each corresponding patterning process is one or more features of the device extending or elongated in a direction essentially parallel to, for example, a feature (e.g., line) of the unit cell. Corresponds to (for example, a structure such as a line). Thus, for example, a unit cell comprising a structure extending in the Y-direction can be used to determine the overlay of the corresponding structure in the device extending in the Y-direction.

일 실시예에서, 후술되는 예들에서 더 설명되는 바와 같이, 유닛 셀은 동일한 패터닝 프로세스 파라미터(예를 들어, 오버레이)의 여러 상이한 타입을 결정할 수 있게 한다. 예를 들어, 유닛 셀은 오버레이의 2 개 이상의 타입, 오버레이의 3 개 이상의 타입 등의 결정이 가능하게 한다. 예를 들어, 상이한 방향(예를 들어, X 및 Y)에서의 오버레이의 타입 외에, 유닛 셀은 피쳐들의 상이한 조합들 사이 및/또는 층들의 상이한 조합들 사이의 오버레이가 결정되게 할 수 있다.In one embodiment, as further described in the examples described below, the unit cell makes it possible to determine several different types of the same patterning process parameter (eg, overlay). For example, a unit cell enables determination of two or more types of overlays, three or more types of overlays, and so on. For example, in addition to the type of overlay in different directions (eg, X and Y), the unit cell may allow overlays between different combinations of features and/or between different combinations of layers to be determined.

일 실시예에서, 유닛 셀은 디바이스의 대응하는 피쳐와 비견되는 치수(예를 들어, 폭 및/또는 피치)를 가지는 피쳐를 가진다. 비견되는 치수란 동일하거나 디바이스 피쳐 치수로부터 ±5% 내(즉, 디바이스 피쳐 치수의 95% 내지 105%), 디바이스 피쳐 치수로부터 ±10% 내, 디바이스 피쳐 치수로부터 ±15% 내, 디바이스 피쳐 치수로부터 ±20% 내, 디바이스 피쳐 치수로부터 ±25% 내를 의미한다. 일 실시예에서, 하나 이상의 유닛 셀 피쳐의 치수는 측정 신호를 개선하도록 그리고 따라서 디바이스 패턴의 피쳐의 대응하는 치수와 매칭되지 않도록 선택될 수 있다. 이것은, 예를 들어 타겟 피쳐의 치수의 변화에 대한 신호 출력의 감도를 평가함으로써 이루어질 수 있고, 따라서 치수는 특정 상황에서 신호를 최대화하거나 임계를 만족하거나 임계를 지나는 신호를 제공하도록 선택될 수 있다.In one embodiment, the unit cell has features with dimensions (eg, width and/or pitch) comparable to a corresponding feature of the device. Comparable dimensions are the same or within ±5% from the device feature dimension (i.e., 95% to 105% of the device feature dimension), within ±10% from the device feature dimension, within ±15% from the device feature dimension, and from the device feature dimension. It means within ±20% and within ±25% from the device feature dimensions. In one embodiment, the dimensions of one or more unit cell features may be selected to improve the measurement signal and thus not match the corresponding dimensions of the features of the device pattern. This can be done, for example, by evaluating the sensitivity of the signal output to changes in the dimensions of the target feature, so the dimensions can be chosen to maximize the signal in a particular situation, or to provide a signal that meets or crosses a threshold.

일 실시예에서, 비-제품 타겟은 온 제품 타겟과 공동으로 사용될 수 있다. 예를 들어, 오버레이는 비-제품 타겟을 사용하여 결정될 수 있고, 그 결과는 온-제품 타겟을 사용한 오버레이를 결정하도록 피드포워드될 수 있다. In one embodiment, a non-product target may be used in conjunction with an on-product target. For example, the overlay can be determined using a non-product target, and the result can be fed forward to determine the overlay using an on-product target.

도 21 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위해서 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 21a 에서, 유닛 셀(2000)의 일 예가 도시된다. 유닛 셀(2000)은 제 1 패터닝 프로세스에서 생성된 구조체(2010)(이러한 경우에는 복수 개의 선(2010)) 및 제 2 패터닝 프로세스에서 생성된 구조체(2020)(이러한 경우에는 제 2 복수 개의 선(2020))을 포함한다. 앵커(2030)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2000)은 Y 방향에서 대칭을 가진다. 도 21a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Referring to FIG. 21, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weights and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 21A, an example of a unit cell 2000 is shown. The unit cell 2000 includes a structure 2010 generated in a first patterning process (a plurality of lines 2010 in this case) and a structure 2020 generated in a second patterning process (in this case, a second plurality of lines ( 2020)). The anchor 2030 is marked to show the symmetry of the unit cell. In this case, the unit cell 2000 has symmetry in the Y direction. FIG. 21A shows a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

일 실시예에서, 구조체(2010)는 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2010)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 구조체(2010)의 생성은 디바이스 내의 구조체의 비견되는 생성에 대응한다. 이와 유사하게, 일 실시예에서, 구조체(2020)는 제 2 패터닝 프로세스에 의해 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2020)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 구조체(2020)의 생성은 디바이스 내의 구조체의 비견되는 생성에 대응한다. 그러므로, 일 실시예에서, 구조체(2010)는, 예를 들어 구조체(2010)의 피쳐(예를 들어, 선)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 선과 같은 구조체)에 대응한다. 이와 유사하게, 구조체(2020)는, 예를 들어 구조체(2020)의 피쳐(예를 들어, 선)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 선과 같은 구조체)에 대응한다. 일 실시예에서, 구조체(2010)는 구조체(2020)와는 다른 층에 생성된다. 그러므로, 일 실시예에서, Y-방향에서 연장되는 구조체(2010 및 2020)는 Y-방향에서 연장되는 디바이스의 대응하는 구조체의 오버레이를 결정하기 위하여 사용될 수 있다.In one embodiment, structure 2010 corresponds to a feature of a device fabricated using a first patterning process. In other words, the structure 2010 will be created by a first patterning process that creates a corresponding device feature of the device. For example, the creation of structure 2010 corresponds to a comparable creation of the structure within the device. Similarly, in one embodiment, structure 2020 corresponds to a feature of a device fabricated by a second patterning process. In other words, structure 2020 will be created by a second patterning process that creates a corresponding device feature of the device. For example, the creation of structure 2020 corresponds to a comparable creation of a structure in the device. Thus, in one embodiment, the structure 2010 is, for example, one or more features of the device (e.g., lines) extending in a direction essentially parallel to the features (e.g., lines) of the structure 2010. Structure). Similarly, structure 2020 may be applied to one or more features (e.g., a structure such as a line) of the device extending in a direction essentially parallel to, for example, a feature (e.g., a line) of structure 2020. Corresponds. In one embodiment, structure 2010 is created on a different layer than structure 2020. Therefore, in one embodiment, structures 2010 and 2020 extending in the Y-direction may be used to determine the overlay of the corresponding structure of the device extending in the Y-direction.

위에서 언급된 바와 같이, 일 실시예에서, 구조체(2010 및 2020)는 디바이스의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 예를 들어, 구조체(2010)는 제 1 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 이와 유사하게, 예를 들어 구조체(2020)는 제 2 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐에 비견되는 폭 및/또는 피치를 가진다.As mentioned above, in one embodiment, structures 2010 and 2020 have a width and/or pitch comparable to features of the device. For example, structure 2010 has a width and/or pitch comparable to features of a corresponding device structure created in a first patterning process. Similarly, for example structure 2020 has a width and/or pitch comparable to a feature of a corresponding device structure created in the second patterning process.

유닛 셀(2000)에서, 유닛 셀(2000) 내의 구조체의 상이한 물리적 구성에 대한 대칭을 깨뜨릴 피쳐는 구조체(2010)와 구조체(2020) 사이의 물리적 차분이다. 일 실시예에서, 이러한 차분은 도 21a 에 개략적으로 도시되는 바와 같이 X-방향의 구조체(2010 및 2020)의 폭들의 차이이다. 일 실시예에서, 이러한 차분은 구조체(2010 및 2020)의 재료 조성의 차이이고, 예를 들어 구조체(2010)는 구조체(2020)와는 다른 재료로 제조된다. 일 실시예에서, 물리적 차분의 조합, 예를 들어 폭 및 물리적 조성에서의 차분이 존재할 수 있다.In unit cell 2000, the feature that will break the symmetry of the different physical configurations of structures within unit cell 2000 is the physical difference between structure 2010 and structure 2020. In one embodiment, this difference is the difference in widths of structures 2010 and 2020 in the X-direction as schematically shown in FIG. 21A. In one embodiment, this difference is a difference in the material composition of structures 2010 and 2020, for example structure 2010 is made of a different material than structure 2020. In one embodiment, there may be a combination of physical differences, for example differences in width and physical composition.

유닛 셀(2000)의 경우에 존재하는 물리적 차분의 결과는, 구조체들(2010 및 2020) 사이에 X-Y 평면에서 X-방향으로 상대적인 시프트(2040)가 생기면 유닛 셀(2000) 내에 비대칭이 야기된다는 것이다. 이것이 도 21b 에 도시된다. 도 21b 에서, 구조체(2010)는 제 2 패터닝 프로세스에서 생성되면 도 21a 에 도시되는 구조체(2010)의 자신의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2030)로부터의 변위(2050)가 생긴다. 따라서, 유닛 셀(2000)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2050)는 바람직하게는 전술된 바와 같이 유닛 셀(2000)을 포함하는 타겟에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.The result of the physical difference that exists in the case of the unit cell 2000 is that a relative shift 2040 in the X-direction in the XY plane between the structures 2010 and 2020 causes asymmetry in the unit cell 2000. . This is shown in Fig. 21B. In FIG. 21B, the structure 2010 is shifted from its nominal (eg, design) position of the structure 2010 shown in FIG. 21A once it is created in the second patterning process. As a result, a displacement 2050 from the anchor 2030 occurs. Thus, assuming that the unit cell 2000 corresponds to a situation where no overlay exists, the displacement 2050 preferably processes radiation redirected by the target including the unit cell 2000 as described above (e.g. For example, weight and pupil distribution).

유닛 셀(2000)이 Y 축에 대해서 비대칭을 나타내기 때문에, X-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 구조체들(2010 및 2020) 사이의 물리적 차분)와 조합되면, 그로부터 X-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다. 이제, 물론, 유닛 셀(2000)은 앵커(2030) 중심으로 실질적으로 90 도 회전되어 구조체들(2010 및 2020) 사이의 Y-방향에서의 상대적인 시프트에 대한 Y-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다. 일 실시예에서, 그러한 경우에, 구조체(2010 및 2020)에 대응하는 디바이스 피쳐는 X-방향으로 연장될 것이다.Since the unit cell 2000 exhibits asymmetry with respect to the Y axis, if the translation in the X-direction is combined with the feature that causes the asymmetry (here the physical difference between the structures 2010 and 2020), then X- A radiation distribution is provided from which the overlay value can be determined. In one embodiment, such an X-overlay value will correspond to an X-overlay of a feature of the device manufactured using each patterning process. Now, of course, the unit cell 2000 can be rotated substantially 90 degrees about the anchor 2030 to provide a Y-overlay value for the relative shift in the Y-direction between the structures 2010 and 2020. In one embodiment, such a Y-overlay value will correspond to the Y-overlay of a feature of the device fabricated using each patterning process. In one embodiment, in such a case, the device features corresponding to structures 2010 and 2020 will extend in the X-direction.

그러므로, 일 실시예에서, 유닛 셀의 구조체(2010 및 2020)는 동일한 방향에서 연장되는 디바이스의 각각의 피쳐에 대응한다. 결과적으로, 유닛 셀(2000)의 구조체는 디바이스의 피쳐의 연장 / 신장(elongation)의 방향에 직교하는 방향에서의 오버레이의 값을 제공할 것이다. 따라서, 그에 대한 직교 방향에서의 오버레이가 관심 대상인, 동일한 방향에서 연장되는 디바이스 피쳐를 식별함으로써, 유닛 셀(2000)은, 구조체(2010 및 2020)를 적절하게 선택하고 디바이스 피쳐가 생성될 때 구조체들이 생성되게 함으로써 이러한 오버레이를 모사하도록 설계될 수 있다.Therefore, in one embodiment, the structures 2010 and 2020 of the unit cell correspond to respective features of the device extending in the same direction. As a result, the structure of the unit cell 2000 will provide the value of the overlay in a direction orthogonal to the direction of extension/elongation of the features of the device. Thus, by identifying a device feature extending in the same direction for which the overlay in the orthogonal direction thereto is of interest, the unit cell 2000 properly selects the structures 2010 and 2020 and the structures are It can be designed to mimic this overlay by allowing it to be created.

도 21 에서, 유닛 셀(2000)은 구조체(예를 들어, 선) 자체가 형성되는 사이의 오버레이를 주로 결정하도록 설계되었다. 일부 패터닝 프로세스에서, 구조체를 가지는 기판으로 특정 패턴이 전사되어, 해당 패턴에 관련하여 에칭이 수행되는 경우에 구조체의 일부가 제거되게 한다. 이러한 프로세스 및 그 결과는 본 명세서에서 절삭부(cut)라고 불릴 것이다. 예를 들어, 디바이스 구조체(예를 들어, 선)는 복수 개의 조각으로 절단될 수 있고 및/또는 디바이스 구조체의 단부는 절삭되어 없어질 수 있다. 이해될 수 있는 것처럼, 절삭부가 정확하게 제조되었는지 여부를 아는 것이 소망될 수 있다. 따라서, 절삭부들 사이의 오버레이 및/또는 절삭부와 구조체 사이의 오버레이를 아는 것이 소망될 수 있다.In Fig. 21, the unit cell 2000 is designed to primarily determine the overlay between the structures (eg, lines) themselves being formed. In some patterning processes, a specific pattern is transferred to a substrate having a structure, causing a portion of the structure to be removed when etching is performed in relation to the pattern. This process and its results will be referred to herein as cuts. For example, a device structure (eg, a line) may be cut into a plurality of pieces and/or an end of the device structure may be cut away. As can be appreciated, it may be desirable to know whether the cuts have been manufactured correctly. Thus, it may be desirable to know the overlay between the cuts and/or the overlay between the cuts and the structure.

더욱이, 도 21 의 유닛 셀은 디바이스의 피쳐의 연장 / 신장의 방향에 직교하는 방향에서의 오버레이의 값을 결정할 수 있게 한다. 하지만, 디바이스의 피쳐의 연장 / 신장의 방향과 평행한 방향의 오버레이를 결정하는 것이 소망될 수 있다.Moreover, the unit cell of FIG. 21 makes it possible to determine the value of the overlay in a direction orthogonal to the direction of extension/elongation of a feature of the device. However, it may be desirable to determine the overlay in a direction parallel to the direction of extension/elongation of the features of the device.

이제 도 22 를 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위해서 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 22a 에서, 유닛 셀(2100)의 일 예가 도시된다. 유닛 셀(2100)은 구조체(2110)(이러한 경우에는 복수 개의 선(2110)) 및 구조체(2120)(이러한 경우에는 제 2 복수 개의 선(2120))을 포함한다. 더 상세히 후술되는 바와 같이, 이러한 실시예에서 이러한 비-제품 타겟 디자인으로부터 X 및 Y에서의 오버레이가 결정될 수 있다.Referring now to FIG. 22, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weight and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 22A, an example of a unit cell 2100 is shown. The unit cell 2100 includes a structure 2110 (a plurality of lines 2110 in this case) and a structure 2120 (a second plurality of lines 2120 in this case). As described in more detail below, overlays in X and Y can be determined from this non-product target design in this embodiment.

이러한 실시예에서, 유닛 셀(2100)은 도 21 의 유닛 셀(2000)의 피쳐를 가지고, 따라서, 구조체(2110)가 제 1 패터닝 프로세스에서 생성되고 구조체(2120)가 제 2 패터닝 프로세스에서 생성되며 구조체들(2110 및 2120) 사이에 물리적 차분이 존재한다면, 전술된 바와 같이 X 방향 오버레이가 결정되게 할 수 있다. 그러나, 예를 들어 X 방향 오버레이가 소망되지 않는다면, 구조체(2110 및 2120)는 동일한 패터닝 프로세스에서 생성될 수 있고 및/또는 구조체(2110 및 2120)는 동일한 물리적 특성을 가질 수 있으며, 즉, 물리적 차분을 가지지 않는다. 하지만, X 방향 오버레이가 소망되지 않는다고 해도, 구조체(2110 및 2120)는 상이한 물리적 특성을 가져서 더 양호한 측정 신호를 제공할 수 있다.In this embodiment, the unit cell 2100 has the features of the unit cell 2000 of FIG. 21, so that the structure 2110 is created in the first patterning process and the structure 2120 is created in the second patterning process. If there is a physical difference between the structures 2110 and 2120, the X-direction overlay may be determined as described above. However, for example, if an X-direction overlay is not desired, the structures 2110 and 2120 may be created in the same patterning process and/or the structures 2110 and 2120 may have the same physical properties, i.e., the physical difference. Does not have However, even if an X-direction overlay is not desired, structures 2110 and 2120 may have different physical properties to provide better measurement signals.

그러므로, X 및 Y에서의 오버레이를 결정할 수 있게 하는 이러한 실시예에서, 유닛 셀(2100)은 제 1 패터닝 프로세스에서 생성된 구조체(2110) 및 제 2 패터닝 프로세스에서 생성된 구조체(2120)를 포함한다. 앵커(2130)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2100)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 22a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Therefore, in this embodiment, which allows determining the overlay at X and Y, the unit cell 2100 includes a structure 2110 created in a first patterning process and a structure 2120 created in a second patterning process. . The anchor 2130 is marked to show the symmetry of the unit cell. In this case, the unit cell 2100 has symmetry in the Y direction and symmetry in the X direction. 22A shows a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

일 실시예에서, 구조체(2110)는 전술된 바와 같이 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응하고, 구조체(2120)는 제 2 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 그리고, 유닛 셀(2100)에서, 유닛 셀(2100) 내의 구조체의 상이한 물리적 구성에 대한 대칭을 깨뜨릴 피쳐는 구조체(2110)와 구조체(2120) 사이의 물리적 차분이다. 일 실시예에서, 이러한 차분은 도 22a 에 개략적으로 도시되는 바와 같이 X-방향의 구조체(2110 및 2120)의 폭들의 차이이다. 일 실시예에서, 이러한 차분은 구조체(2110 및 2120)의 재료 조성의 차이이고, 예를 들어 구조체(2110)는 구조체(2120)와는 다른 재료로 제조된다.In one embodiment, structure 2110 corresponds to a feature of a device manufactured using a first patterning process as described above, and structure 2120 corresponds to a feature of a device manufactured using a second patterning process. . And, in the unit cell 2100, the feature that will break the symmetry for the different physical configurations of the structures in the unit cell 2100 is the physical difference between the structure 2110 and the structure 2120. In one embodiment, this difference is the difference in the widths of structures 2110 and 2120 in the X-direction as schematically shown in FIG. 22A. In one embodiment, this difference is a difference in the material composition of structures 2110 and 2120, for example, structure 2110 is made of a different material than structure 2120.

위에서 논의된 바와 같이, 유닛 셀(2100)의 경우에 존재하는 물리적 차분의 결과는, 구조체들(2110 및 2120) 사이에 X-Y 평면에서 X-방향으로 상대적인 시프트(2180)가 생기면 유닛 셀(2100) 내에 비대칭이 야기된다는 것이다. 이것이 도 22c 에 도시된다. 도 22c 에서, 구조체(2110)는 제 2 패터닝 프로세스에서 생성되면 도 22a 에 도시되는 구조체(2110)의 자신의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2130)로부터의 변위(2190)가 생긴다. 따라서, 유닛 셀(2100)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2190)는 바람직하게는 전술된 바와 같이 유닛 셀(2100)을 포함하는 타겟에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.As discussed above, the result of the physical difference present in the case of the unit cell 2100 is that a relative shift 2180 in the X-direction in the XY plane between the structures 2110 and 2120 results in the unit cell 2100 It is that asymmetry is caused within. This is shown in Fig. 22C. In FIG. 22C, the structure 2110 is shifted from its nominal (eg, design) position of the structure 2110 shown in FIG. 22A once it is created in the second patterning process. The result is a displacement 2190 from the anchor 2130. Thus, assuming that the unit cell 2100 corresponds to a situation in which no overlay exists, the displacement 2190 preferably processes radiation redirected by the target comprising the unit cell 2100 as described above (e.g. For example, weights and pupil distribution).

유닛 셀(2100)이 Y 축에 대해서 비대칭을 나타내기 때문에, X-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 구조체들(2110 및 2120) 사이의 물리적 차분)와 조합되면, 그로부터 X-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다. 이제, 물론, 유닛 셀(2100)은 앵커(2130) 중심으로 실질적으로 90 도 회전되어 구조체들(2110 및 2120) 사이의 Y-방향에서의 상대적인 시프트에 대한 Y-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다. 일 실시예에서, 그러한 경우에, 구조체(2110 및 2120)에 대응하는 디바이스 피쳐는 X-방향으로 연장될 것이다.Since the unit cell 2100 exhibits an asymmetry with respect to the Y axis, if the translation in the X-direction is combined with the feature that causes the asymmetry (here the physical difference between the structures 2110 and 2120), the X- A radiation distribution is provided from which the overlay value can be determined. In one embodiment, such an X-overlay value will correspond to an X-overlay of a feature of the device manufactured using each patterning process. Now, of course, unit cell 2100 can be rotated substantially 90 degrees about anchor 2130 to provide a Y-overlay value for the relative shift in the Y-direction between structures 2110 and 2120. In one embodiment, such a Y-overlay value will correspond to the Y-overlay of a feature of the device fabricated using each patterning process. In one embodiment, in such a case, device features corresponding to structures 2110 and 2120 will extend in the X-direction.

이제, 유닛 셀(2100)은 Y 방향에서의 오버레이의 결정도 가능하게 한다. 비-제품 타겟의 유닛 셀 내의 구조체가 어떻게 디바이스 내의 피쳐에 대응할 수 있는지와 유사하게, 비-제품 타겟 디자인 내의 절삭부는 디바이스 내의 피쳐(예를 들어, 절삭부)에 대응할 수 있다.Now, the unit cell 2100 also enables determination of the overlay in the Y direction. Similar to how a structure in a unit cell of a non-product target can correspond to a feature in a device, a cut in a non-product target design can correspond to a feature (eg, a cut) in the device.

도 22a 를 참조하면, 유닛 셀(2100)은 제 1 패터닝 프로세스에서 생성된 절삭부(2150) 및 제 2 패터닝 프로세스에서 생성된 절삭부(2140)를 포함한다. 절삭부(2150 및 2140)는 공칭 물리적 구성 내의 유닛 셀에 대칭을 유지하도록 배치된다.Referring to FIG. 22A, the unit cell 2100 includes a cutting portion 2150 generated in a first patterning process and a cutting portion 2140 generated in a second patterning process. The cutouts 2150 and 2140 are arranged to remain symmetric to the unit cells within their nominal physical configuration.

일 실시예에서, 절삭부(2150)는 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 즉, 절삭부(2150)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 절삭부(2150)의 생성은 디바이스 내의 절삭부의 비견되는 생성에 대응한다. 이와 유사하게, 일 실시예에서, 절삭부(2140)는 제 2 패터닝 프로세스에 의해 제조된 디바이스의 피쳐에 대응한다. 즉, 절삭부(2140)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 절삭부(2140)의 생성은 디바이스 내의 절삭부의 비견되는 생성에 대응한다. 그러므로, 일 실시예에서, 절삭부(2150)는, 예를 들어 절삭부(2150)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 하나 이상의 절삭부)에 대응한다. 이와 유사하게, 일 실시예에서, 절삭부(2140)는, 예를 들어 절삭부(2140)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 하나 이상의 절삭부)에 대응한다. 일 실시예에서, 절삭부(2150)는 절삭부(2140)와는 다른 층에 생성된다. 그러므로, 일 실시예에서, 절삭부(2150 및 2140)는 Y-방향의 디바이스 내의 대응하는 절삭부의 오버레이를 결정하기 위하여 사용될 수 있다.In one embodiment, the cutout 2150 corresponds to a feature of the device fabricated using the first patterning process. That is, the cutout 2150 will be created by a first patterning process that creates a corresponding device feature of the device. For example, the creation of cutout 2150 corresponds to a comparable production of cutouts in the device. Similarly, in one embodiment, the cutout 2140 corresponds to a feature of the device manufactured by the second patterning process. That is, the cutout 2140 will be created by a second patterning process that creates a corresponding device feature of the device. For example, the creation of a cutout 2140 corresponds to a comparable production of a cutout in the device. Thus, in one embodiment, the cutout 2150 corresponds to one or more features (eg, one or more cutouts) of the device extending in a direction essentially parallel to, for example, the cutout 2150. Similarly, in one embodiment, the cutout 2140 corresponds to one or more features of the device (e.g., one or more cutouts) extending in a direction essentially parallel to the cutout 2140, for example. do. In one embodiment, the cutting portion 2150 is created on a different layer than the cutting portion 2140. Therefore, in one embodiment, cutouts 2150 and 2140 may be used to determine the overlay of corresponding cutouts in the device in the Y-direction.

일 실시예에서, 절삭부(2150 및 2140)는 디바이스의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 예를 들어, 절삭부(2150)는 제 1 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐(예를 들어 하나 이상의 절삭부)에 비견되는 폭 및/또는 피치를 가진다. 이와 유사하게, 예를 들어 절삭부(2140)는 제 2 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐(예를 들어 하나 이상의 절삭부)에 비견되는 폭 및/또는 피치를 가진다.In one embodiment, cutouts 2150 and 2140 have a width and/or pitch comparable to features of the device. For example, the cutout 2150 has a width and/or a pitch comparable to a feature (eg, one or more cutouts) of a corresponding device structure created in the first patterning process. Similarly, for example cutouts 2140 have a width and/or pitch comparable to a feature (eg, one or more cutouts) of a corresponding device structure created in the second patterning process.

유닛 셀(2100)에서, 유닛 셀(2100) 내의 구조체들의 상이한 물리적 구성에 대하여 대칭이 깨지게 할 피쳐는, 절삭부들(2150 및 2140) 사이의 상대적인 시프트 시에 비대칭을 생성할 절삭부(2150 및 2140)의 배치구성이다. 일 실시예에서, 절삭부(2140)는 각각의 구조체(2120) 내에 생성되는 반면에, 절삭부(2150)는 각각의 구조체(2110) 내에 생성되지 않는다. 이해될 수 있는 것처럼, 절삭부(2150)는 각각의 구조체(2110) 내에 생성될 수 있는 반면에, 절삭부(2140)는 각각의 구조체(2120) 내에 생성되지 않는다. 이해될 수 있는 것처럼, 절삭부의 상이한 위치 및/또는 절삭부의 상이한 크기를 포함하는 많은 상이한 변동이 절삭부와 관련하여 가능하다.In the unit cell 2100, the features that will cause the symmetry to break with respect to the different physical configurations of the structures in the unit cell 2100 are the cutouts 2150 and 2140 that will create asymmetry upon a relative shift between the cutouts 2150 and 2140. ). In one embodiment, cutouts 2140 are created within each structure 2120, while cutouts 2150 are not created within each structure 2110. As can be appreciated, cutouts 2150 may be created within each structure 2110, while cutouts 2140 are not created within each structure 2120. As can be appreciated, many different variations are possible with respect to the cut, including different positions of the cut and/or different sizes of the cut.

절삭부(2150 및 2140)의 이러한 배치구성의 결과는, 절삭부(2150 및 2140) 사이에 X-Y 평면에서 Y-방향으로 상대적인 시프트(2160)가 생기면 유닛 셀(2100) 내에 비대칭이 야기된다는 것이다. 이것이 도 22b 에 도시된다. 도 22b 에서, 절삭부(2150)는 제 1 패터닝 프로세스에서 생성되면 도 22a 에 도시되는 그의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2130)로부터의 변위(2170)가 생긴다. 따라서, 유닛 셀(2100)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2170)는 바람직하게는 전술된 바와 같이 유닛 셀(2100)을 포함하는 타겟에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.The result of this arrangement of the cutting portions 2150 and 2140 is that a relative shift 2160 in the Y-direction in the X-Y plane between the cutting portions 2150 and 2140 causes asymmetry in the unit cell 2100. This is shown in Fig. 22B. In Fig. 22B, the cutout 2150 is shifted from its nominal (e.g., design) position shown in Fig. 22A when created in the first patterning process. The result is a displacement 2170 from the anchor 2130. Thus, assuming that the unit cell 2100 corresponds to a situation where no overlay exists, the displacement 2170 preferably processes radiation redirected by the target comprising the unit cell 2100 as described above (e.g. For example, weight and pupil distribution).

유닛 셀(2100)이 X 축에 대해서 비대칭을 나타내기 때문에, Y-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 절삭부(2140 및 2150)의 배치구성)와 조합되면, 그로부터 Y-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다. 이제, 물론, 유닛 셀(2100)은 앵커(2130) 중심으로 실질적으로 90 도 회전되어 절삭부들(2140 및 2150) 사이의 X-방향에서의 상대적인 시프트에 대한 X-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐(예를 들어 절삭부)의 X-오버레이에 대응할 것이다. 일 실시예에서, 그러한 경우에, 절삭부(2140 및 2150)에 대응하는 디바이스 피쳐(예를 들어 절삭부)는 X-방향으로 연장될 것이다.Since the unit cell 2100 exhibits asymmetry with respect to the X axis, when the translation in the Y-direction is combined with a feature that causes the asymmetry (here, the arrangement of the cutouts 2140 and 2150), the Y-overlay from it The radiation distribution from which the value can be determined is provided. In one embodiment, such a Y-overlay value will correspond to the Y-overlay of a feature of the device fabricated using each patterning process. Now, of course, the unit cell 2100 can be rotated substantially 90 degrees about the anchor 2130 to provide an X-overlay value for the relative shift in the X-direction between the cuts 2140 and 2150. In one embodiment, such an X-overlay value will correspond to an X-overlay of a feature (eg, cut) of a device manufactured using each patterning process. In one embodiment, in such a case, device features (eg, cutouts) corresponding to cutouts 2140 and 2150 will extend in the X-direction.

그러므로, 일 실시예에서, 유닛 셀의 절삭부(2140 및 2150)는 동일한 방향에서 연장되는 디바이스의 각각의 피쳐에 대응한다. 결과적으로, 유닛 셀(2100)의 구조체는 디바이스의 피쳐의 연장 / 신장의 방향에 평행한 방향에서의 오버레이의 값을 제공할 것이다. 따라서, 그에 대한 평행 방향에서의 오버레이가 관심 대상인, 동일한 방향에서 연장되는 디바이스 피쳐를 식별함으로써, 유닛 셀(2100)은, 절삭부(2140 및 2150)를 적절하게 선택하고 디바이스 피쳐가 생성될 때 구조체들이 생성되게 함으로써 이러한 오버레이를 모사하도록 설계될 수 있다.Therefore, in one embodiment, the cutouts 2140 and 2150 of the unit cell correspond to respective features of the device extending in the same direction. As a result, the structure of the unit cell 2100 will provide the value of the overlay in a direction parallel to the direction of extension/elongation of a feature of the device. Thus, by identifying a device feature extending in the same direction, for which the overlay in a direction parallel to it is of interest, the unit cell 2100 properly selects the cutouts 2140 and 2150, and when the device feature is created, the structure Can be designed to mimic this overlay by having them created.

위에서 언급된 바와 같이, 일 실시예에서, 절삭부(2140 및 2150)는 디바이스 피쳐 내에 절삭부가 제조되는 것과 유사한 방식으로 구조체(2110 및 2120) 내에 생성될 수 있다. 따라서, 절삭부(2140 및 2150)는 디바이스 구조체를 생성할 때 생긴 절삭부의 오버레이의 양호한 측정치를 제공할 수 있다. 하지만, 일 실시예에서, 절삭부(2140 및 2150)는 그 대신에 구조체(2110 및 2120)가 생성될 때에 생긴 보이드일 수 있고, 디바이스의 구조체를 생성하기 위한 대응하는 패터닝 프로세스의 일부로서 생성될 수 있다. 따라서, 이러한 경우에 보이드(2140 및 2150)는 디바이스를 제조할 때에 생긴 구조체의 오버레이의 양호한 측정치를 제공할 수 있다.As mentioned above, in one embodiment, cuts 2140 and 2150 may be created within structures 2110 and 2120 in a manner similar to that cuts are manufactured within device features. Thus, the cutouts 2140 and 2150 can provide a good measure of the cutout overlay created when creating the device structure. However, in one embodiment, cutouts 2140 and 2150 may instead be voids created when structures 2110 and 2120 are created, and will be created as part of the corresponding patterning process to create the structure of the device. I can. Thus, voids 2140 and 2150 in this case can provide a good measure of the overlay of the structure created when manufacturing the device.

그리고, 도 22 가 오버레이의 결정을 가능하게 하는 절삭부 / 보이드를 도시하지만, 구조체(2110 및 2120)는 하나 이상의 돌출부 또는 변형물, 예를 들어 도시된 절삭부의 위치에 있는 돌출부를 가질 수 있다. 그러므로, 이러한 돌출부 또는 변형물들 사이의 상대적인 변위는, 절삭부(2140 및 2150)와 같이 유닛 셀 내에 비대칭을 야기할 수 있다. 돌출부 또는 변형물은 구조체(2110 및 2120)가 생성될 때 생성되거나 절삭 프로세스에 의해 생성될 수 있다. 따라서, 돌출부 또는 변형물은, 예를 들어 디바이스 구조체들 사이(예를 들어, 구조체(2110 및 2120)가 생성될 때에 생긴 돌출부 또는 변형물의 경우) 또는 디바이스 절삭부들 사이(예를 들어, 구조체(2110 및 2120)를 절삭함으로써 생긴 돌출부 또는 변형물의 경우)의 오버레이의 결정을 가능하게 하기 위하여 사용될 수 있다.And, although FIG. 22 shows cuts/voids that allow determination of the overlay, structures 2110 and 2120 may have one or more protrusions or variations, for example protrusions at the location of the cuts shown. Hence, the relative displacement between these protrusions or deformations can cause asymmetry in the unit cell, such as cutouts 2140 and 2150. The protrusions or deformations may be created when structures 2110 and 2120 are created or may be created by a cutting process. Thus, protrusions or deformations may be, for example, between device structures (e.g., in the case of protrusions or deformations that occurred when structures 2110 and 2120 were created) or between device cuts (e.g., structures 2110 And in the case of protrusions or deformations resulting from cutting 2120).

도 22d 는 유닛 셀의 복수 개의 인스턴스를 포함하는 비-제품 타겟을 개략적으로 도시한다. 이러한 비한정적인 예에서, 도 22d 는 유닛 셀의 적어도 4 인스턴스를 포함한다. 도 22d 는 대칭 형태인 유닛 셀의 인스턴스를 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다. 일 실시예에서, 구조체(2110)의 피치(2192)는 구조체(2120)의 피치(2194)와 비견된다.22D schematically shows a non-product target comprising a plurality of instances of a unit cell. In this non-limiting example, FIG. 22D includes at least 4 instances of unit cells. 22D shows an instance of a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay). In one embodiment, the pitch 2192 of the structure 2110 is compared to the pitch 2194 of the structure 2120.

도 22d 에서, 예를 들어 제 2 패터닝 프로세스가 X-방향에서 잘 정렬되지 않아서 구조체들(2110 및 2120) 사이에 상대적인 시프트가 생기면, Y-대칭이 깨지게 되고 퓨필 내의 Y-대칭도 깨진다. 따라서, 그러한 조건에서의 타겟의 측정은 X-오버레이 결정으로 전환될 수 있다. 결과적으로, 구조체(2110 및 2120)는 X-오버레이를 결정하기 위하여 사용된다. 이와 유사하게, 예를 들어 제 2 패터닝 프로세스가 Y-방향에서 잘 정렬되지 않아서 절삭부들(2140 및 2150) 사이에 상대적인 시프트가 생기면, X-대칭이 깨지게 되고 퓨필 내의 X-대칭도 깨진다. 따라서, 그러한 조건에서의 타겟의 측정은 Y-오버레이 결정으로 전환될 수 있다. 결과적으로, 절삭부(2140 및 2150)는 Y-오버레이를 결정하기 위하여 사용된다. 더 나아가, 도 22d 에서 볼 수 있는 바와 같이, Y 방향에서의 절삭부의 시프트는 Y 축에 대하여 대칭을 변경하지 않고, X 방향에서의 구조체의 시프트는 X 축에 대하여 대칭을 변경하지 않는다. 따라서, X 및 Y 방향 오버레이가 디커플링된다. 그러므로, X- 및 Y- 방향에서 열악하게 정렬된 패터닝 프로세스는 X- 및 Y- 대칭을 깨뜨리는 반면에, 상이한 오버레이는 해당 신호로부터 분리될 수 있다.In FIG. 22D, if, for example, the second patterning process is not well aligned in the X-direction so that there is a relative shift between the structures 2110 and 2120, the Y-symmetry is broken and the Y-symmetry in the pupil is also broken. Thus, the measurement of the target in such conditions can be converted to an X-overlay determination. Consequently, structures 2110 and 2120 are used to determine the X-overlay. Similarly, if, for example, the second patterning process is not well aligned in the Y-direction so that there is a relative shift between the cuts 2140 and 2150, the X-symmetry is broken and the X-symmetry in the pupil is also broken. Thus, the measurement of the target in such conditions can be turned into a Y-overlay determination. Consequently, cutouts 2140 and 2150 are used to determine the Y-overlay. Further, as can be seen in Fig. 22D, the shift of the cutting portion in the Y direction does not change the symmetry about the Y axis, and the shift of the structure in the X direction does not change the symmetry about the X axis. Thus, the X and Y direction overlays are decoupled. Hence, a poorly aligned patterning process in the X- and Y- directions breaks the X- and Y-symmetry, while different overlays can be separated from the signal in question.

일 실시예에서, 구조체의 개수 및 그들의 크기, 피치 등은 디바이스 패턴의 패터닝 프로세스에 거의 비견되도록 구성될 수 있다. 이와 유사하게, 절삭부(또는 돌출부 / 변형물)의 개수 및 그들의 크기, 피치 등은 디바이스 패턴의 패터닝 프로세스에 거의 비견되도록 구성될 수 있다. 예를 들어, 절삭부는 가능한 경우 디바이스에서 사용되는 CD 및 피치에 비견될 것이다. 하지만, 일 실시예에서, 절삭부의 위치 및/또는 개수는 대칭적 유닛 셀을 제조하기 위하여 적응된다. 더 나아가, 비-제품 타겟 오버레이 감도는 구조체 및 절삭부(또는 돌출부 / 변형물)의 피치를 조절함으로써 조절될 수 있다.In one embodiment, the number of structures and their size, pitch, etc. may be configured to be nearly comparable to the patterning process of the device pattern. Similarly, the number of cuts (or protrusions/deformations) and their size, pitch, etc. can be configured to be nearly comparable to the patterning process of the device pattern. For example, the cut will be compared to the CD and pitch used in the device, if possible. However, in one embodiment, the location and/or number of cuts are adapted to produce a symmetrical unit cell. Furthermore, the non-product target overlay sensitivity can be adjusted by adjusting the pitch of the structure and cut (or protrusion/deformation).

도 23 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 23a 에서, 유닛 셀(2300)의 일 예가 도시된다. 유닛 셀(2300)은 구조체(2310)(이러한 경우에는 복수 개의 선(2310)) 및 구조체(2320)(이러한 경우에는 제 2 복수 개의 선(2320))을 포함한다. 도 21 및 도 22 와는 달리, 구조체(2310)는 구조체(2320)에 실질적으로 수직인 방향으로 연장된다. 앵커(2340)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2300)은 Y 방향에서 대칭을 가진다. 도 23a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Referring to FIG. 23, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weights and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 23A, an example of a unit cell 2300 is shown. The unit cell 2300 includes a structure 2310 (a plurality of lines 2310 in this case) and a structure 2320 (a second plurality of lines 2320 in this case). Unlike FIGS. 21 and 22, the structure 2310 extends in a direction substantially perpendicular to the structure 2320. Anchors 2340 are marked to show the symmetry of the unit cells. In this case, the unit cell 2300 has symmetry in the Y direction. 23A shows a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

그러므로, 유닛 셀(2300)의 이러한 실시예에서, 제 1 방향으로 연장되는 구조체와 제 1 방향에 본질적으로 직교하는 제 2 방향으로 연장되는 절삭부 또는 구조체 사이의 X-방향에서의 오버레이는 이러한 유닛 셀로부터 재지향된 방사선으로부터 결정될 수 있다.Therefore, in this embodiment of the unit cell 2300, the overlay in the X-direction between the structure extending in the first direction and the cutout or structure extending in a second direction essentially orthogonal to the first direction is It can be determined from radiation redirected from the cell.

특히, 전술된 원리와 유사하게, 유닛 셀(2300)은 제 1 패터닝 프로세스에서 생성된 구조체(2310) 및 제 2 패터닝 프로세스에서 생성된 절삭부(2330) 및/또는 구조체(2320)를 포함한다. 예를 들어 구조체(2310)와 절삭부(2330) 사이의 오버레이가 소망되는 경우, 구조체(2310)는 제 1 패터닝 프로세스에서 생성되고 절삭부(2330)는 제 2 패터닝 프로세스에서 생성된다(또는, 구조체(2320)도 역시 제 2 패터닝 프로세스에서 생성됨). 예를 들어 구조체들(2310 및 2320) 사이의 오버레이가 소망되는 경우, 그러면 구조체(2310)는 제 1 패터닝 프로세스에서 생성되고 구조체(2320)는, 예를 들어 절삭부(2330)에 비견되는 보이드를 가지고 제 2 패터닝 프로세스에서 생성된다. 구조체(2310 및 2320) 및 절삭부(2330)는 공칭 물리적 구성 내의 유닛 셀에 대칭을 유지하도록 배치된다.In particular, similar to the principles described above, the unit cell 2300 includes a structure 2310 created in a first patterning process and a cutout 2330 and/or a structure 2320 created in a second patterning process. For example, if an overlay between the structure 2310 and the cutout 2330 is desired, the structure 2310 is created in a first patterning process and the cutout 2330 is created in a second patterning process (or (2320) is also created in the second patterning process). For example, if an overlay between the structures 2310 and 2320 is desired, then the structure 2310 is created in a first patterning process and the structure 2320 has voids comparable to, for example, the cutout 2330. And is created in a second patterning process. Structures 2310 and 2320 and cutouts 2330 are arranged to remain symmetric to the unit cells within their nominal physical configuration.

전술된 실시예와 유사하게, 구조체(2310)는 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2310)는, 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해서 생성될 것이다. 예를 들어, 구조체(2310)의 생성은 디바이스 내의 구조체의 비견되는 생성에 대응한다. 이와 유사하게, 일 실시예에서, 구조체(2320) 및/또는 절삭부(2330)는 제 2 패터닝 프로세스에 의해 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2320) 및/또는 절삭부(2330)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 절삭부(2330)의 생성은 디바이스 내의 절삭부의 비견되는 생성에 대응한다. 그러므로, 일 실시예에서, 구조체(2310)는, 예를 들어 구조체(2310)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 하나 이상의 구조체)에 대응한다. 이와 유사하게, 구조체(2320) 및/또는 절삭부(2330)는, 예를 들어 제 1 방향에 본질적으로 수직인 제 2 방향에서 연장되는 디바이스의 하나 이상의 피쳐에 대응한다. 일 실시예에서, 구조체(2320) 및/또는 절삭부(2330)는 구조체(2310)와는 다른 층에 생성된다. 그러므로, 일 실시예에서, 절삭부(2330)(또는 구조체(2320)의 절삭부에 비견되는 보이드)는 디바이스 내의 대응하는 피쳐의 X-방향 오버레이를 결정하기 위하여 사용될 수 있다.Similar to the embodiment described above, the structure 2310 corresponds to a feature of the device fabricated using the first patterning process. That is, the structure 2310 will be created by a first patterning process that creates a corresponding device feature of the device. For example, the creation of structure 2310 corresponds to a comparable creation of a structure in the device. Similarly, in one embodiment, structure 2320 and/or cutout 2330 correspond to features of the device fabricated by the second patterning process. That is, the structure 2320 and/or the cutout 2330 will be created by a second patterning process that creates a corresponding device feature of the device. For example, the creation of cutout 2330 corresponds to a comparable production of cutouts in the device. Thus, in one embodiment, structure 2310 corresponds to one or more features (eg, one or more structures) of the device extending in a direction essentially parallel to structure 2310, for example. Similarly, structures 2320 and/or cutouts 2330 correspond to one or more features of the device extending in a second direction essentially perpendicular to the first direction, for example. In one embodiment, structure 2320 and/or cutout 2330 are created on a different layer than structure 2310. Thus, in one embodiment, the cutout 2330 (or voids comparable to the cutout of the structure 2320) may be used to determine the X-direction overlay of the corresponding feature in the device.

일 실시예에서, 구조체(2310) 및 구조체(2320) 및/또는 절삭부(2330)는 디바이스의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 예를 들어, 구조체(2310)는 제 1 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐(예를 들어 하나 이상의 구조체)에 비견되는 폭 및/또는 피치를 가진다. 이와 유사하게, 예를 들어 구조체(2320) 및/또는 절삭부(2330)는 제 2 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐에 비견되는 폭 및/또는 피치를 가진다.In one embodiment, structure 2310 and structure 2320 and/or cutout 2330 have a width and/or pitch comparable to features of the device. For example, the structure 2310 has a width and/or pitch that is comparable to a feature (eg, one or more structures) of a corresponding device structure created in the first patterning process. Similarly, structures 2320 and/or cutouts 2330, for example, have a width and/or pitch comparable to features of a corresponding device structure created in the second patterning process.

유닛 셀(2300)에서, 유닛 셀(2300) 내의 구조체들의 상이한 물리적 구성에 대하여 대칭이 깨지게 할 피쳐는, 구조체(2310)와 절삭부들(2330) 사이(또는 구조체들(2310 및 2320) 사이)의 상대적인 시프트 시에 비대칭을 생성할 절삭부(2330(또는 구조체(2320) 내의 비견가능한 보이드)의 배치구성이다. 이해될 수 있는 것처럼, 절삭부 / 보이드의 상이한 위치 및/또는 절삭부 / 보이드의 상이한 크기를 포함하는 많은 상이한 변동이 절삭부 / 보이드와 관련하여 가능하다.In the unit cell 2300, the feature that will cause the symmetry to be broken for different physical configurations of the structures in the unit cell 2300 is between the structure 2310 and the cuts 2330 (or between the structures 2310 and 2320). It is the arrangement of the cuts 2330 (or comparable voids in the structure 2320) that will create asymmetry upon relative shift. As can be understood, different positions of cuts/voids and/or different positions of cuts/voids Many different variations are possible with respect to cuts/voids, including size.

본질적으로 수직인 구조체(2310 및 2320)와 조합하여 절삭부(2330)(또는 보이드(2330))를 배치한 결과는, 구조체(2310)와 절삭부(2330)의 사이(또는 보이드가 존재하는 구조체들(2310 및 2320)의 사이)의 X-Y 평면에서 X-방향의 상대적인 시프트(2350)가 유닛 셀(2300)에 비대칭을 야기한다는 것이다. 이것이 도 23b 에 도시된다. 도 23b 에서, 절삭부(2330)는 제 2 패터닝 프로세스에서 생성되면 도 23a 에 도시되는 그의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2340)로부터의 변위(2360)가 생긴다. 따라서, 유닛 셀(2300)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2360)는 바람직하게는 전술된 바와 같이 유닛 셀(2300)을 포함하는 타겟에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.The result of arranging the cutting portion 2330 (or void 2330) in combination with the essentially vertical structures 2310 and 2320 is between the structure 2310 and the cutting portion 2330 (or a structure in which voids exist). The relative shift 2350 in the X-direction in the XY plane (between 2310 and 2320) causes an asymmetry in the unit cell 2300. This is shown in Fig. 23B. In Fig. 23B, the cutout 2330 is shifted from its nominal (e.g., design) position shown in Fig. 23A when created in the second patterning process. As a result, a displacement 2360 from the anchor 2340 occurs. Thus, assuming that the unit cell 2300 corresponds to a situation in which no overlay exists, the displacement 2360 preferably processes radiation redirected by the target comprising the unit cell 2300 as described above (e.g. For example, weight and pupil distribution).

유닛 셀(2300)이 Y 축에 대해서 비대칭을 나타내기 때문에, X-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 본질적으로 수직인 구조체들(2310 및 2320)과 조합된 절삭부(2330)(또는 보이드(2330))의 배치구성)와 조합되면, 그로부터 X-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다.Since the unit cell 2300 exhibits asymmetry with respect to the Y axis, the translation in the X-direction causes the asymmetry (here, the cutout 2330 in combination with the essentially perpendicular structures 2310 and 2320). When combined with (or the arrangement of the voids 2330), a radiation distribution from which an X-overlay value can be determined is provided. In one embodiment, such an X-overlay value will correspond to an X-overlay of a feature of the device manufactured using each patterning process.

그러므로, 일 실시예에서, 유닛 셀의 구조체(2310) 및 구조체(2320) 및/또는 절삭부(2330)는 동일한 방향에서 연장되는 디바이스의 각각의 피쳐에 대응한다. 결과적으로, 유닛 셀(2300)의 구조체는 수직 방향으로 연장되거나 기다란 피쳐에 대한 오버레이의 값을 제공할 수 있다. 따라서, 그에 대한 특정 방향에서의 오버레이가 관심 대상인, 직교 방향에서 연장되는 디바이스 피쳐를 식별함으로써, 유닛 셀(2300)은, 직교 구조체(2310 및 2320)와 관련하여 절삭부(2330)(또는 보이드(2330))를 적절하게 선택하고 디바이스 피쳐가 생성될 때 그들이 생성되게 함으로써 이러한 오버레이를 모사하도록 설계될 수 있다.Therefore, in one embodiment, the structure 2310 and the structure 2320 and/or the cutout 2330 of the unit cell correspond to each feature of the device extending in the same direction. As a result, the structure of the unit cell 2300 may extend in a vertical direction or provide an overlay value for an elongated feature. Thus, by identifying a device feature extending in an orthogonal direction for which the overlay in a particular direction thereon is of interest, the unit cell 2300 can be configured with the cutout 2330 (or voids) in relation to the orthogonal structures 2310 and 2320. 2330)) and device features can be designed to mimic these overlays by having them created as they are created.

그리고, 도 23 은 오버레이의 결정을 가능하게 하는 절삭부 / 보이드를 도시하지만, 구조체(2310 및 2320)는 하나 이상의 돌출부 또는 변형물, 예를 들어 도시된 절삭부의 위치에 있는 돌출부를 가질 수 있다. 그러므로, 이러한 돌출부 또는 변형물들 사이의 상대적인 변위는, 절삭부(2330)와 같이 유닛 셀 내에 비대칭을 야기할 수 있다. 돌출부 또는 변형물은 구조체(2310 및 2320)가 생성될 때 생성되거나 절삭 프로세스에 의해 생성될 수 있다. 따라서, 돌출부 또는 변형물은, 예를 들어 디바이스 구조체들 사이(예를 들어, 구조체(2310 및 2320)가 생성될 때에 생긴 돌출부 또는 변형물의 경우) 또는 절삭부와 구조체 사이의 오버레이의 결정을 가능하게 하기 위하여 사용될 수 있다.And, while FIG. 23 shows cuts/voids that enable determination of the overlay, structures 2310 and 2320 may have one or more protrusions or variations, for example protrusions at the location of the cuts shown. Therefore, the relative displacement between these protrusions or deformations may cause asymmetry in the unit cell, such as the cutout 2330. The protrusions or deformations may be created when structures 2310 and 2320 are created or may be created by a cutting process. Thus, protrusions or deformations enable determination of an overlay between, for example, device structures (e.g., in the case of protrusions or deformations that occurred when structures 2310 and 2320 were created) or between cuts and structures. Can be used to

이제, 물론, 유닛 셀(2300)은 앵커(2340) 중심으로 실질적으로 90 도 회전되어 구조체(2310) 및 구조체(2320) 및/또는 절삭부(2330) 사이의 Y-방향에서의 상대적인 시프트에 대한 Y-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다.Now, of course, the unit cell 2300 is rotated substantially 90 degrees about the anchor 2340 so that the relative shift in the Y-direction between the structure 2310 and the structure 2320 and/or the cutout 2330 is Y-overlay value can be provided. In one embodiment, such a Y-overlay value will correspond to the Y-overlay of a feature of the device fabricated using each patterning process.

도 24 를 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 24a 에서, 유닛 셀(2400)의 일 예가 도시된다. 유닛 셀(2400)은 구조체(2410)(이러한 경우에는 복수 개의 선(2410)) 및 구조체(2420)(이러한 경우에는 제 2 복수 개의 선(2420))을 포함한다. 구조체(2410)는 구조체(2420)에 실질적으로 수직인 방향으로 연장된다. 앵커(2440)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2400)은 X 방향에서 대칭을 가진다. 도 24a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Referring to FIG. 24, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weights and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 24A, an example of a unit cell 2400 is shown. The unit cell 2400 includes a structure 2410 (a plurality of lines 2410 in this case) and a structure 2420 (a second plurality of lines 2420 in this case). The structure 2410 extends in a direction substantially perpendicular to the structure 2420. Anchor 2440 is marked to show the symmetry of the unit cell. In this case, the unit cell 2400 has symmetry in the X direction. 24A shows a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

그러므로, 유닛 셀(2400)의 이러한 실시예에서, 제 1 방향으로 연장되는 구조체와 제 1 방향에 본질적으로 직교하는 제 2 방향으로 연장되는 절삭부 또는 구조체 사이의 Y-방향에서의 오버레이는 이러한 유닛 셀로부터 재지향된 방사선으로부터 결정될 수 있다.Therefore, in this embodiment of the unit cell 2400, the overlay in the Y-direction between the structure extending in the first direction and the cutout or structure extending in a second direction essentially orthogonal to the first direction is It can be determined from radiation redirected from the cell.

도 24 는 실질적으로 도 23 의 반전 배치구성이다. 도 23 이 X 방향 오버레이를 결정하도록 설계되는 반면에, 도 24 는 Y 방향 오버레이를 결정하도 설계된다; 그러나, 도 23 과 유사하게 도 24 의 유닛 셀은 X 방향 오버레이를 결정하도록 90 도 회전될 수 있다. 하지만, 도 23 과는 달리, 절삭부(2430)(또는 생성된 구조체(2410)의 보이드(2430))는 도 24 의 실시예에서의 제 2 패터닝 프로세스와 비교할 때 제 1 패터닝 프로세스에서 생성된다.Fig. 24 is a substantially inverted arrangement of Fig. 23; While Fig. 23 is designed to determine the X-direction overlay, Fig. 24 is also designed to determine the Y-direction overlay; However, similar to FIG. 23, the unit cell of FIG. 24 can be rotated 90 degrees to determine the X-direction overlay. However, unlike FIG. 23, the cut 2430 (or void 2430 of the resulting structure 2410) is created in the first patterning process as compared to the second patterning process in the embodiment of FIG. 24.

그러므로, 유닛 셀(2400)에서, 유닛 셀(2400) 내의 구조체들의 상이한 물리적 구성에 대하여 대칭이 깨지게 할 피쳐는, 절삭부(2430)와 구조체(2420) 사이(또는 구조체들(2410 및 2420) 사이)의 상대적인 시프트 시에 비대칭을 생성할 절삭부(2430(또는 구조체(2410) 내의 비견가능한 보이드)의 배치구성이다. 이해될 수 있는 것처럼, 절삭부 / 보이드의 상이한 위치 및/또는 절삭부 / 보이드의 상이한 크기를 포함하는 많은 상이한 변동이 절삭부 / 보이드와 관련하여 가능하다.Therefore, in the unit cell 2400, the feature that will cause the symmetry to break with respect to the different physical configurations of the structures in the unit cell 2400 is between the cutout 2430 and the structure 2420 (or between the structures 2410 and 2420). ) Is the arrangement of the cutouts 2430 (or comparable voids in the structure 2410) that will create an asymmetry upon a relative shift of the. Many different variations are possible with respect to cuts/voids, including different sizes of.

본질적으로 수직인 구조체(2410 및 2420)와 조합하여 절삭부(2430)(또는 보이드(2430))를 배치한 결과는, 절삭부(2430)와 구조체(2420)의 사이(또는 보이드가 존재하는 구조체들(2410 및 2420)의 사이)의 X-Y 평면에서 Y-방향의 상대적인 시프트(2450)가 유닛 셀(2400)에 비대칭을 야기한다는 것이다. 이것이 도 24b 에 도시된다. 도 24b 에서, 절삭부(2430)는 제 1 패터닝 프로세스에서 생성되면 도 24a 에 도시되는 그의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2440)로부터의 변위(2460)가 생긴다. 따라서, 유닛 셀(2300)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2460)는 바람직하게는 전술된 바와 같이 유닛 셀(2400)을 포함하는 타겟에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.The result of arranging the cutting portion 2430 (or void 2430) in combination with the essentially vertical structures 2410 and 2420 is between the cutting portion 2430 and the structure 2420 (or a structure in which voids exist). The relative shift 2450 in the Y-direction in the XY plane (between 2410 and 2420) causes an asymmetry in the unit cell 2400. This is shown in Fig. 24B. In Fig. 24B, the cutout 2430 is shifted from its nominal (e.g., design) position shown in Fig. 24A once it is created in the first patterning process. The result is a displacement 2460 from the anchor 2440. Thus, assuming that the unit cell 2300 corresponds to a situation in which no overlay exists, the displacement 2460 preferably processes radiation redirected by the target comprising the unit cell 2400 as described above (e.g. For example, weight and pupil distribution).

유닛 셀(2400)이 X 축에 대해서 비대칭을 나타내기 때문에, Y-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 본질적으로 수직인 구조체들(2410 및 2420)과 조합된 절삭부(2430)(또는 보이드(2430))의 배치구성)와 조합되면, 그로부터 Y-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다.Since the unit cell 2400 exhibits asymmetry with respect to the X axis, the translation in the Y-direction causes the asymmetry (here the cutout 2430 in combination with the essentially perpendicular structures 2410 and 2420). When combined with (or the arrangement of voids 2430), a radiation distribution from which the Y-overlay value can be determined is provided. In one embodiment, such a Y-overlay value will correspond to the Y-overlay of a feature of the device fabricated using each patterning process.

그리고, 도 24 는 오버레이의 결정을 가능하게 하는 절삭부 / 보이드를 도시하지만, 구조체(2410 및 2420)는 하나 이상의 돌출부 또는 변형물, 예를 들어 도시된 절삭부의 위치에 있는 돌출부를 가질 수 있다. 그러므로, 이러한 돌출부 또는 변형물들 사이의 상대적인 변위는, 절삭부(2430)와 같이 유닛 셀 내에 비대칭을 야기할 수 있다. 돌출부 또는 변형물은 구조체(2410 및 2420)가 생성될 때 생성되거나 절삭 프로세스에 의해 생성될 수 있다. 따라서, 돌출부 또는 변형물은, 예를 들어 디바이스 구조체들 사이(예를 들어, 구조체(2410 및 2420)가 생성될 때에 생긴 돌출부 또는 변형물의 경우) 또는 절삭부와 구조체 사이의 오버레이의 결정을 가능하게 하기 위하여 사용될 수 있다.And, while FIG. 24 shows cuts/voids that enable determination of the overlay, structures 2410 and 2420 may have one or more protrusions or variations, for example protrusions at the location of the cuts shown. Hence, the relative displacement between these protrusions or deformations can cause asymmetry in the unit cell, such as the cutout 2430. The protrusions or deformations may be created when structures 2410 and 2420 are created or may be created by a cutting process. Thus, the protrusions or deformations enable determination of, for example, an overlay between device structures (e.g., in the case of protrusions or deformations that occurred when structures 2410 and 2420 were created) or between cuts and structures. Can be used to

이제, 물론, 유닛 셀(2400)은 앵커(2440) 중심으로 실질적으로 90 도 회전되어 구조체(2410) 및/또는 절삭부(2430) 및 구조체(2420) 사이의 X-방향에서의 상대적인 시프트에 대한 X-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다.Now, of course, the unit cell 2400 is rotated substantially 90 degrees about the anchor 2440 to the relative shift in the X-direction between the structure 2410 and/or the cutout 2430 and the structure 2420. You can provide an X-overlay value. In one embodiment, such an X-overlay value will correspond to an X-overlay of a feature of the device manufactured using each patterning process.

도 25 를 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 25a 에서, 유닛 셀(2500)의 일 예가 도시된다. 유닛 셀(2500)은 제 1 패터닝 프로세스에서 생성된 구조체(2510)(이러한 경우에는 복수 개의 선(2510)) 및 제 2 패터닝 프로세스에서 생성된 구조체(2520)(이러한 경우에는 제 2 복수 개의 선(2520))을 포함한다. 구조체(2510)는 구조체(2520)에 실질적으로 평행한 방향으로 연장된다. 앵커(2530)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2500)은 Y 방향에서 대칭을 가진다. 도 25a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Referring to FIG. 25, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weights and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 25A, an example of a unit cell 2500 is shown. The unit cell 2500 includes a structure 2510 generated in a first patterning process (a plurality of lines 2510 in this case) and a structure 2520 generated in a second patterning process (in this case, a second plurality of lines ( 2520)). The structure 2510 extends in a direction substantially parallel to the structure 2520. The anchor 2530 is marked to show the symmetry of the unit cell. In this case, the unit cell 2500 has symmetry in the Y direction. FIG. 25A shows a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

도 25 의 비-제품 타겟 디자인은 도 21 의 비-제품 타겟 디자인에 비견된다. 차이점은, 도 20 에 제공된 중심선(2010)과 비교할 때 중심선(2510)이 제공되지 않는다는 것이다. 이것은, 유닛 셀(2500) 및 비-제품 타겟이 도 20 보다 적은 구조체를 수반한다는 것을 의미하고, 따라서 예를 들어 관련된 모델링이 개선될 수 있다. 그러나, 이것은 디바이스 내의 대응하는 피쳐와는 다른 선들 사이의 피치를 수반할 수 있고, 예를 들어 구조체(2520)의 선들에 대한 피치는 디바이스 내의 비견되는 선들의 피치와는 다를 필요가 있을 수 있다.The non-product target design of FIG. 25 is compared to the non-product target design of FIG. 21. The difference is that the center line 2510 is not provided as compared to the center line 2010 provided in FIG. 20. This means that the unit cell 2500 and the non-product target involve less structure than FIG. 20, and thus, for example, the related modeling can be improved. However, this may involve a pitch between lines other than the corresponding feature in the device, for example the pitch for the lines of structure 2520 may need to be different from the pitch of comparable lines in the device.

일 실시예에서, 구조체(2510)는 적어도 두 개의 서브-구조체(예를 들어, 선-유사 구조체)를 포함한다. 대안적으로 또는 추가적으로, 구조체(2520)는 적어도 두 개의 서브-구조체(예를 들어, 선-유사 구조체)를 포함한다. 이것은 충분한 신호를 이네이블하기 위한 것이다. 이러한 원리는 본 명세서에서 설명되는 다른 실시예에도 적용할 수 있다.In one embodiment, structure 2510 includes at least two sub-structures (eg, pre-like structures). Alternatively or additionally, structure 2520 includes at least two sub-structures (eg, pre-like structures). This is to enable enough signals. This principle can also be applied to other embodiments described herein.

도 21 과 유사하게, 대칭을 깨뜨리는 피쳐는 구조체들(2510 및 2520) 사이의 물리적 차분인데, 이것은 도시된 실시예에서는 구조체(2510 및 2520)의 폭의 차이다. 그러므로, 도 21 과 유사하고 도 25b 에 도시된 바와 같이, 구조체들(2510 및 2520) 사이의 상대적인 시프트(2540)는 Y-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2550)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2550)는 대응하는 디바이스 피쳐의 X 방향 오버레이에 대응할 수 있다.Similar to FIG. 21, the feature that breaks the symmetry is the physical difference between structures 2510 and 2520, which in the illustrated embodiment is the difference in width of structures 2510 and 2520. Therefore, similar to FIG. 21 and as shown in FIG. 25B, the relative shift 2540 between structures 2510 and 2520 causes the symmetry in the Y-direction to be broken. Breaking the symmetry results in a specific radiation distribution that allows the relative displacement 2550 to be determined. The relative displacement 2550 may correspond to an X-direction overlay of a corresponding device feature.

도 26 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 26a 에서, 유닛 셀(2600)의 일 예가 도시된다. 유닛 셀(2600)은 제 1 패터닝 프로세스에서 생성된 구조체(2610)(이러한 경우에는 복수 개의 선(2610)) 및 제 2 패터닝 프로세스에서 생성된 구조체(2620)(이러한 경우에는 제 2 복수 개의 선(2620))을 포함한다. 구조체(2610)는 구조체(2620)에 실질적으로 평행한 방향으로 연장된다. 더 나아가, 구조체(2610)는 패터닝 프로세스에 의해 생성된 절삭부(2630)를 포함하고 구조체(2620)는 패터닝 프로세스에 의해 생성된 절삭부(2640)를 포함한다. 앵커(2650)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2600)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 26a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Referring to FIG. 26, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weight and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 26A, an example of a unit cell 2600 is shown. The unit cell 2600 includes a structure 2610 created in a first patterning process (a plurality of lines 2610 in this case) and a structure 2620 created in a second patterning process (in this case, a second plurality of lines ( 2620)). The structure 2610 extends in a direction substantially parallel to the structure 2620. Further, the structure 2610 includes a cut portion 2630 produced by the patterning process and the structure 2620 includes a cut portion 2640 produced by the patterning process. Anchor 2650 is marked to show the symmetry of the unit cell. In this case, the unit cell 2600 has symmetry in the Y direction and symmetry in the X direction. 26A shows a unit cell in a symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

도 26 의 비-제품 타겟 디자인은 레이아웃에 있어서 그리고 X 및 Y 방향의 오버레이를 결정하기 위하여 사용될 수 있다는 점에서, 도 22 의 비-제품 타겟 디자인에 비견된다. 차이점은, 도 20 에 제공된 중심선(2010)과 비교할 때 중심선(2610)이 제공되지 않는다는 것이다. 이것은, 유닛 셀(2600) 및 비-제품 타겟이 도 20 보다 적은 구조체를 수반한다는 것을 의미하고, 따라서 예를 들어 관련된 모델링이 개선될 수 있다. 더 나아가, 절삭부(2630 및 2640)는 도 22 와는 다른 배치구성을 가진다. 절삭부의 배치구성은 비대칭을 제공하지만, 절삭부를 수반하는 상대적인 시프트가 존재하는 경우에는 또한 대칭이 깨지게 하기 위한 것이다.The non-product target design of FIG. 26 is compared to the non-product target design of FIG. 22 in that it can be used in layout and to determine the overlay in the X and Y directions. The difference is that the center line 2610 is not provided as compared to the center line 2010 provided in FIG. 20. This means that the unit cell 2600 and the non-product target involve less structure than FIG. 20, and thus, for example, the related modeling can be improved. Further, the cutting portions 2630 and 2640 have an arrangement configuration different from that of FIG. 22. The arrangement of the cutting portions provides asymmetry, but is also intended to cause the symmetry to be broken if there is a relative shift accompanying the cutting portions.

도 26 의 이러한 상이한 배치구성의 결과로서, 이러한 디자인은 디바이스 내의 대응하는 피쳐와는 다른 선들 사이의 피치를 수반할 수 있고, 예를 들어 구조체(2620)의 선들에 대한 피치는 디바이스 내의 비견되는 선들의 피치와는 다를 필요가 있을 수 있다.As a result of this different arrangement of Figure 26, this design may involve a pitch between lines other than the corresponding feature in the device, e.g. the pitch for the lines of structure 2620 is comparable to the lines in the device. It may need to be different from the pitch of.

도 22 와 유사하게, 대칭을 깨뜨리는 피쳐는 구조체들(2610 및 2620) 사이의 물리적 차분인데, 이것은 도시된 실시예에서는 구조체(2610 및 2620)의 폭의 차이다. 그러므로, 도 22 와 유사하고 도 26c 에 도시된 바와 같이, 구조체들(2610 및 2620) 사이의 상대적인 시프트(2670)는 Y-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2680)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2680)는 대응하는 디바이스 피쳐의 X 방향 오버레이에 대응할 수 있다.Similar to FIG. 22, the feature that breaks the symmetry is the physical difference between structures 2610 and 2620, which is the difference in widths of structures 2610 and 2620 in the illustrated embodiment. Therefore, as similar to FIG. 22 and shown in FIG. 26C, the relative shift 2670 between structures 2610 and 2620 causes the symmetry in the Y-direction to be broken. Breaking the symmetry results in a specific radiation distribution that allows the relative displacement 2680 to be determined. The relative displacement 2680 may correspond to an X-direction overlay of a corresponding device feature.

더 나아가, 도 22 와 유사하게, 대칭이 깨지게 하는 피쳐는 절삭부(2630 및 2640)의 배치구성이다. 그러므로, 도 22 와 유사하고 도 26b 에 도시된 바와 같이, 절삭부들(2630 및 2640) 사이의 상대적인 시프트(2650)는 X-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2660)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2660)는 대응하는 디바이스 피쳐의 Y 방향 오버레이에 대응할 수 있다.Furthermore, similar to FIG. 22, the feature that causes the symmetry to break is the arrangement of the cutting portions 2630 and 2640. Therefore, similar to FIG. 22 and as shown in FIG. 26B, the relative shift 2650 between the cuts 2630 and 2640 causes the symmetry in the X-direction to be broken. Breaking the symmetry results in a specific radiation distribution that allows the relative displacement 2660 to be determined. The relative displacement 2660 may correspond to the Y-direction overlay of the corresponding device feature.

도 27 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 27a 에서, 유닛 셀(2700)의 일 예가 도시된다. 유닛 셀(2700)은 제 1 패터닝 프로세스에서 생성된 구조체(2710)(이러한 경우에, 복수 개의 선(2710)), 제 2 패터닝 프로세스에서 생성된 구조체(2720)(이러한 경우에, 제 2 복수 개의 선(2720)), 및 제 3 패터닝 프로세스에서 생성된 구조체(2730)(이러한 경우에, 제 3 복수의 선(2730))를 포함한다. 구조체(2710)는 구조체(2720)에 실질적으로 평행한 방향으로 연장된다. 더 나아가, 구조체(2730)는 구조체(2710 및 2720)에 실질적으로 수직인 방향으로 연장된다. 더 나아가, 구조체(2710)는 패터닝 프로세스에 의해 생성된 절삭부(2740) 및 패터닝 프로세스에 의해 생성된 절삭부(2750)를 포함한다. 앵커(2750)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2700)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 27a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Referring to FIG. 27, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weights and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 27A, an example of a unit cell 2700 is shown. The unit cell 2700 is a structure 2710 created in a first patterning process (in this case, a plurality of lines 2710), a structure 2720 created in a second patterning process (in this case, a second plurality of Line 2720 ), and a structure 2730 created in a third patterning process (in this case, a third plurality of lines 2730 ). The structure 2710 extends in a direction substantially parallel to the structure 2720. Further, the structure 2730 extends in a direction substantially perpendicular to the structures 2710 and 2720. Further, the structure 2710 includes a cut portion 2740 produced by the patterning process and a cut portion 2750 produced by the patterning process. Anchor 2750 is marked to show the symmetry of the unit cell. In this case, the unit cell 2700 has symmetry in the Y direction and symmetry in the X direction. Figure 27A shows a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

도 27 의 비-제품 타겟 디자인은 레이아웃에 있어서 그리고 X 및 Y 방향의 오버레이를 결정하기 위하여 사용될 수 있다는 점에서, 도 22 의 비-제품 타겟 디자인에 비견된다. 차이는 추가적인 구조체(2730)가 제 3 패터닝 프로세스에 제공된다는 것이다.The non-product target design of FIG. 27 is compared to the non-product target design of FIG. 22 in that it can be used in layout and to determine the overlay in the X and Y directions. The difference is that additional structures 2730 are provided for the third patterning process.

이러한 배치구성 때문에, 이러한 비-제품 타겟은, 예를 들어 디바이스의 3 개 이상의 층에 걸친 피쳐들 사이의 오버레이를 결정하는 것을 가능하게 할 수 있다; 예를 들어, 이러한 비-제품 타겟은 디바이스의 제 1 층에 있는 피쳐와 디바이스의 제 2 층에 있는 피쳐 사이의 오버레이 및 디바이스의 제 1 층에 있는 피쳐와 디바이스의 제 3 층에 있는 피쳐 사이의 오버레이를 결정하는 것을 가능하게 할 수 있다.Because of this arrangement, such a non-product target may, for example, make it possible to determine an overlay between features across three or more layers of the device; For example, such a non-product target may be an overlay between a feature in a first layer of the device and a feature in a second layer of the device and an overlay between a feature in the first layer of the device and a feature in a third layer of the device. It may make it possible to determine the overlay.

예를 들어, 도 22 에 대하여 설명된 바와 같이, 구조체(2710 및 2720)의 X 방향의 시프트는, 구조체(2710 및 2720)에 대응하는 디바이스 피쳐들 사이의 X 방향 오버레이의 결정을 가능하게 할 수 있다.For example, as described with respect to FIG. 22, a shift in the X direction of structures 2710 and 2720 may enable determination of an X-direction overlay between device features corresponding to structures 2710 and 2720. have.

하지만, 도 22 의 배치구성에 추가하여, 절삭부(2750)와 구조체(2730) 사이의 Y 방향의 시프트는 절삭부(2750) 및 구조체(2730)에 대응하는 디바이스 피쳐들 사이의 Y 방향 오버레이를 결정하는 것을 가능하게 할 수 있다. 그리고, 이러한 실시예에서 구조체(2730)는 구조체(2710 및 2720)와는 다른 층에 있을 수 있다.However, in addition to the arrangement of FIG. 22, the shift in the Y direction between the cutting portion 2750 and the structure 2730 creates a Y direction overlay between the cutting portion 2750 and the device features corresponding to the structure 2730. It can make it possible to decide. And, in this embodiment, the structure 2730 may be on a different layer from the structures 2710 and 2720.

구조체(2730)에 관련하여 대칭을 깨뜨리는 피쳐는 구조체(2730)와 상대적인 절삭부(2750)의 배치구성이다. 그러므로, 도 27b 에 도시된 바와 같이, 구조체(2730)와 절삭부(2750) 사이의 상대적인 시프트(2760)는 X-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2770)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2670)는 대응하는 디바이스 피쳐의 Y 방향 오버레이에 대응할 수 있다.A feature that breaks symmetry with respect to the structure 2730 is the arrangement of the structure 2730 and the relative cutout 2750. Therefore, as shown in Fig. 27B, the relative shift 2760 between the structure 2730 and the cutting portion 2750 causes the symmetry in the X-direction to be broken. Breaking the symmetry results in a specific radiation distribution that allows the relative displacement 2770 to be determined. The relative displacement 2670 may correspond to the Y direction overlay of the corresponding device feature.

따라서, 도 27 은 3 개의 상이한 프로세스 단계들 사이의 오버레이의 측정을 가능하게 하는 결합형 타겟을 나타낸다. 타겟은, 예를 들어 1층 피쳐-2층 피쳐 오버레이 측정(X 방향)과 1층 피쳐-3층 피쳐 오버레이 측정(Y-방향)을 가능하게 한다. 물론, 일 실시예에서, 도 27 의 타겟은 이산 타겟(예를 들어, 1층 피쳐-2층 피쳐 오버레이 측정을 위한 구조체(2710 및 2720) 및 절삭부(2740)를 가지는 타겟 및 1층 피쳐-3층 피쳐 오버레이 측정을 위한 구조체(2710 및 2730) 및 절삭부(2740)를 가지는 다른 타겟)으로 분리되어, 도 27 에 도시된 바와 같은 결합형 타겟이 아니라 층 쌍마다 하나의 타겟을 제공할 수 있다.Thus, Fig. 27 shows a combined target that allows the measurement of the overlay between three different process steps. The target enables, for example, layer 1 feature-layer 2 feature overlay measurements (X direction) and layer 1 feature-layer 3 feature overlay measurements (Y-direction). Of course, in one embodiment, the target of FIG. 27 is a discrete target (e.g., a target and a first layer feature with structures 2710 and 2720 and cutouts 2740 for measuring a layer 1 feature-2 layer feature overlay). It is separated into structures 2710 and 2730 for measuring the three-layer feature overlay and another target having a cutting portion 2740), so that one target can be provided for each pair of layers, rather than a combined target as shown in FIG. 27. have.

도 28 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 28a 에서, 유닛 셀(2800)의 일 예가 도시된다. 유닛 셀(2800)은 제 1 패터닝 프로세스에서 생성된 구조체(2810)(이러한 경우에, 복수 개의 폐곡선(2810), 예를 들어 본질적으로 원 또는 타원) 및 제 2 패터닝 프로세스에서 생성된 구조체(2820)(이러한 경우에, 복수 개의 폐곡선(2820), 예를 들어 본질적으로 원 또는 타원)를 포함한다. 구조체(2810)는 구조체(2820)에 실질적으로 평행한 방향으로 연장된다. 이러한 경우에, 유닛 셀(2800)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 28a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.Referring to FIG. 28, a non-limiting example of a unit cell of a non-product target design is shown to determine patterning process parameters (eg, weights and pupil distribution) using the techniques described herein. In this case, the unit cell is for determining the overlay. In FIG. 28A, an example of a unit cell 2800 is shown. The unit cell 2800 includes a structure 2810 created in a first patterning process (in this case, a plurality of closed curves 2810, e.g., essentially circles or ellipses) and a structure 2820 created in a second patterning process. (In this case, a plurality of closed curves 2820, for example essentially circles or ellipses) are included. The structure 2810 extends in a direction substantially parallel to the structure 2820. In this case, the unit cell 2800 has symmetry in the Y direction and symmetry in the X direction. 28A shows a unit cell in symmetrical shape and will correspond to a specific nominal overlay value (eg, zero overlay).

이러한 배치구성에서, 도 28b 에 도시된 바와 같이, 구조체들(2810 및 2820) 사이의 상대적인 시프트(2830)는 Y-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2840)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2840)는 대응하는 디바이스 피쳐의 X 방향 오버레이에 대응할 수 있다.In this arrangement, as shown in Fig. 28B, the relative shift 2830 between the structures 2810 and 2820 causes the symmetry in the Y-direction to be broken. Breaking the symmetry results in a specific radiation distribution that allows the relative displacement 2840 to be determined. The relative displacement 2840 may correspond to an X-direction overlay of a corresponding device feature.

더 나아가, 이러한 배치구성에서, 도 28c 에 도시된 바와 같이, 구조체들(2810 및 2820) 사이의 상대적인 시프트(2850)는 X-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2860)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2860)는 대응하는 디바이스 피쳐의 Y 방향 오버레이에 대응할 수 있다.Further, in this arrangement, as shown in Fig. 28C, the relative shift 2850 between the structures 2810 and 2820 causes the symmetry in the X-direction to be broken. Breaking the symmetry results in a specific radiation distribution that allows the relative displacement 2860 to be determined. The relative displacement 2860 may correspond to the Y-direction overlay of the corresponding device feature.

구조체의 대칭을 깨뜨리는 피쳐는 구조체(2820)에 상대적인 구조체(2810)의 엇갈린(staggered) 배치구성이다. 이러한 실시예에서 구조체(2810)는 구조체(2820)와는 다른 폭을 가지는 것으로 도시되지만, 구조체들(2810 및 2820)이 도시된 바와 같은 엇갈린 배치를 하는 경우에는 이러한 차이를 가질 필요가 없다. 그렇지 않으면, 구조체(2810 및 2820)가 엇갈린 배치를 하지 않으면, 물리적 차분(예를 들어, 상이한 폭, 상이한 재료 등)이 대칭을 깨기 위해서 사용될 수 있다.A feature that breaks the symmetry of the structure is the staggered configuration of the structure 2810 relative to the structure 2820. In this embodiment, the structure 2810 is shown to have a different width than the structure 2820, but it is not necessary to have this difference if the structures 2810 and 2820 are in a staggered arrangement as shown. Otherwise, if structures 2810 and 2820 are not staggered, physical differences (eg, different widths, different materials, etc.) can be used to break the symmetry.

도 21 내지 도 28 에 있는 피쳐들의 상이한 조합이 유닛 셀 내로 조합되어, 다수의 타입의 파라미터(예를 들어, X 방향 오버레이 및 Y 방향 오버레이, 디바이스의 피쳐들의 상이한 조합들 사이의 오버레이 등)를 결정하는 것을 가능하게 한다. 일 실시예에서, 별개의 타겟들이 단일 타입의 파라미터에 대하여 각각 생성될 수 있고(예를 들어, X 방향 오버레이에 대한 타겟 및 Y 방향 오버레이에 대한 별개의 타겟, 피쳐의 제 1 조합들 사이의 오버레이에 대한 타겟 및 피쳐의 제 2 조합들 사이의 오버레이에 대한 별개의 타겟 등) 또는 다수의 타겟이 파라미터의 타입들의 조합을 결정하기 위하여 생성될 수 있다.Different combinations of features in Figs. Makes it possible to do. In one embodiment, separate targets may each be created for a single type of parameter (e.g., a target for an X-direction overlay and a separate target for a Y-direction overlay, an overlay between first combinations of features). A separate target for the overlay between the target for and the second combinations of features, etc.) or multiple targets may be created to determine the combination of types of parameters.

이제 도 29 를 참조하면, 도 29a 는 디바이스 패턴 피쳐의 일 예를 개략적으로 도시한다. 예를 들어, 디바이스 패턴 피쳐는 메모리 디바이스(예를 들어 SRAM)를 위한 것일 수 있다. 이해될 수 있는 것처럼, 풀 메모리 디바이스는 도시된 영역 내에 훨씬 더 많은 피쳐를 가질 수 있을 것이다. 그러나, 도 29a 에서 도시되는 디바이스 패턴 피쳐의 특정 조합의 오버레이를 결정하는 것이 소망될 수 있다. 이러한 오버레이는 본 명세서에서 더 상세하게 논의되는 바와 같이 패터닝 프로세스 제어, 패터닝 프로세스 내의 결함 예측 등을 위하여 사용될 수 있다.Referring now to FIG. 29, FIG. 29A schematically illustrates an example of a device pattern feature. For example, the device pattern feature may be for a memory device (eg SRAM). As can be appreciated, a full memory device may have even more features within the illustrated area. However, it may be desirable to determine the overlay of a particular combination of device pattern features shown in FIG. 29A. Such an overlay can be used for patterning process control, defect prediction in the patterning process, and the like, as discussed in more detail herein.

도 29a 에서, 디바이스 패턴은 서로에 대해 실질적으로 평행하게 연장되는 복수 개의 선 피쳐(2900)를 포함한다. 더 나아가, 디바이스 패턴은 서로 실질적으로 평행하게 연장되고 선 피쳐(2900)와 인터리빙하는 복수 개의 선 피쳐(2910)를 포함한다. 이제부터 설명될 다중-패터닝 프로세스의 예시적인 일 실시예에서, 피쳐(2900)가 우선 생성되고, 예를 들어 분해능 한계 때문에 그 후에 피쳐(2910)가 생성된다.In FIG. 29A, the device pattern includes a plurality of line features 2900 extending substantially parallel to each other. Furthermore, the device pattern includes a plurality of line features 2910 extending substantially parallel to each other and interleaving with the line features 2900. In one exemplary embodiment of the multi-patterning process, which will be described hereinafter, feature 2900 is created first, followed by feature 2910 due to, for example, resolution limitations.

더 나아가, 예를 들어 선 피쳐(2900)와 나란히 다수의 세그먼트를 가지는 것이 바람직하다. 그러므로, 다중-패터닝 프로세스에서, 이러한 세그먼트는 전술된 바와 같이 절삭부에 의해 생성될 수 있다. 그러므로, 디바이스 패턴은 선 피쳐(2900)에 대한 복수 개의 절삭부 피쳐(2920)를 포함한다. 더 나아가, 디바이스 패턴은 선 피쳐(2910)에 대한 복수 개의 절삭부 피쳐(2930)를 포함한다.Furthermore, it is desirable to have multiple segments alongside, for example, line features 2900. Therefore, in a multi-patterning process, these segments can be created by the cutting portions as described above. Therefore, the device pattern includes a plurality of cutout features 2920 for line features 2900. Furthermore, the device pattern includes a plurality of cutout features 2930 for line features 2910.

그러면, 디바이스 패턴 피쳐가 복수 개의 리소-에칭(LE) 프로세스에 의하여 생성될 수 있다. 도 29b, 도 29c, 도 29d 및 도 29e 는 디바이스 다중-패터닝 방법의 단계들의 일 예를 개략적으로 도시한다. 도 29b 에서, 복수 개의 선 피쳐(2900)가 생성된다. 그러면, 도 29c 에서, 절삭부(2920)가 피쳐(2900)에 적용되어 도 29a 에 도시된 바와 같은 세그멘트화된 선 피쳐(2900)가 생긴다.Then, device pattern features may be created by a plurality of litho-etching (LE) processes. 29B, 29C, 29D and 29E schematically illustrate an example of steps in a device multi-patterning method. In FIG. 29B, a plurality of line features 2900 are created. Then, in FIG. 29C, cut 2920 is applied to feature 2900, resulting in segmented line feature 2900 as shown in FIG. 29A.

도 29d 에서, 복수 개의 선 피쳐(2910)가 생성되는데, 여기에서 복수 개의 선 피쳐(2910)는 선 피쳐들(2900) 사이에서 인터리빙된 방식으로 생성된다. 그러면, 도 29e 에서, 절삭부(2930)가 피쳐(2910)에 적용되어 도 29a 에 도시된 바와 같은 세그멘트화된 선 피쳐(2910)가 생긴다.In FIG. 29D, a plurality of line features 2910 are created, wherein the plurality of line features 2910 are created in an interleaved manner between the line features 2900. Then, in Fig. 29E, a cutout 2930 is applied to the feature 2910, resulting in a segmented line feature 2910 as shown in Fig. 29A.

따라서, 절삭부(2920)와 절삭부(2930)의 생성들 사이의 오버레이를 결정하는 것이 소망될 수 있다. 또는, 구조체들(2900 및 2910) 사이의 오버레이를 결정하는 것이 소망될 수 있다. 그러므로, 이해될 수 있는 것처럼, 바람직하게는 결정된 후 모니터링되고 제어 등이 될 수 있는 다양한 상이한 오버레이가 존재할 수 있다.Thus, it may be desirable to determine the overlay between the creations of the cut 2920 and the cut 2930. Alternatively, it may be desirable to determine an overlay between structures 2900 and 2910. Therefore, as can be appreciated, there may be a variety of different overlays that may preferably be determined and then monitored, controlled, etc.

그러므로, 관심 층들이 식별되고, 결정되어야 하는 오버레이(예를 들어, X-방향의 오버레이, Y 방향의 오버레이, 또는 X 및 Y 방향 양자 모두의 오버레이)가 식별된다. 이러한 예에서, 구조체들(2900 및 2910) 사이의 X 방향 오버레이를 결정하고 절삭부들(2920 및 2930) 사이의 Y 방향 오버레이를 결정하는 것이 소망될 수 있다.Therefore, the layers of interest are identified, and the overlay to be determined (eg, an overlay in the X-direction, an overlay in the Y direction, or an overlay in both X and Y directions) is identified. In this example, it may be desirable to determine an X-direction overlay between structures 2900 and 2910 and a Y-direction overlay between cutouts 2920 and 2930.

그러므로, 디바이스 내의 하나 이상의 특정한 관심 오버레이를 가지면, 비-제품 타겟이 오버레이를 결정하는 것을 돕도록 설계될 수 있다. 도 29a 의 디바이스 피쳐의 경우에는, 구조체의 선 공간 패턴이 관심 층과 비견되는 피치 및 CD를 가지고 생성될 수 있다. 비-제품 타겟 디자인의 이러한 구조체의 일 예가 도 29f 에 개략적으로 도시된다. 이러한 경우에, 예를 들어 구조체(2940)는 구조체(2900)가 생성되는 것과 동일한 패터닝 프로세스에서 생성될 것이고, 구조체(2950)는 구조체(2910)와 동일한 패터닝 프로세스에서 생성될 것이다. 도 21 내지 도 28 에 대해서 전술된 바와 같이, 구조체(2940 및 2950)가 생성되어 대칭이 깨지게 한 경우에, X 방향 오버레이 결정을 가능하게 하기 위해서, 구조체들(2940 및 2950) 사이에 물리적 차분이 제공되어 X 방향의 상대적인 시프트를 가능하게 할 수 있다. 구조체(2940 및 2950)가 실질적으로 구조체(2900 및 2910)에 대한 프록시로서의 역할을 하기 때문에, 해당 조건에서 비-제품 타겟에 의해 재지향된 방사선으로부터 구조체들(2940 및 2950) 사이의 X 방향의 상대적인 변위를 결정하는 것은, 구조체(2900 및 2910)에 대한 X 방향 오버레이에 대응할 수 있다.Therefore, having one or more specific overlays of interest within the device can be designed to help non-product targets determine the overlay. In the case of the device feature of FIG. 29A, the line space pattern of the structure can be created with a pitch and CD comparable to the layer of interest. An example of such a structure of a non-product target design is schematically shown in FIG. 29F. In this case, for example, structure 2940 would be created in the same patterning process as structure 2900 was created, and structure 2950 would be created in the same patterning process as structure 2910. As described above with respect to FIGS. 21 to 28, when the structures 2940 and 2950 are created to cause the symmetry to be broken, a physical difference between the structures 2940 and 2950 is required to enable the X-direction overlay determination. May be provided to enable a relative shift in the X direction. Since structures 2940 and 2950 substantially serve as proxies for structures 2900 and 2910, the relative X direction between the structures 2940 and 2950 from radiation redirected by the non-product target in that condition. Determining the displacement may correspond to an X-direction overlay for structures 2900 and 2910.

더 나아가, 도 29g 를 참조하면, 하나 이상의 절삭부가 도 29f 의 비-제품 타겟 디자인의 구조체에 도입되어 Y 방향 오버레이의 결정을 가능하게 한다. 이를 가능하게 하기 위하여, 유닛 셀(2960)이 규정된다. 도시된 바와 같이, 유닛 셀은 구조체(2940 및 2950)를 가지고, 구조체(2940 및 2950)의 X 방향의 상대적인 변위에 의해 깨지는 Y 대칭을 가진다. 그러므로, Y 방향 오버레이 결정을 가능하게 하기 위하여, Y 방향에 상대적인 변위가 있는 경우에 피쳐가 도입되어 X 방향에서 비대칭을 생성한다. 위에서 언급된 바와 같이, 절삭부들(2920 및 2930) 사이에서 Y 방향의 오버레이를 결정하는 것이 소망된다. 그러므로, 절삭부(2920 및 2930)가 구조체(2900 및 2910)의 일부를 각각 제거하기 때문에, 비견되는 절삭부가 구조체(2940 및 2950) 각각에 도입된다. 이러한 실시예에서, 그러한 절삭부는 절삭부(2970 및 2980)이다. 절삭부(2970 및 2980)는 절삭부(2970 및 2980)가 생성되는 동안에 그들 사이의 상대적인 시프트에 기인한 Y 방향 오버레이를 결정하기 위한 레퍼런스를 생성한다. 절삭부(2970 및 2980)가 실질적으로 절삭부(2920 및 2930)에 대한 프록시로서의 역할을 하기 때문에, 따라서 해당 조건에서 비-제품 타겟에 의해 재지향된 방사선으로부터 절삭부들(2970 및 2980) 사이의 Y 방향의 상대적인 변위를 결정하는 것은, 절삭부(2920 및 2930)에 대한 Y 방향 오버레이에 대응할 수 있다.Further, referring to FIG. 29G, one or more cuts are introduced into the structure of the non-product target design of FIG. 29F to enable determination of the Y direction overlay. To make this possible, a unit cell 2960 is defined. As shown, the unit cell has structures 2940 and 2950, and has a Y symmetry broken by the relative displacement of the structures 2940 and 2950 in the X direction. Therefore, in order to enable the Y direction overlay determination, a feature is introduced when there is a relative displacement in the Y direction to create an asymmetry in the X direction. As mentioned above, it is desired to determine the overlay in the Y direction between the cuts 2920 and 2930. Therefore, since the cutting portions 2920 and 2930 remove portions of the structures 2900 and 2910, respectively, comparable cutting portions are introduced into the structures 2940 and 2950, respectively. In this embodiment, such cuts are cuts 2970 and 2980. The cutouts 2970 and 2980 create a reference for determining the Y-direction overlay due to the relative shift between them while the cutouts 2970 and 2980 are being created. Since the cutouts 2970 and 2980 substantially serve as a proxy for the cutouts 2920 and 2930, the Y between cutouts 2970 and 2980 from radiation redirected by the non-product target in that condition. Determining the relative displacement in the direction may correspond to the Y-direction overlay on the cutting portions 2920 and 2930.

일 실시예에서, 절삭부(2970 및 2980)는, 유닛 셀이 공칭 구성에서 X 방향으로 대칭이 되게 한다. 더 나아가, 일 실시예에서, 절삭부는, 전술된 바와 같은 X 오버레이 결정의 관점에서 유닛 셀의 대칭에 영향을 주지 않는다. 일 실시예에서, 절삭부(2970 및 2980)는 가능한 경우, 디바이스 패터닝 프로세스에서 절삭부에 비견되는 CD 및 피치를 가진다. 그러나, 절삭부의 크기, 개수 및 위치는 대칭적 유닛 셀을 만들도록 적응될 수 있다. 일 실시예에서, 도 29g 에 도시된 바와 같이, 유닛 셀은 복수 개의 인스턴스로서 반복되어 기판 상에 생성되기 위한 비-제품 타겟을 형성한다.In one embodiment, cutouts 2970 and 2980 cause the unit cells to be symmetrical in the X direction in their nominal configuration. Furthermore, in one embodiment, the cutout does not affect the symmetry of the unit cell in terms of the X overlay determination as described above. In one embodiment, cutouts 2970 and 2980 have a CD and pitch comparable to cutouts in the device patterning process, if possible. However, the size, number and location of the cuts can be adapted to create a symmetrical unit cell. In one embodiment, as shown in FIG. 29G, the unit cell is repeated as a plurality of instances to form a non-product target to be created on the substrate.

그러므로, 이러한 실시예에서, 공칭 구성에서는 유닛 셀(2960)이 X 및 Y 대칭 양자 모두를 가진다. 더 나아가, 피쳐들 사이에 Y 방향의 상대적인 시프트가 있으면, 유닛 셀 내의 X 대칭이 깨지게 되어(반면에 Y 대칭은 보존됨), Y 방향 오버레이가 결정될 수 있게 된다. 또한, 피쳐들 사이에 X 방향의 상대적인 시프트가 있으면, 유닛 셀 내의 Y 대칭이 깨지게 되어(반면에 X 대칭은 보존됨), X 방향 오버레이가 결정될 수 있게 된다.Therefore, in this embodiment, in the nominal configuration, the unit cell 2960 has both X and Y symmetry. Furthermore, if there is a relative shift in the Y direction between features, the X symmetry within the unit cell is broken (while the Y symmetry is preserved), so that the Y direction overlay can be determined. Also, if there is a relative shift in the X direction between features, the Y symmetry within the unit cell is broken (whereas X symmetry is preserved), so that the X direction overlay can be determined.

이제 도 30 을 참조하면, 도 30a 는 디바이스 패턴 피쳐의 다른 예를 개략적으로 도시한다. 예를 들어, 디바이스 패턴 피쳐는 메모리 디바이스(예를 들어 SRAM)를 위한 것일 수 있다. 이해될 수 있는 것처럼, 풀 메모리 디바이스는 도시된 영역 내에 훨씬 더 많은 피쳐를 가질 수 있을 것이다. 그러나, 도 30a 에서 도시되는 디바이스 패턴 피쳐의 특정 조합의 오버레이를 결정하는 것이 소망될 수 있다. 이러한 오버레이는 본 명세서에서 더 상세하게 논의되는 바와 같이 패터닝 프로세스 제어, 패터닝 프로세스 내의 결함 예측 등을 위하여 사용될 수 있다.Referring now to FIG. 30, FIG. 30A schematically illustrates another example of a device pattern feature. For example, the device pattern feature may be for a memory device (eg SRAM). As can be appreciated, a full memory device may have even more features within the illustrated area. However, it may be desirable to determine the overlay of a particular combination of device pattern features shown in FIG. 30A. Such an overlay can be used for patterning process control, defect prediction in the patterning process, and the like, as discussed in more detail herein.

도 30a 에서, 디바이스 패턴은 서로에 대해 실질적으로 평행하게 연장되는 복수 개의 선 피쳐(3000)를 포함한다. 더 나아가, 디바이스 패턴은 서로 실질적으로 평행하고 피쳐(3000)에 실질적으로 수직으로 연장하는 복수 개의 선 피쳐(3010)를 포함한다. 이제부터 설명될 다중-패터닝 프로세스의 예시적인 일 실시예에서, 피쳐(3010)가 우선 생성되고, 그 후에 피쳐(3000)가 생성된다.In Fig. 30A, the device pattern includes a plurality of line features 3000 extending substantially parallel to each other. Furthermore, the device pattern includes a plurality of line features 3010 that are substantially parallel to each other and extend substantially perpendicular to the feature 3000. In one exemplary embodiment of the multi-patterning process to be described hereinafter, feature 3010 is first created, and then feature 3000 is created.

더 나아가, 예를 들어 선 피쳐(3000)와 나란히 다수의 세그먼트를 가지는 것이 바람직하다. 그러므로, 다중-패터닝 프로세스에서, 이러한 세그먼트는 전술된 바와 같이 절삭부에 의해 생성될 수 있다. 그러므로, 디바이스 패턴은 선 피쳐(3000)에 대한 복수 개의 절삭부 피쳐(3020)를 포함한다. 그러면, 디바이스 패턴 피쳐는 이제 도 29b 내지 도 29e 에 대해서 설명된 것들과 다르거나 유사한 복수 개의 리소-에칭(LE) 프로세스에 의해서 생성될 수 있다.Furthermore, it is desirable to have multiple segments alongside, for example, line features 3000. Therefore, in a multi-patterning process, these segments can be created by the cutting portions as described above. Therefore, the device pattern includes a plurality of cutout features 3020 for line features 3000. The device pattern features can then be created by a plurality of litho-etch (LE) processes different or similar to those described with respect to FIGS. 29B-29E.

따라서, 구조체들(3000 및 3010) 사이의 오버레이를 결정하는 것이 소망될 수 있다. 또는, 절삭부(3020)와 구조체(3010)의 생성들 사이의 오버레이를 결정하는 것이 소망될 수 있다. 그러므로, 이해될 수 있는 것처럼, 바람직하게는 결정된 후 모니터링되고 제어 등이 될 수 있는 다양한 상이한 오버레이가 존재할 수 있다.Thus, it may be desirable to determine the overlay between structures 3000 and 3010. Alternatively, it may be desirable to determine the overlay between the creations of the cut 3020 and the structure 3010. Therefore, as can be appreciated, there may be a variety of different overlays that may preferably be determined and then monitored, controlled, etc.

그러므로, 관심 층들이 식별되고, 결정되어야 하는 오버레이(예를 들어, X-방향의 오버레이, Y 방향의 오버레이, 또는 X 및 Y 방향 양자 모두의 오버레이)가 식별된다. 이러한 예에서, 구조체(3010)와 절삭부(3020) 사이의 Y 방향 오버레이를 결정하는 것이 소망될 수 있다.Therefore, the layers of interest are identified, and the overlay to be determined (eg, an overlay in the X-direction, an overlay in the Y direction, or an overlay in both X and Y directions) is identified. In this example, it may be desirable to determine the Y-direction overlay between the structure 3010 and the cut 3020.

그러므로, 디바이스 내의 하나 이상의 특정한 관심 오버레이를 가지면, 비-제품 타겟이 오버레이를 결정하는 것을 돕도록 설계될 수 있다. 도 30a 의 디바이스 피쳐의 경우에는, 구조체의 선 공간 패턴이 관심 층과 비견되는 피치 및 CD를 가지고 생성될 수 있다. 비-제품 타겟 디자인의 이러한 구조체의 일 예가 도 30c 에 개략적으로 도시된다. 이러한 경우에, 예를 들어 구조체(3040)는 구조체(3010)가 생성되는 것과 동일한 패터닝 프로세스에서 생성될 것이고, 구조체(3030)는 구조체(3000)와 동일한 패터닝 프로세스에서 생성될 것이다. 도 24 에 대해서 위에서 논의된 바와 같이, 절삭부와 실질적으로 수직인 구조체 사이의 Y 방향 오버레이를 결정하기 위하여 절삭부가 제공될 수 있다. 즉, 절삭부는, 절삭부와 구조체가 생성될 때 이들 사이에 Y 방향 시프트가 생겨서 대칭이 깨지게 하여 Y 방향 오버레이 결정이 가능해지도록 할 수 있다.Therefore, having one or more specific overlays of interest within the device can be designed to help non-product targets determine the overlay. In the case of the device feature of Fig. 30A, the line space pattern of the structure can be created with a pitch and CD comparable to the layer of interest. An example of such a structure of a non-product target design is schematically shown in FIG. 30C. In this case, for example, the structure 3040 will be created in the same patterning process as the structure 3010 was created, and the structure 3030 will be created in the same patterning process as the structure 3000. As discussed above with respect to FIG. 24, a cut may be provided to determine the Y direction overlay between the cut and the substantially vertical structure. That is, when the cutting portion and the structure are generated, a Y-direction shift occurs between them, causing the symmetry to be broken, so that the Y-direction overlay determination becomes possible.

그러므로, 도 30c 를 참조하면, 하나 이상의 절삭부가 도 30b 의 비-제품 타겟 디자인의 구조체에 도입되어 Y 방향 오버레이의 결정을 가능하게 한다. 이를 가능하게 하기 위하여, 유닛 셀(3050)이 규정된다. 도시된 바와 같이, 유닛 셀은 구조체(3030 및 3040)를 가진다. 더 나아가, 유닛 셀은 구조체(3030) 내에 절삭부(3060)를 가진다. 절삭부는, X 대칭이 절삭부(3060) 및 구조체(3040)의 생성 사이에 Y 방향의 상대적인 변위에 의해서 깨지도록 한다. 따라서, 절삭부(3060)는 절삭부(3060)와 구조체(3040) 사이에 Y 방향의 상대적인 변위가 존재하는 경우, X 방향의 비대칭이 생기게 할 수 있다. 절삭부(3060)는 절삭부(3060) 및 구조체(3040)가 생기는 중 그들 사이에 생기는 상대적인 시프트에 기인하는 Y 방향 오버레이를 결정하기 위한 레퍼런스를 생성한다. 절삭부(3060) 및 구조체(3040)가 구조체(3010) 및 절삭부(3020)에 대한 프록시로서의 역할을 하기 때문에, 상대적인 변위 조건에서 비-제품 타겟에 의해 재지향되는 방사선으로부터 절삭부(3060)와 구조체(3040) 사이의 Y 방향의 상대적인 변위를 결정하는 것은, 구조체(3010)와 절삭부(3020) 사이의 Y 방향 오버레이에 대응할 수 있다.Therefore, referring to FIG. 30C, one or more cuts are introduced into the structure of the non-product target design of FIG. 30B to enable determination of the Y-direction overlay. To make this possible, a unit cell 3050 is defined. As shown, the unit cell has structures 3030 and 3040. Furthermore, the unit cell has a cutting portion 3060 in the structure 3030. The cutting portion causes the X symmetry to be broken by the relative displacement in the Y direction between the creation of the cutting portion 3060 and the structure 3040. Accordingly, when there is a relative displacement in the Y direction between the cutting portion 3060 and the structure 3040, the cutting portion 3060 may cause asymmetry in the X direction. The cutting portion 3060 generates a reference for determining the Y-direction overlay due to a relative shift occurring therebetween while the cutting portion 3060 and the structure 3040 are being created. Since the cutting portion 3060 and the structure 3040 serve as a proxy for the structure 3010 and the cutting portion 3020, the cutting portion 3060 and the cutting portion 3060 from radiation redirected by the non-product target under relative displacement conditions Determining the relative displacement in the Y direction between the structures 3040 may correspond to an overlay in the Y direction between the structures 3010 and the cutting portion 3020.

일 실시예에서, 절삭부(3060)는, 유닛 셀이 공칭 구성에서 X 방향으로 대칭이 되게 한다. 더 나아가, 일 실시예에서, 절삭부(3060)는 Y 방향의 유닛 셀의 대칭에 영향을 주지 않게 한다. 일 실시예에서, 절삭부(3060)는, 가능한 경우 디바이스 패터닝 프로세스 중의 절삭부(3020)에 비견되는 CD 및 피치를 가진다. 그러나, 절삭부의 크기, 개수 및 위치는 대칭적 유닛 셀을 만들도록 적응될 수 있다. 일 실시예에서, 도 30c 에 도시된 바와 같이, 유닛 셀은 복수 개의 인스턴스로서 반복되어 기판 상에 생성되기 위한 비-제품 타겟을 형성한다.In one embodiment, the cutout 3060 causes the unit cell to be symmetrical in the X direction in its nominal configuration. Furthermore, in one embodiment, the cutting portion 3060 does not affect the symmetry of the unit cell in the Y direction. In one embodiment, the cutout 3060 has a CD and pitch comparable to the cutout 3020 during the device patterning process, if possible. However, the size, number and location of the cuts can be adapted to create a symmetrical unit cell. In one embodiment, as shown in Fig. 30C, the unit cell is repeated as a plurality of instances to form a non-product target to be created on the substrate.

그러므로, 이러한 실시예에서, 공칭 구성에서는 유닛 셀(3060)이 X 및 Y 대칭 양자 모두를 가진다. 더 나아가, 피쳐들 사이에 Y 방향의 상대적인 시프트가 있으면, 유닛 셀 내의 X 대칭이 깨지게 되어(반면에 Y 대칭은 보존됨), Y 방향 오버레이가 결정될 수 있게 된다.Therefore, in this embodiment, in the nominal configuration, the unit cell 3060 has both X and Y symmetry. Furthermore, if there is a relative shift in the Y direction between features, the X symmetry within the unit cell is broken (while the Y symmetry is preserved), so that the Y direction overlay can be determined.

도 31 을 참조하면, 비-제품 타겟을 설계하기 위한 방법의 일 실시예가 개략적으로 도시된다. 여러 단계들이 기술되지만, 이러한 단계들 모두가 필수적인 것은 아니다. 따라서, 일 실시예에서, 단계들의 서브-조합이 선택될 수 있다. 더 나아가, 단계들(또는 단계들의 서브-조합)의 순서는 재정렬될 수 있다. 더 나아가, 디자인 방법은 오버레이(또는 이러한 타겟의 결과로부터 유도된 임의의 다른 파라미터)를 결정하기 위하여 비-제품 타겟 디자인을 생성하는 것과 관해서 설명된다. 그러나, 이러한 방법은 하나 이상의 다른 파라미터로 확장될 수 있다.Referring to Figure 31, an embodiment of a method for designing a non-product target is schematically shown. Several steps are described, but not all of these steps are essential. Thus, in one embodiment, a sub-combination of steps may be selected. Furthermore, the order of steps (or sub-combinations of steps) can be rearranged. Furthermore, the design method is described in terms of generating a non-product target design to determine an overlay (or any other parameter derived from the result of this target). However, this method can be extended with one or more other parameters.

3100 에서, 하나 이상의 비-제품 타겟이 비-제품 타겟 레이아웃 설계 프로세스에서 설계된다. 하나 이상의 비-제품 타겟 설계는 본 명세서에서 설명되는 것들 중 임의의 하나 이상일 수 있다. 일 실시예에서, 본 명세서에서 설명된 바와 같은, 비-제품 타겟 디자인을 설계하는 하나 이상의 기법이 사용될 수 있다. 일 실시예에서, 비-제품 타겟 레이아웃 설계 프로세스는 비-제품 타겟의 유닛 셀의 기하학적 구조(및 따라서 비-제품 타겟의 기하학적 구조)를 주로 결정한다.At 3100, one or more non-product targets are designed in the non-product target layout design process. The one or more non-product target designs may be any one or more of those described herein. In one embodiment, one or more techniques for designing a non-product target design, as described herein, may be used. In one embodiment, the non-product target layout design process primarily determines the geometry of the unit cell of the non-product target (and thus the geometry of the non-product target).

일 실시예에서, 비-제품 타겟 레이아웃 설계 프로세스는 디바이스 패턴을 평가하여 관심 오버레이를 식별하는 것을 수반한다. 흔히, 오버레이를 평가하기 위한 피쳐 및/또는 층의 다수의 조합이, 특히 LELE 처리에 존재한다. 그러므로, 피쳐 및/또는 층의 하나 이상의 오버레이-임계 조합을 결정하는 것이 소망될 수 있다.In one embodiment, the non-product target layout design process involves evaluating device patterns to identify overlays of interest. Often, there are multiple combinations of features and/or layers for evaluating the overlay, especially in the LELE process. Therefore, it may be desirable to determine one or more overlay-critical combinations of features and/or layers.

하나 이상의 오버레이가 평가되는 피쳐 / 층 및 하나 이상의 방향(예를 들어, X, Y 또는 X 및 Y)에 대해서 식별되면, 반복 패턴(예를 들어, 선 공간 패턴, 예컨대 도 28 에서와 같은 폐곡선의 어레이)이 생성될 수 있다. 일 실시예에서, 반복 패턴은 디바이스 패턴으로부터의 관심 피쳐 / 층과 비견되는 피치 및/또는 CD를 가진다.If one or more overlays are identified for the feature/layer being evaluated and one or more directions (e.g., X, Y or X and Y), a repeating pattern (e.g., a line space pattern, e.g., of a closed curve as in FIG. Array) can be created. In one embodiment, the repeating pattern has a pitch and/or CD comparable to a feature/layer of interest from the device pattern.

그러면, 측정할 디바이스 패턴 및 오버레이에 따라서, 비-제품 타겟 디자인의 유닛 셀의 기하학적 구조가 본 명세서에서 설명된 기법들 중 하나 이상을 사용하여 생성될 수 있다. 예를 들어, 관심 피쳐가 평행하고(예를 들어 Y 방향) 및 X 방향의 오버레이가 소망되면, 도 21 에서와 같은 타겟이 생성될 수 있고, 또는 타겟은 도 21 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다. 예를 들어, 관심 피쳐가 평행하고(예를 들어 Y 방향) 및 Y 방향의 오버레이가 소망되면, 도 22 에서와 같은 절삭부 / 돌출부 배치구성을 포함하는 타겟이 생성될 수 있고, 또는 타겟은 도 22 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다. 예를 들어, 관심 피쳐가 수직이고 X 방향의 오버레이가 소망되면, 도 23 에서와 같은 타겟이 생성될 수 있고, 또는 타겟은 도 23 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다. 예를 들어, 관심 피쳐가 수직이고 Y 방향의 오버레이 측정돼야 한다면, 도 24 에서와 같은 타겟이 생성될 수 있고, 또는 타겟은 도 24 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다.Then, depending on the device pattern and overlay to be measured, the geometry of the unit cell of the non-product target design can be created using one or more of the techniques described herein. For example, if the feature of interest is parallel (e.g., in the Y direction) and an overlay in the X direction is desired, a target as in FIG. 21 can be created, or the target includes the design feature in FIG. Can be decided. For example, if the features of interest are parallel (e.g., in the Y direction) and an overlay in the Y direction is desired, a target including a cut/protrusion arrangement as in FIG. 22 can be created, or the target is It includes 22 design features to allow the overlay to be determined. For example, if the feature of interest is vertical and an overlay in the X direction is desired, a target as in FIG. 23 may be created, or the target may include the design feature in FIG. 23 to allow the overlay to be determined. For example, if the feature of interest is vertical and the overlay measurement in the Y direction is to be measured, a target as in FIG. 24 may be created, or the target may include the design feature in FIG. 24 to allow the overlay to be determined.

적절한 경우 및 많은 경우에, 선 공간 패턴의 선 상에 있는 절삭부 / 돌출부는, X 및/또는 Y 방향의 대칭을 깨뜨려서 각각의 오버레이가 결정될 수 있게 하는 수단으로서 사용될 수 있다. 일 실시예에서, 절삭부 / 돌출부는 디바이스 패턴 내의 연관된 피쳐로서 CD 및/또는 피치의 관점에서 비견된다. 하지만, 일 실시예에서, 절삭부 / 돌출부의 위치는, 유닛 셀이 공칭 구성에서 대칭이 되게 해야 한다. 일 실시예에서, 절삭부 / 돌출부 및/또는 유닛 셀의 구조체는 유닛 셀을 가능한 작게 만들도록 선택된다.In appropriate cases and in many cases, the cuts/protrusions on the lines of the line space pattern can be used as a means to break the symmetry in the X and/or Y directions so that the respective overlay can be determined. In one embodiment, the cut/protrusion is compared in terms of CD and/or pitch as an associated feature in the device pattern. However, in one embodiment, the location of the cut/protrusion should be such that the unit cell is symmetrical in its nominal configuration. In one embodiment, the cut/protrusion and/or structure of the unit cell is selected to make the unit cell as small as possible.

일 실시예에서, 타겟은 디바이스의 프로세스 단계 모두를 반드시 따라야 하는 것은 아니다(예를 들어, 디바이스의 하나 이상의 프로세스 단계는, 예를 들어 그러한 단계가 모델링하기 어렵다면 타겟을 형성할 때 바이패스될 수 있다). 그러나, 디바이스와 타겟 사이의 프로세스 차분은 고려대상인 피쳐 / 층에 대한 오버레이에 영향을 주어서는 안 된다.In one embodiment, the target does not necessarily have to follow all of the process steps of the device (e.g., one or more process steps of the device may be bypassed when forming the target, for example if such steps are difficult to model. have). However, the process difference between the device and the target should not affect the overlay for the feature/layer under consideration.

X 방향의 오버레이 및 Y 방향의 오버레이 양자 모두가 동일한 타겟에 대해서 요구되는 경우, 절삭부의 수직 시프트는 Y 축에 대한 대칭을 바꾸지 않아야 하고 구조체의 수평 시프트는 X 축에 대한 대칭을 바꾸지 않아야 한다. 그러면, X 및 Y 방향 오버레이들이, 타겟으로부터의 재지향된 방사선으로부터 그들이 결정될 때 디커플링되게 보장하는 것을 돕는다.If both the overlay in the X direction and the overlay in the Y direction are required for the same target, the vertical shift of the cut should not change the symmetry about the Y axis and the horizontal shift of the structure should not change the symmetry about the X axis. Then, the X and Y direction overlays help to ensure that they are decoupled when they are determined from redirected radiation from the target.

일 실시예에서, 층들 중 하나가 LELE로 처리된다면, 오버레이를 리소그래피 단계의 각각으로부터 디커플링하기 위해서 상이한 타겟들이 사용될 수 있다. 두 개의 층이 LELE로 처리되면, 예를 들어 네 개의 타겟이 사용될 수 있다.In one embodiment, if one of the layers is treated with LELE, different targets may be used to decouple the overlay from each of the lithographic steps. If two layers are treated with LELE, for example four targets can be used.

일 실시예에서, 타겟의 오버레이 감도가 허용한다면, 세 개 이상의 층들 사이의 오버레이가 동일한 타겟(예를 들어, 도 27 의 타겟같은 타겟) 내에서 조합될 수 있다. 그러면 공간-효율성이 좋아질 것이지만, 예를 들어 타겟이 더 복잡해지는 것에 기인하여 모델링의 크로스-토크 또는 부정확성이 생기기 때문에 정확도의 손실이 존재할 수 있다.In one embodiment, overlays between three or more layers may be combined within the same target (eg, a target such as the target of FIG. 27) if the target's overlay sensitivity allows. This will improve the space-efficiency, but there may be a loss of accuracy, for example because of the more complex the target, resulting in cross-talk or inaccuracies in the modeling.

일 실시예에서, 타겟은 클리어런스 영역 및 디바이스와 유사한 밀도의 패턴이 있는 패터닝된 영역을 가질 것이다. 일 실시예에서, 타겟 주위의 클리어런스 영역과 패터닝된 영역은, 예를 들어 적어도 0.2 μm의 클리어런스 영역 및/또는 적어도 2 μm의 패터닝된 영역일 수 있다. In one embodiment, the target will have a clearance area and a patterned area with a pattern of similar density to the device. In one embodiment, the clearance area around the target and the patterned area may be, for example, a clearance area of at least 0.2 μm and/or a patterned area of at least 2 μm.

공칭 타겟 디자인이 주어지면, 공칭 타겟 디자인을 튜닝하고 및/또는 공칭 타겟 디자인이 적합할 것인지 여부를 결정하기 위해서 다양한 평가 단계가 수행될 수 있다. 그러므로, 예를 들어 디바이스 피쳐의 오버레이 거동을 만족시키기 위한 타겟의 디자인 이외에, 타겟의 디자인은 인쇄가능성(예를 들어, 타겟이 패터닝 프로세스의 일부로서 생성될 수 있는 가능성), 검출가능성(예를 들어, 얼마나 양호한 신호가 타겟에 의해 생성되는지), 견실성(예를 들어, 타겟이 패터닝 프로세스에서 발생하는 변동에 대해 얼마나 안정적인지), 및/또는 디바이스 매칭(예를 들어, 디바이스의 오버레이의 표현이 타겟으로부터의 오버레이의 결정치에 얼마나 가까운지)의 관점에서 분석될 수 있다.Given a nominal target design, various evaluation steps may be performed to tune the nominal target design and/or determine whether the nominal target design will be suitable. Therefore, in addition to the design of the target, for example to satisfy the overlay behavior of the device feature, the design of the target is printability (e.g., the possibility that the target can be created as part of the patterning process), detectability (e.g. , How good the signal is generated by the target), robustness (e.g., how stable the target is to fluctuations occurring in the patterning process), and/or device matching (e.g., the representation of the overlay of the device is It can be analyzed in terms of how close it is to the determination of the overlay from the target).

그러므로, 3110 에서, 타겟으로부터 측정된 오버레이가 디바이스의 오버레이를 대표한다고 결정하기 위하여 디바이스 매칭이 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 디바이스의 시뮬레이션되거나 모델링된 관심 오버레이가 타겟 디자인의 대응하는 시뮬레이션되거나 모델링된 관심 오버레이와 매칭되는지 여부를 결정함으로써 수행될 수 있다. 일 실시예에서, 매칭은 패터닝 프로세스의 리소그래피 단계에 대해서 수행될 수 있다(예를 들어, 필드내 매칭). 일 실시예에서, 매칭은 패터닝 프로세스의 에칭 단계에 대해서 수행될 수 있다(예를 들어, 필드간 매칭). 충분한 매칭이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).Therefore, at 3110, device matching may be performed to determine that the overlay measured from the target represents the overlay of the device. This can be done by using a simulator or mathematical model to determine whether the simulated or modeled overlay of interest of the device matches the corresponding simulated or modeled overlay of interest of the target design. In one embodiment, matching may be performed on the lithographic step of the patterning process (eg, in-field matching). In one embodiment, matching may be performed on the etching step of the patterning process (eg, inter-field matching). If there is not enough match, the target design can be discarded or modified, for example (where the modification is a change in the pitch of a feature of the target, a change in the CD of the feature of the target, a change in the material of the structure of the target, etc.) May contain).

3120 에서, 타겟 디자인에 의해서 신호가 얼마나 양호하게 생성되는지를 결정하기 위하여 검출가능성 평가가 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 타겟 디자인으로부터 기대 신호를 결정하고, 이것이 임계치를 만족하는지 여부를 결정함으로써 수행될 수 있다. 일 실시예에서, 이것은 오버레이에 대한 타겟의 감도, 예컨대 본 명세서에서 논의된 바와 같은 감도들 중 임의의 것(예를 들어, 야코비안)을 평가하는 것을 수반할 수 있다. 일 실시예에서, 이러한 평가는 타겟 디자인의 퓨필 세기(예를 들어, 퓨필 세기의 제곱 평균 제곱근), 스택 감도 및/또는 회절 효율을 고려하고, 이것을 임계치에 대해서 평가할 수 있다. 충분한 매칭이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음). 일 실시예에서, 각각의 임계치가 만족될 때까지 단계(3110 및 3120)의 반복이 수행된다.At 3120, a detectability evaluation can be performed to determine how well the signal is generated by the target design. This can be done by determining the expected signal from the target design using a simulator or a mathematical model, and determining whether it meets a threshold. In one embodiment, this may involve evaluating the sensitivity of the target to the overlay, such as any of the sensitivities as discussed herein (eg, Jacobian). In one embodiment, this evaluation may take into account the pupil intensity of the target design (eg, root mean square of the pupil intensity), stack sensitivity and/or diffraction efficiency, and evaluate this against a threshold. If there is not enough match, the target design can be discarded or modified, for example (where the modification is a change in the pitch of a feature of the target, a change in the CD of the feature of the target, a change in the material of the structure of the target, etc.) May contain). In one embodiment, iterations of steps 3110 and 3120 are performed until each threshold is satisfied.

3130 에서, 인쇄가능성 평가는 타겟이 패터닝 프로세스의 일부로서 생성될 수 있는 가능성을 결정하기 위하여 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 타겟 디자인이 기판 상에 충분히 생성될 것인지 여부(예를 들어, 임계치를 지나거나 만족시킬지 여부)를 결정함으로써 수행될 수 있다. 충분한 인쇄가능성이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).At 3130, a printability evaluation can be performed to determine the likelihood that a target can be created as part of the patterning process. This can be done by using a simulator or a mathematical model to determine whether the target design will be sufficiently created on the substrate (eg, whether to pass or satisfy a threshold). If sufficient printability does not exist, the target design can, for example, be discarded or modified (where modification is a change in the pitch of the features of the target, a change in the CD of the features of the target, a change in the material of the structure of the target, etc.) May include).

3140 에서, 타겟이 패터닝 프로세스에서 발생하는 변동에 대해 얼마나 안정한지를 결정하기 위하여 견실성 평가가 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 타겟 디자인이 패터닝 프로세스에서 발생하는 변동에 민감해서(예를 들어, 임계치를 지나거나 만족하는지) 부정확한 결과를 생성할지 여부를 결정함으로써 수행될 수 있다. 예를 들어, 이러한 평가는, 예를 들어 시뮬레이터 또는 모델에 섭동을 도입함으로써, 타겟 결과의 프로세스 섭동에 대한 직교성을 결정할 수 있다. 충분한 견실성이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).At 3140, a robustness evaluation may be performed to determine how stable the target is to variations occurring in the patterning process. This can be done by using a simulator or a mathematical model to determine whether the target design is sensitive to variations occurring in the patterning process (eg, past or satisfies a threshold) and will produce inaccurate results. For example, such an evaluation can determine the orthogonality of the target result to the process perturbation, for example by introducing perturbation into the simulator or model. If sufficient robustness does not exist, the target design can be discarded or modified, for example (where modification is a change in the pitch of the features of the target, a change in the CD of the features of the target, a change in the material of the structure of the target, etc.) May include).

3150 에서, 타겟은 타겟을 검증하기 위하여 패터닝 프로세스에 의해 생성될 수 있다. 타겟을 인쇄하는 패터닝 프로세스는 알려진 다양한 오버레이를 타겟에 유도하도록 설정될 수 있고, 그러면 타겟은 본 명세서의 기법을 사용하여 측정되어 오버레이를 결정할 수 있다. 그러면 설정된 오버레이는 획득된 오버레이와 비교될 수 있다. 충분한 매칭(예를 들어, 임계치를 지나거나 만족시킴)이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).At 3150, a target may be created by a patterning process to verify the target. The patterning process of printing the target can be set up to direct a variety of known overlays to the target, and the target can then be measured to determine the overlay using the techniques herein. The set overlay can then be compared with the acquired overlay. If there is not enough match (e.g., past or satisfying a threshold), the target design can be discarded or modified, for example (where the modification is a change in the pitch of the features of the target, the CD of the features of the target) May include changes in the material of the target structure, etc.).

결정된 패터닝 프로세스 파라미터 값(예를 들어, 오버레이 값) 및 본 명세서의 기법은 여러 목적을 위하여 사용될 수 있다. 예를 들어, 패터닝 프로세스가 가능해지게 하기 위한 중요한 양태들은, 프로세스 자체를 개선하는 것, 이것을 모니터링 및 제어를 위해 셋업하는 것 및 이제 해당 프로세스 자체를 실제로 모니터링하고 제어하는 것(즉, 패터닝 프로세스 파라미터 값에 기초하여 결함 가능성을 예측하는 것)을 포함한다. 패터닝 프로세스 파라미터 값 및 본 명세서의 기법은 이러한 양태들 중 임의의 것에서 사용될 수 있다. 더 나아가, 패터닝 프로세스의 근본의 구성을 가정하면(패터닝 디바이스 패턴(들), 레지스트 타입(들), 사후-리소그래피 프로세스 단계(현상, 에칭 등과 같음) 등과 같음), 패턴을 기판 상에 전사하기 위해 패터닝 프로세스에서 장치를 셋업하고, 프로세스를 모니터링하기 위한 하나 이상의 계측 타겟을 현상하며, 계측 타겟을 측정하기 위해 계측 프로세스를 셋업하며, 프로세스를 측정에 기초하여 모니터링 및/또는 제어하는 프로세스를 구현하는 것이 바람직하다. 패터닝 프로세스 파라미터 값 및 본 명세서의 기법은 그러한 프로세스 중 임의의 것에서 사용될 수 있다.The determined patterning process parameter value (eg, overlay value) and the techniques herein can be used for several purposes. For example, the important aspects for making the patterning process possible are improving the process itself, setting it up for monitoring and control, and now actually monitoring and controlling the process itself (i.e., patterning process parameter values. To predict the likelihood of a defect on the basis of). Patterning process parameter values and techniques herein may be used in any of these aspects. Further, assuming the underlying configuration of the patterning process (such as patterning device pattern(s), resist type(s), post-lithography process steps (like development, etching, etc.)), to transfer the pattern onto the substrate. Implementing the process of setting up the device in the patterning process, developing one or more metrology targets to monitor the process, setting up the metrology process to measure the metrology target, and monitoring and/or controlling the process based on measurements. desirable. Patterning process parameter values and techniques herein may be used in any of such processes.

비록 본 출원에서의 논의에서 기판 상에 형성되고 있는 디바이스의 오버레이를 측정하도록 디자인된 계측 프로세스 및 계측 타겟의 일 실시예가 고려될 것이지만, 본 명세서의 실시예들은 다른 계측 프로세스 및 타겟, 예컨대 대칭적 구조체 내의, 측벽 각도 비대칭, 하단 바닥 틸트 각도의 비대칭, CD의 비대칭 등과 같은 다양한 다른 비대칭을 측정하기 위한 프로세스 및 타겟에도 마찬가지로 적용가능하다. 따라서, 본 명세서에서 오버레이 계측 타겟, 오버레이 데이터 등을 지칭하는 것은 다른 종류의 계측 프로세스 및 타겟을 가리킬 수 있도록 적절하게 수정되는 것으로 간주되어야 한다.Although in the discussion herein one embodiment of a metrology process and metrology target designed to measure an overlay of a device being formed on a substrate will be considered, embodiments herein are intended to provide other metrology processes and targets, such as symmetrical structures. It is equally applicable to processes and targets for measuring various other asymmetries, such as inner, sidewall angle asymmetry, bottom floor tilt angle asymmetry, CD asymmetry, and the like. Therefore, referring to an overlay metrology target, overlay data, and the like in this specification should be considered to be appropriately modified so as to refer to other types of metrology processes and targets.

일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 기판 상의 빔 스폿이 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명하는 단계 - 상기 유닛 셀은 상기 파라미터의 공칭 값에서 기하학적 대칭을 가짐 -; 상기 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 0차 방사선을 주성분으로 검출기를 사용하여 검출하는 단계; 및 하드웨어 컴퓨터 시스템에 의하여, 검출된 방사선의 광학 특성의 값으로부터 상기 유닛 셀의 파라미터의 비-공칭 값을 결정하는 단계를 포함하는, 오버레이 결정 방법이 제공된다.In one embodiment, a method of determining a parameter of a patterning process, comprising illuminating the substrate with a radiation beam such that a beam spot on the substrate is filled with one or more physical instances of a unit cell, wherein the unit cell is at a nominal value of the parameter. Has geometric symmetry -; Detecting zero-order radiation redirected by one or more physical instances of the unit cell as a main component using a detector; And determining, by a hardware computer system, a non-nominal value of the parameter of the unit cell from the value of the optical property of the detected radiation.

일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 이러한 방법은 상기 파라미터에 기초하여 에지 배치 오차를 결정하는 것을 포함한다. 일 실시예에서, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 큰 감도를 가지는 검출된 방사선의 픽셀로부터 얻어진 광학 특성 값은, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 파라미터의 비-공칭 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 광학 특성의 값은 퓨필 표현을 형성한다. 일 실시예에서, 상기 광학 특성의 값은 검출된 방사선의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 상기 파라미터의 비-공칭 값은, 검출된 방사선의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체이다. 일 실시예에서, 상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 방사선은 상기 유닛 셀의 하나 이상의 물리적 인스턴스를 생성하도록, 에칭 프로세스 이후에 검출된다. 일 실시예에서, 상기 파라미터는 오버레이를 포함하고, 상기 방법은, 상기 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 오버레이와 별개로 제 1 오버레이의 값을 결정하는 단계를 더 포함하며,In one embodiment, this parameter includes an overlay. In one embodiment, the method includes determining an edge placement error based on the parameter. In one embodiment, the optical property value obtained from the pixel of the detected radiation having a greater sensitivity to the physical effect measured by the parameter is the detected radiation having a lower sensitivity to the physical effect measured by the parameter Contributes more to determining the non-nominal value of this parameter than the optical property values obtained from other pixels of. In one embodiment, the values of the optical properties form a pupil representation. In one embodiment, the value of the optical characteristic is processed to subtract the optical characteristic value across the axis of symmetry, to reduce or eliminate the optical characteristic value of the symmetric optical characteristic distribution of the detected radiation. In one embodiment, the non-nominal value of the parameter is determined using, for a plurality of pixels of detected radiation, the summation of optical characteristic values for that pixel multiplied by the associated weight for each pixel. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, one or more physical instances of the unit cell are device structures. In one embodiment, the at least one physical instance of the unit cell is a non-device structure within a substrate die containing a device structure. In one embodiment, the radiation is detected after an etching process to create one or more physical instances of the unit cell. In one embodiment, the parameter comprises an overlay, and the method further comprises determining a value of the first overlay separately from the second overlay also obtainable from the optical property value, from the same optical property value, and ,

상기 제 1 오버레이는, 상기 제 2 오버레이와 상이한 방향이거나, 상기 유닛 셀 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있다.The first overlay may be in a different orientation from the second overlay, or between portions of the unit cell in a different combination from the second overlay.

일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 퓨필 표현을 획득하는 단계 - 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성은 상기 퓨필 표현 내에 비대칭 광학 특성 분포를 초래함 -; 상기 퓨필 표현 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 퓨필 표현을 처리하는 단계; 및 하드웨어 컴퓨터 시스템에 의하여, 처리된 퓨필 표현으로부터 얻어진 광학 특성 값에 기초하여 패터닝 프로세스 파라미터의 값을 결정하는 단계를 포함하는, 패터닝 프로세스 파라미터 결정 방법이 제공된다.In one embodiment, a method of determining a parameter of a patterning process, comprising: obtaining a detected pupil representation of radiation redirected by a structure having geometric symmetry in a nominal physical configuration-a physical configuration different from the nominal physical configuration of the structure Results in an asymmetric optical property distribution within the pupil representation -; Processing the pupil representation to subtract an optical feature value across an axis of symmetry to reduce or eliminate the optical feature value of a symmetrical optical feature distribution in the pupil representation; And determining, by a hardware computer system, a value of the patterning process parameter based on the optical characteristic value obtained from the processed pupil representation.

일 실시예에서, 상기 패터닝 프로세스 파라미터는 오버레이이고, 상기 상이한 물리적 구성은 상기 구조체의 다른 부분에 대한 상기 구조체의 적어도 일부의 상대적인 시프트이다. 일 실시예에서, 퓨필 표현은 주성분으로 0차 방사선에 대한 것이다. 일 실시예에서, 상기 상이한 물리적 구성에 대해 더 큰 감도를 가지는 처리된 퓨필 표현의 픽셀로부터 얻어진 광학 특성 값은 상기 상이한 물리적 구성에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 패터닝 프로세스 파라미터의 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 상기 패터닝 프로세스 파라미터의 값은, 상기 퓨필 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 방사선은 구조체를 생성하기 위한 에칭 프로세스 이후에 검출된다. 일 실시예에서, 상기 결정하는 단계는, 상기 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 패터닝 프로세스 파라미터의 값과 별개로 상기 구조체의 제 1 패터닝 프로세스 파라미터의 값을 결정하는 것을 포함하고, 상기 제 1 패터닝 프로세스 파라미터는, 상기 제 2 패터닝 프로세스 파라미터와 상이한 방향이거나, 상기 구조체 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있다.In one embodiment, the patterning process parameter is an overlay, and the different physical configuration is a relative shift of at least a portion of the structure relative to another portion of the structure. In one embodiment, the pupil representation is for zero order radiation as the principal component. In one embodiment, the optical property values obtained from pixels of the processed pupil representation having a greater sensitivity for the different physical configurations are optical property values obtained from other pixels of the detected radiation having a lower sensitivity for the different physical configurations. It contributes more to determining the value of the patterning process parameter. In one embodiment, the value of the patterning process parameter is determined by using a summation of optical characteristic values for a corresponding pixel multiplied by an associated weight for each pixel for a plurality of pixels of the pupil expression. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, the structure is a device structure. In one embodiment, the structure is a non-device structure in a substrate die containing a device structure. In one embodiment, radiation is detected after an etching process to create the structure. In one embodiment, the step of determining comprises determining a value of the first patterning process parameter of the structure separately from the value of the second patterning process parameter, which is also obtainable from the same optical property value, from the optical property value. And the first patterning process parameter is in a different direction from the second patterning process parameter, or between portions of the structure in a different combination from the second overlay.

일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 방사선의 검출된 표현은, 상기 기판 상의 빔 스폿이 상기 구조체로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및 하드웨어 컴퓨터 시스템에 의하여, 검출된 방사선 표현의 다른 부분보다 높은 가중치를 가지는 검출된 방사선 표현의 비대칭 광학 특성 분포 부분으로부터 얻어진 광학 특성 값에 기초하여 상기 패터닝 프로세스 파라미터의 값을 결정하는 단계 - 상기 비대칭 광학 특성 분포는 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성으로부터 생기는 것임 - 을 포함하는, 파라미터 결정 방법이 제공된다.In one embodiment, a method of determining a parameter of a patterning process, the method comprising: obtaining a detected representation of radiation redirected by a structure having geometric symmetry in a nominal physical configuration, the detected representation of the radiation being a beam on the substrate Obtained by illuminating the substrate with a beam of radiation so that a spot is filled with the structure; And determining, by a hardware computer system, a value of the patterning process parameter based on an optical characteristic value obtained from an asymmetric optical characteristic distribution portion of the detected radiation expression having a higher weight than other portions of the detected radiation expression. The optical property distribution results from a physical configuration different from the nominal physical configuration of the structure.

일 실시예에서, 상기 패터닝 프로세스 파라미터는 오버레이이고, 상기 상이한 물리적 구성은 상기 구조체의 다른 부분에 대한 상기 구조체의 적어도 일부의 상대적인 시프트이다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 상기 패터닝 프로세스 파라미터의 값은, 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 가중치(weighting)는, 제 1 타입의 패터닝 프로세스 파라미터가 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 타입의 패터닝 프로세스 파라미터와 별개로, 상이한 물리적 구성에 대해서 결정되게 하도록 구성되고, 상기 제 1 타입의 패터닝 프로세스 파라미터는 상기 제 2 타입의 패터닝 프로세스 파라미터와 다른 방향이거나, 상기 유닛 셀 중 상기 제 2 타입의 패터닝 프로세스 파라미터와 상이한 조합의 부분들 사이에 있다. 일 실시예에서, 상기 방법은, 제 2 타입의 패터닝 프로세스 파라미터가 상이한 물리적 구성에 대해서 결정되게 하도록 구성되는 가중치를 더 포함한다.In one embodiment, the patterning process parameter is an overlay, and the different physical configuration is a relative shift of at least a portion of the structure relative to another portion of the structure. In one embodiment, the detected radiation representation is a pupil representation. In one embodiment, the detected radiation was zero-order radiation as a main component. In one embodiment, the detected radiation representation is processed to subtract the optical property value across the axis of symmetry, to reduce or eliminate the optical property value of the symmetrical optical property distribution of the detected radiation representation. In one embodiment, the value of the patterning process parameter is determined using a summation of optical characteristic values for the pixel multiplied by an associated weight for each pixel for a plurality of pixels of the detected radiation representation. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, the structure is a device structure. In one embodiment, the structure is a non-device structure in a substrate die containing a device structure. In one embodiment, the weighting is configured such that the first type of patterning process parameter is determined for different physical configurations, independent of the second type of patterning process parameter, which is also obtainable from the same optical property value, the The first type of patterning process parameter is in a different direction from the second type of patterning process parameter, or is between portions of the unit cell in a different combination from the second type of patterning process parameter. In one embodiment, the method further comprises a weight configured to cause a second type of patterning process parameter to be determined for different physical configurations.

일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 파라미터의 공칭 값에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 방사선의 검출된 표현은, 상기 기판 상의 빔 스폿이 상기 구조체로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것이고, 상기 파라미터의 비-공칭 값에서, 상기 구조체의 물리적 구성은 상기 검출된 방사선 표현 내에 비대칭 광학 특성 분포를 초래함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 구조체의 파라미터의 비-공칭 값을, 상기 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산에 기초하여 결정하는 단계 - 상기 비대칭 광학 특성 분포 내의 픽셀들에 대한 가중치는, 상기 검출된 방사선 표현의 대칭 광학 특성 분포 부분 내의 픽셀들에 대한 가중치와 다른, 방법이 제공된다.In one embodiment, a method of determining a parameter of a patterning process, the method comprising: obtaining a detected representation of radiation redirected by a structure having geometrical symmetry at a nominal value of the parameter, wherein the detected representation of radiation is on the substrate Obtained by illuminating the substrate with a radiation beam such that a beam spot is filled with the structure, and at a non-nominal value of the parameter, the physical configuration of the structure results in an asymmetric optical property distribution within the detected radiation representation; And by a hardware computer system, the non-nominal value of the parameter of the structure is multiplied by the associated weight for each pixel, for a plurality of pixels of the detected radiation representation, to the sum of optical characteristic values for the corresponding pixel. Determining based on-a method is provided, wherein the weights for pixels in the asymmetric optical characteristic distribution are different from the weights for pixels in the symmetric optical characteristic distribution portion of the detected radiation representation.

일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 파라미터는 오버레이를 포함하고, 상기 가중치는 상기 구조체에 대한 제 1 타입의 오버레이를, 동일한 광학 특성 값으로부터 역시 획득가능한 상기 구조체에 대한 제 2 타입의 오버레이와 별개로 결정하도록 구성된다. 일 실시예에서, 상기 방법은 동일한 광학 특성 값으로부터, 상기 구조체에 대한 제 2 타입의 오버레이를 상기 구조체에 대한 제 1 타입의 오버레이로부터 별개로 결정하도록 구성되는 가중치를 더 포함한다.In one embodiment, this parameter includes an overlay. In one embodiment, the detected radiation representation is a pupil representation. In one embodiment, the detected radiation was zero-order radiation as a main component. In one embodiment, the detected radiation representation is processed to subtract the optical property value across the axis of symmetry, to reduce or eliminate the optical property value of the symmetrical optical property distribution of the detected radiation representation. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, the structure is a device structure. In one embodiment, the structure is a non-device structure in a substrate die containing a device structure. In one embodiment, the parameter comprises an overlay, and the weight is configured to determine a first type of overlay for the structure separately from a second type of overlay for the structure also obtainable from the same optical property value. do. In one embodiment, the method further includes a weight configured to determine, from the same optical property value, a second type of overlay for the structure separately from the first type of overlay for the structure.

일 실시예에서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성은, 상기 검출된 표현 내에 비대칭 광학 특성 분포가 생기게 하고, 상기 패터닝 프로세스 파라미터는 상기 물리적 구성의 변화를 측정함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 상이한 물리적 구성에서의 상기 패터닝 프로세스 파라미터의 값을 상기 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 재구성 프로세스를 사용하여 결정하는 단계를 포함하는, 방법이 제공된다.In one embodiment, obtaining a detected representation of radiation redirected by a structure having geometrical symmetry in a nominal physical configuration-a physical configuration different from the nominal physical configuration of the structure is a distribution of an asymmetric optical property within the detected representation And the patterning process parameter measures a change in the physical composition; And determining, by a hardware computer system, a value of the patterning process parameter in the different physical configuration using a reconstruction process that processes optical characteristic values derived from the detected representation.

일 실시예에서, 상기 방법은, 상기 표현 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 표현을 처리하는 단계를 더 포함하고, 상기 결정하는 단계는, 처리된 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 재구성 프로세스를 사용하여 상기 패터닝 프로세스 파라미터의 값을 결정하는 것을 포함한다. 일 실시예에서, 상기 재구성 프로세스는, 상기 구조체의 수학 모델을 사용하여, 상기 검출된 표현으로부터 유도된 광학 특성 값과 비교되도록 상기 구조체에 의해 재지향된 방사선의 시뮬레이션된 표현을 생성하는 것을 수반한다. 일 실시예에서, 상기 수학 모델은 상기 구조체의 인스턴스의 측정으로부터 유도된 상기 구조체의 프로파일에 기초한다. 일 실시예에서, 상기 재구성 프로세스는, 상기 검출된 표현으로부터 유도된 광학 특성 값을 상기 구조체에 의해 재지향된 방사선의 시뮬레이션된 표현의 라이브러리에 대해서 비교하는 것을 수반한다.In one embodiment, the method further comprises processing the representation to subtract an optical property value across an axis of symmetry to reduce or eliminate an optical property value of a symmetrical optical property distribution within the representation, wherein the determining The step includes determining a value of the patterning process parameter using a reconstruction process that processes optical characteristic values derived from the processed detected representation. In one embodiment, the reconstruction process involves generating, using a mathematical model of the structure, a simulated representation of radiation redirected by the structure to be compared to an optical characteristic value derived from the detected representation. In one embodiment, the mathematical model is based on a profile of the structure derived from measurements of instances of the structure. In one embodiment, the reconstruction process involves comparing optical property values derived from the detected representation against a library of simulated representations of radiation redirected by the structure.

일 실시예에서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성은, 상기 검출된 표현 내에 비대칭 광학 특성 분포가 생기게 하고, 상기 패터닝 프로세스 파라미터는 상기 물리적 구성의 변화를 측정함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 상이한 물리적 구성에서의 상기 패터닝 프로세스 파라미터의 값을 상기 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 비선형 솔버(solver)를 사용하여 결정하는 단계를 포함하는, 방법이 제공된다.In one embodiment, obtaining a detected representation of radiation redirected by a structure having geometrical symmetry in a nominal physical configuration-a physical configuration different from the nominal physical configuration of the structure is a distribution of an asymmetric optical property within the detected representation And the patterning process parameter measures a change in the physical composition; And determining, by a hardware computer system, the value of the patterning process parameter in the different physical configuration using a nonlinear solver that processes optical characteristic values derived from the detected representation. Is provided.

일 실시예에서, 상기 비선형 솔버는 함수를 풀어내고, 상기 함수의 하나 이상의 변수 항은, 상기 패터닝 프로세스 파라미터를 홀수 제곱인 변수로서 가지는 하나 이상의 변수 항, 및/또는 변수로서의 상기 구조체의 다른 파라미터와 조합하여 상기 패터닝 프로세스 파라미터를 변수로서 가지는 하나 이상의 변수 항만으로 이루어진다. 일 실시예에서, 상기 방법은, 상기 표현 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 표현을 처리하는 단계, 및 처리된 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 비선형 솔버를 사용하여 상기 패터닝 프로세스 파라미터의 값을 결정하는 단계를 더 포함한다.In one embodiment, the nonlinear solver solves a function, and the one or more variable terms of the function are at least one variable term having the patterning process parameter as an odd-squared variable, and/or other parameters of the structure as a variable. In combination, it consists of only one or more variable terms having the patterning process parameter as a variable. In one embodiment, the method further comprises processing the expression to subtract the optical characteristic value across an axis of symmetry, to reduce or eliminate the optical characteristic value of a symmetric optical characteristic distribution within the expression, and from the processed detected expression. And determining a value of the patterning process parameter using a nonlinear solver that processes the derived optical property values.

일 실시예에서, 파라미터 결정 프로세스를 구성하는 방법으로서, 구조체의 수학 모델을 획득하는 단계 - 상기 수학 모델은, 상기 구조체를 방사선 빔으로 조명하는 경우의 광학적 응답을 예측하도록 구성되고, 상기 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가짐 -; 하드웨어 컴퓨터 시스템에 의하여, 복수 개의 픽셀 감도를 획득하기 위해서, 상기 수학 모델을 사용하여 상기 구조체의 물리적 구성 내에서 특정량의 섭동(perturbation)을 시뮬레이션하여 복수 개의 픽셀 각각 내에서 상기 광학적 응답의 대응하는 변화를 결정하는 단계; 및 상기 픽셀 감도에 기초하여, 상기 물리적 구성 내의 변화와 연관된 파라미터의 값을 산출하도록, 기판 상에서 상기 구조체의 측정된 픽셀 광학 특성 값과 조합되기 위한 복수 개의 가중치를 결정하는 단계 - 각각의 가중치는 하나의 픽셀에 대응함 -를 포함하는, 파라미터 결정 프로세스 구성 방법이 제공된다.In one embodiment, a method of configuring a parameter determination process, comprising: obtaining a mathematical model of a structure, wherein the mathematical model is configured to predict an optical response when illuminating the structure with a radiation beam, the structure being nominal Has geometric symmetry in physical configuration -; By means of a hardware computer system, in order to obtain a plurality of pixel sensitivities, a specific amount of perturbation within the physical configuration of the structure is simulated using the mathematical model to correspond to the corresponding optical response within each of a plurality of pixels. Determining a change; And determining, based on the pixel sensitivity, a plurality of weights to be combined with the measured pixel optical characteristic values of the structure on the substrate to calculate a value of a parameter associated with a change in the physical configuration, each weight being one Corresponding to the pixel of the parameter determination process comprising-is provided.

일 실시예에서, 상기 파라미터는 오버레이이고, 상기 상이한 물리적 구성은 상기 구조체의 다른 부분에 대한 상기 구조체의 적어도 일부의 상대적인 시프트이다. 일 실시예에서, 상기 광학적 응답은 퓨필 이미지의 형태인 상기 광학 특성을 포함한다. 일 실시예에서, 광학적 응답은 주성분으로 0차 방사선에 대한 것이다. 일 실시예에서, 가중치를 결정하는 것은 야코비안 행렬을 사용하는 것을 포함한다. 일 실시예에서, 가중치를 결정하는 것은 헤시안 행렬을 사용하는 것을 포함한다. 일 실시예에서, 가중치를 결정하는 것은 무어-펜로즈 의사 역행렬을 사용하는 것을 포함한다. 일 실시예에서, 상기 가중치는, 상기 파라미터의 값이, 검출된 방사선 표현의 복수 개의 픽셀에 대한, 각각의 픽셀과 연관된 복수 개의 가중치 중 상기 가중치로 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정될 수 있도록, 구성된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 방법은, 상기 측정된 픽셀 광학 특성 값을 획득하기 위한 측정 설정의 세트를 결정하는 단계를 더 포함하고, 상기 측정 설정의 세트는 상기 복수 개의 가중치에 대응한다. 일 실시예에서, 상기 측정 설정의 세트는, 측정 빔의 파장, 측정 빔의 편광, 측정 빔의 선량, 및/또는 상기 구조체의 특정한 하나의 조명의 검출기 센서에 의해 취해진 다수의 광학 특성 판독치 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 상기 수학 모델을 획득하는 단계는, 상기 구조체를 포함하는 하나 이상의 기판에 CD 측정을 수행하는 것 및 상기 구조체의 물리적 구성의 섭동에 대한 상기 구조체의 공칭 프로파일을 획득하도록, 상기 수학 모델을 상기 CD 측정에 대해 교정하는 것을 포함한다. 일 실시예에서, 상기 방법은, 알려진 상이한 물리적 구성 및 상기 파라미터의 연관된 기대값을 가지는 복수 개의 구조체에 의하여 재지향된 방사선의 광학 특성 값을 측정하는 단계; 상기 알려진 상이한 물리적 구성 각각에 대한 상기 파라미터의 값을 결정하도록, 상기 가중치 및 측정된 광학 특성 값을 조합하는 단계; 및 상기 파라미터의 결정된 값을 상기 파라미터의 기대값으로 평가하는 단계; 및 상기 평가에 응답하여, 상기 수학 모델의 파라미터를 조절하고 및/또는 상기 가중치 중 하나 이상을 조절하는 단계를 더 포함한다.In one embodiment, the parameter is an overlay, and the different physical configuration is a relative shift of at least a portion of the structure relative to another portion of the structure. In one embodiment, the optical response includes the optical property in the form of a pupil image. In one embodiment, the optical response is for zero order radiation as a principal component. In one embodiment, determining the weights includes using a Jacobian matrix. In one embodiment, determining the weights includes using a Hessian matrix. In one embodiment, determining the weights includes using a Moore-Penrose pseudo-inverse matrix. In one embodiment, the weight is a sum of optical characteristic values for a corresponding pixel multiplied by the weight among a plurality of weights associated with each pixel for a plurality of pixels of the detected radiation expression. It is structured so that it can be determined using. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, the structure is a device structure. In one embodiment, the structure is a non-device structure in a substrate die containing a device structure. In one embodiment, the method further comprises determining a set of measurement settings for obtaining the measured pixel optical characteristic values, wherein the set of measurement settings corresponds to the plurality of weights. In one embodiment, the set of measurement settings comprises among a plurality of optical property readings taken by the detector sensor of the wavelength of the measurement beam, the polarization of the measurement beam, the dose of the measurement beam, and/or of a particular illumination of the structure. Contains one or more selected. In one embodiment, the step of obtaining the mathematical model comprises performing a CD measurement on one or more substrates containing the structure and obtaining a nominal profile of the structure for perturbation of the physical configuration of the structure. And calibrating the model to the CD measurement. In one embodiment, the method comprises the steps of measuring an optical property value of radiation redirected by a plurality of structures having different known physical configurations and associated expected values of the parameters; Combining the weights and measured optical property values to determine a value of the parameter for each of the known different physical configurations; And evaluating the determined value of the parameter as an expected value of the parameter. And in response to the evaluation, adjusting a parameter of the mathematical model and/or adjusting one or more of the weights.

일 실시예에서, 하드웨어 컴퓨터 시스템에 의하여, 구조체의 수학 모델을 사용하여 상기 구조체를 방사선 빔으로 조명하는 경우의 광학적 응답을 예측하는 단계 - 상기 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지고, 패터닝 프로세스 파라미터는 상기 물리적 구성의 변화를 측정함 -; 및 상기 하드웨어 컴퓨터 시스템에 의하여, 비선형 솔버를 사용하여, 상기 광학적 응답에 기초해서, 변수로서의 상기 패터닝 프로세스 파라미터의 수학적 함수의 계수를 결정하는 단계 - 결정된 계수 및 상기 함수는, 검출된 표현 내에 비대칭 광학 특성 분포를 야기하는, 공칭 물리적 구성과 상이한 물리적 구성에서, 기판 상의 상기 구조체로부터 나온 검출된 방사선의 측정된 표현과 함께 사용되어, 측정된 구조체에 대한 상기 패터닝 프로세스 파라미터의 값을 결정함 -를 포함하는, 방법이 제공된다. 일 실시예에서, 상기 방법은, 상기 수학 모델을 사용하여 상기 구조체의 물리적 구성 내에서 특정량의 섭동을 시뮬레이션하여 상기 광학적 응답의 대응하는 변화를 결정하는 단계를 포함하고, 상기 계수를 결정하는 것은 변화된 광학적 응답을 사용한다. 일 실시예에서, 상기 방법은, 상기 상이한 물리적 구성을 가지는, 상기 기판 상의 상기 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계, 및 상기 검출된 표현으로부터 유도된 광학 특성 값을 처리하고 상기 결정된 계수를 사용하는 비선형 솔버를 사용하여, 상기 패터닝 프로세스 파라미터의 값을 결정하는 단계를 더 포함한다. 일 실시예에서, 상기 비선형 솔버는 함수를 풀어내고, 상기 함수의 하나 이상의 변수 항은, 상기 패터닝 프로세스 파라미터를 홀수 제곱인 변수로서 가지는 하나 이상의 변수 항, 및/또는 변수로서의 상기 구조체의 다른 파라미터와 조합하여 상기 패터닝 프로세스 파라미터를 변수로서 가지는 하나 이상의 변수 항만으로 이루어진다. 일 실시예에서, 상기 방법은, 상기 광학적 응답 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 광학적 응답을 처리하는 단계를 더 포함하고, 상기 계수를 결정하는 단계는, 처리된 광학적 응답으로부터 유도된 광학 특성 값에 기초한다. 일 실시예에서, 상기 수학 모델은, 상기 수학 모델의 CD 측정에 대한 교정으로부터 유도된 상기 구조체의 공칭 프로파일을 사용하여 상기 구조체의 공칭 프로파일을 획득한다. 일 실시예에서, 상기 계수는 상기 광학적 응답 내의 복수 개의 픽셀 각각에 대한 계수의 세트를 포함한다.In one embodiment, predicting, by a hardware computer system, an optical response when illuminating the structure with a radiation beam using a mathematical model of the structure-the structure has geometric symmetry in its nominal physical configuration, and the patterning process parameter Measures the change in the physical composition -; And determining, by the hardware computer system, a coefficient of a mathematical function of the patterning process parameter as a variable, based on the optical response, using a nonlinear solver, the determined coefficient and the function in the detected representation. In a physical configuration different from the nominal physical configuration, resulting in a characteristic distribution, used in conjunction with a measured representation of the detected radiation from the structure on a substrate to determine the value of the patterning process parameter for the measured structure. To do, a method is provided. In one embodiment, the method includes the step of determining a corresponding change in the optical response by simulating a specific amount of perturbation within the physical configuration of the structure using the mathematical model, and determining the coefficient Use a modified optical response. In one embodiment, the method comprises obtaining a detected representation of radiation redirected by the structure on the substrate, having the different physical configuration, and processing an optical property value derived from the detected representation and the Using a nonlinear solver using the determined coefficients, determining a value of the patterning process parameter. In one embodiment, the nonlinear solver solves a function, and the one or more variable terms of the function are at least one variable term having the patterning process parameter as an odd-squared variable, and/or other parameters of the structure as a variable. In combination, it consists of only one or more variable terms having the patterning process parameter as a variable. In one embodiment, the method further comprises processing the optical response to subtract an optical property value across an axis of symmetry to reduce or eliminate an optical property value of a symmetrical optical property distribution within the optical response, the method further comprising: The step of determining the coefficient is based on an optical property value derived from the processed optical response. In one embodiment, the mathematical model obtains the nominal profile of the structure using the nominal profile of the structure derived from calibration of the CD measurement of the mathematical model. In one embodiment, the coefficient comprises a set of coefficients for each of a plurality of pixels in the optical response.

일 실시예에서, 패터닝 프로세스에 의해 생성된 구조체의 상이한 인스턴스에 대한 측정 결과를 획득하는 단계 - 측정 결과는 상기 구조체의 물리적 구성의 변화를 측정하는 패터닝 프로세스 파라미터의 복수 개의 상이한 설정 값 각각에서 획득되고, 상기 패터닝 프로세스 파라미터의 각각의 상이한 설정 값은 방사선 표현 내에 비대칭 광학 특성 분포를 야기하는, 상기 구조체의 물리적 구성에 대응함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 패터닝 프로세스 파라미터의 값을 산출하도록, 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합되기 위한 가중치에 대응하는 복수 개의 데이터-구동 값을 결정하는 단계 - 상기 설정 값 및 측정 결과는 상기 데이터-구동 값을 결정하기 위해서, 목적 함수 또는 메리트 함수 또는 머신 러닝 알고리즘에서 사용됨 -를 포함하는, 방법이 제공된다.In one embodiment, obtaining measurement results for different instances of the structure produced by the patterning process-the measurement results are obtained at each of a plurality of different set values of a patterning process parameter measuring a change in the physical composition of the structure, , Each different set value of the patterning process parameter corresponds to a physical configuration of the structure, resulting in an asymmetric optical property distribution within the radiation representation; And determining, by a hardware computer system, a plurality of data-drive values corresponding to weights to be combined with the measured optical characteristic values of the additional instance of the structure to calculate the value of the patterning process parameter, the setting. A method is provided, comprising the value and measurement result being used in an objective function or merit function or machine learning algorithm to determine the data-driven value.

일 실시예에서, 상기 방법은, 상기 결정된 데이터-구동 값을 사용하여 상기 구조체의 수학 모델을 수정하는 단계, 및 상기 수학 모델을 사용하여 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합되기 위한 가중치를 유도하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은, 상기 수학 모델의 헤시안 행렬을 사용하여 상기 수학 모델에 구현된 상기 구조체의 공칭 프로파일의 값을 업데이트하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은, 수정된 수학 모델의 헤시안 행렬을 사용하여 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합되기 위한 가중치를 계산하는 단계를 더 포함한다. 일 실시예에서, 상기 측정 결과는 상기 구조체의 상이한 인스턴스에 의해 재지향된 방사선의 복수 개의 검출된 표현이다. 일 실시예에서, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 구조체로 채워지도록, 상기 기판을 방사선 빔으로 조명함으로써 획득되었다. 일 실시예에서, 상기 방법은, 상기 구조체의 인스턴스에 의해 재지향된 것으로 기대되고 상기 패터닝 프로세스의 변동에 대해서 기대되는 방사선의 하나 이상의 합성 표현을 생성하는 단계를 더 포함하고, 상기 복수 개의 데이터-구동 값을 결정하는 것은, 상기 설정 값, 상기 측정 결과 및 상기 하나 이상의 합성 표현에 기초한다. 일 실시예에서, 상기 방사선의 하나 이상의 합성 표현은 상기 수학 모델의 헤시안 행렬을 사용하여 생성된다. 일 실시예에서, 상기 방사선의 하나 이상의 합성 표현은 비선형 시뮬레이션을 사용하여 생성된다. 일 실시예에서, 패터닝 프로세스 파라미터는 오버레이이다. 일 실시예에서, 상기 방법은, 상기 구조체의 추가적 인스턴스에 대한 상기 패터닝 프로세스 파라미터의 값을, 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합하여 상기 복수 개의 가중치에 기초하여 결정하는 단계를 더 포함한다. 일 실시예에서, 측정된 광학 특성 값 각각은 퓨필 표현 내의 하나의 픽셀에 대응하고, 상기 방법은, 상기 추가적 인스턴스에 대한 패터닝 프로세스 파라미터의 값을, 상기 퓨필 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 측정된 광학 특성 값의 합산에 기초하여 결정하는 단계를 더 포함하고, 상기 퓨필 표현의 비대칭 광학 특성 분포 부분 내의 픽셀에 대한 가중치는, 상기 퓨필 표현의 대칭 광학 특성 분포 부분 내의 픽셀에 대한 가중치와 다르다.In one embodiment, the method comprises modifying a mathematical model of the structure using the determined data-driven value, and being combined with the measured optical property values of the additional instance of the structure using the mathematical model. It further includes the step of inducing a weight for. In one embodiment, the method further includes updating a value of a nominal profile of the structure implemented in the mathematical model using a Hessian matrix of the mathematical model. In one embodiment, the method further comprises calculating a weight for combining with the measured optical characteristic values of the additional instances of the structure using the Hessian matrix of the modified mathematical model. In one embodiment, the measurement result is a plurality of detected representations of radiation redirected by different instances of the structure. In one embodiment, the detected representation of the radiation was obtained by illuminating the substrate with a radiation beam such that a beam spot on the substrate is filled with the structure. In one embodiment, the method further comprises generating one or more composite representations of radiation expected to be redirected by an instance of the structure and expected for variations in the patterning process, the plurality of data-driven Determining a value is based on the set value, the measurement result and the one or more composite representations. In one embodiment, the one or more composite representations of radiation are generated using a Hessian matrix of the mathematical model. In one embodiment, one or more composite representations of the radiation are generated using nonlinear simulations. In one embodiment, the patterning process parameter is an overlay. In one embodiment, the method comprises determining a value of the patterning process parameter for an additional instance of the structure based on the plurality of weights by combining the measured optical property value of the additional instance of the structure. Include more. In one embodiment, each of the measured optical characteristic values corresponds to one pixel in a pupil expression, and the method includes, for a plurality of pixels of the pupil expression, the value of the patterning process parameter for the additional instance. Determining based on the summation of the measured optical characteristic values for the corresponding pixel multiplied by the associated weight for the pixel, wherein the weight for the pixel in the asymmetric optical characteristic distribution portion of the pupil expression is It is different from the weights for the pixels in the symmetrical optical characteristic distribution part.

일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 유닛 셀은 파라미터의 공칭 값에서 기하학적 대칭을 가지고, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및 하드웨어 컴퓨터 시스템에 의하여 그리고 검출된 방사선 표현으로부터의 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한, 상기 유닛 셀에 대한 제 2 타입의 파라미터와 별개로, 상기 유닛 셀에 대한 제 1 타입의 파라미터의 값을 결정하는 단계 - 상기 제 1 타입의 파라미터는 상기 제 2 타입의 파라미터와 상이한 방향이거나 상기 유닛 셀 중 상기 제 2 타입의 파라미터와 상이한 조합의 부분들 사이에 있는, 오버레이 결정 방법이 제공된다.In one embodiment, a method of determining a parameter of a patterning process, the method comprising: obtaining a detected representation of radiation redirected by one or more physical instances of a unit cell, wherein the unit cell has geometric symmetry in a nominal value of the parameter, The detected representation of the radiation is obtained by illuminating the substrate with a radiation beam such that a beam spot on the substrate is filled with one or more physical instances of the unit cell; And a first type of parameter for the unit cell, apart from the second type of parameter for the unit cell, also obtainable from the same optical characteristic value, by a hardware computer system and from an optical characteristic value from the detected radiation representation. Determining the value of the parameter, wherein the first type of parameter is in a different direction from the second type of parameter or between portions of the unit cell in a combination different from the second type of parameter, an overlay determination method is provided. do.

일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 상기 제 1 및 제 타입의 파라미터는 상이한 방향 및 상기 유닛 셀의 동일한 제 1 부분 및 제 2 부분에 대한 것이다. 일 실시예에서, 제 1 타입의 파라미터는 유닛 셀 중 제 2 타입의 파라미터와 상이한 조합의 부분들 사이에 있다. 일 실시예에서, 상기 방법은, 제 1 타입의 파라미터의 값이 결정되는 것과 동일한 광학 특성 값으로부터, 제 2 타입의 파라미터의 값을 결정하는 단계를 더 포함한다. 일 실시예에서, 제 1 타입의 파라미터의 값을 결정하는 단계는, 픽셀 광학 특성 값에 대한 가중치의 세트를 사용한다. 일 실시예에서, 제 1 타입의 파라미터의 값은, 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 큰 감도를 가지는 검출된 방사선 표현의 픽셀로부터 얻어진 광학 특성 값은, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 제 1 타입의 파라미터의 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 검출된 방사선 표현은 상기 구조체를 생성하도록 에칭 프로세스 이후에 검출되었던 것이다.In one embodiment, this parameter includes an overlay. In one embodiment, the first and first type of parameters are for different directions and for the same first and second parts of the unit cell. In one embodiment, the first type of parameter is between portions of a unit cell in a different combination than the second type of parameter. In one embodiment, the method further comprises determining a value of the second type of parameter from the same optical characteristic value as the value of the first type of parameter is determined. In one embodiment, determining the value of the first type of parameter uses a set of weights for the pixel optical characteristic values. In one embodiment, the value of the parameter of the first type is determined using the sum of optical characteristic values for the pixel, multiplied by the associated weight for each pixel, for a plurality of pixels of the detected radiation representation. In one embodiment, the optical characteristic value obtained from a pixel of the detected radiation representation having a greater sensitivity to the physical effect measured by the parameter is a detected optical property value having a lower sensitivity to the physical effect measured by the parameter. It contributes more to determining the value of the first type of parameter than the values of optical properties obtained from other pixels of radiation. In one embodiment, the detected radiation was zero-order radiation as a main component. In one embodiment, the detected radiation representation is a pupil representation. In one embodiment, the detected radiation representation is processed to subtract the optical property value across the axis of symmetry, to reduce or eliminate the optical property value of the symmetrical optical property distribution of the detected radiation representation. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, the structure is a device structure. In one embodiment, the structure is a non-device structure in a substrate die containing a device structure. In one embodiment, the detected radiation representation was detected after an etching process to create the structure.

일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 유닛 셀은 파라미터의 공칭 값에서 기하학적 대칭을 가지고, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및 하드웨어 컴퓨터 시스템에 의하여 그리고 검출된 방사선 표현으로부터의 광학 특성 값으로부터, 상기 유닛 셀의 제 1 부분과 상기 유닛 셀의 제 2 부분 사이에 대한 상기 파라미터의 값을, 상기 유닛 셀의 제 2 부분과 상기 유닛 셀의 제 3 부분 사이 또는 상기 유닛 셀의 제 3 부분과 상기 유닛 셀의 제 4 부분 사이의, 동일한 광학 특성 값으로부터 역시 획득가능한 상기 파라미터의 값과 별개로 결정하는 단계를 포함하는, 오버레이 결정 방법이 제공된다.In one embodiment, a method of determining a parameter of a patterning process, the method comprising: obtaining a detected representation of radiation redirected by one or more physical instances of a unit cell, wherein the unit cell has geometric symmetry in a nominal value of the parameter, The detected representation of the radiation is obtained by illuminating the substrate with a radiation beam such that a beam spot on the substrate is filled with one or more physical instances of the unit cell; And from the optical property values from the radiation representation detected and by the hardware computer system, the value of the parameter with respect to the first portion of the unit cell and the second portion of the unit cell, with a second portion of the unit cell. An overlay comprising the step of determining separately from the value of the parameter also obtainable from the same optical property value, between a third portion of the unit cell or between a third portion of the unit cell and a fourth portion of the unit cell. A method of determination is provided.

일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 상기 방법은, 상기 광학 특성 값으로부터, 상기 유닛 셀 또는 각각의 유닛 셀의 제 2 부분과 제 3 부분 사이 또는 상기 유닛 셀 또는 각각의 유닛 셀의 제 3 부분과 제 4 부분 사이에 대한 상기 파라미터의 값을, 상기 유닛 셀 또는 각각의 유닛 셀의 제 1 부분과 제 2 부분 사이에 대한 상기 파라미터의 값과 별개로 결정하는 단계를 더 포함한다. 일 실시예에서, 상기 파라미터 값을 결정하는 단계는, 픽셀 광학 특성 값에 대한 가중치의 세트 사용한다. 일 실시예에서, 상기 파라미터 값은, 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 큰 감도를 가지는 검출된 방사선 표현의 픽셀로부터 얻어진 광학 특성 값은, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 낮은 감도를 가지는 검출된 방사선 표현의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 파라미터의 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 방사선은 구조체를 생성하기 위한 에칭 프로세스 이후에 검출된다.In one embodiment, this parameter includes an overlay. In one embodiment, the method comprises, from the optical property value, between the second and third portions of the unit cell or each unit cell, or between the third and fourth portions of the unit cell or each unit cell. Further comprising determining a value of the parameter for to be separate from the value of the parameter for the unit cell or between the first portion and the second portion of each unit cell. In one embodiment, determining the parameter value uses a set of weights for pixel optical characteristic values. In one embodiment, the parameter value is determined using a summation of optical characteristic values for the pixel, multiplied by the associated weight for each pixel, for a plurality of pixels of the detected radiation representation. In one embodiment, the optical characteristic value obtained from a pixel of the detected radiation representation having a greater sensitivity to the physical effect measured by the parameter is a detected optical property value having a lower sensitivity to the physical effect measured by the parameter. It contributes more to determining the value of this parameter than the optical property values obtained from other pixels of the radiation representation. In one embodiment, the detected radiation was zero-order radiation as a main component. In one embodiment, the detected radiation representation is a pupil representation. In one embodiment, the detected radiation representation is processed to subtract the optical property value across the axis of symmetry, to reduce or eliminate the optical property value of the symmetrical optical property distribution of the detected radiation representation. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, the structure is a device structure. In one embodiment, the structure is a non-device structure in a substrate die containing a device structure. In one embodiment, radiation is detected after an etching process to create the structure.

일 실시예에서, 파라미터 결정 프로세스를 구성하는 방법으로서, 기판 상의 구조체의 수학 모델을 획득하는 단계 - 상기 모델은 상기 구조체를 방사선 빔으로 조명하는 경우의 광학적 응답을 예측하도록 구성되고, 상기 구조체는 공칭 파라미터 값에서 기하학적 대칭을 가짐 -; 하드웨어 컴퓨터 시스템에 의하여, 상기 모델을 사용해서, 상기 구조체의 제 1 타입의 파라미터의 변화를 시뮬레이션하여 복수 개의 픽셀 각각 내의 상기 광학적 응답의 대응하는 제 1 변화를 결정하고, 제 2 타입의 파라미터의 변화를 시뮬레이션하여 복수 개의 픽셀 각각 내의 상기 광학적 응답의 대응하는 제 2 변화를 결정하는 단계 - 상기 제 1 타입의 파라미터는 상기 제 2 타입의 파라미터와 상이한 방향이거나 상기 제 타입의 파라미터와는 상기 구조체의 부분들의 상이한 조합 사이에 있음 -; 및 상기 광학적 응답의 상기 제 1 변화와 제 2 변화에 기초하여, 상기 제 타입의 파라미터와 별개로 동일한 측정된 광학 특성 값으로부터 얻어지는 제 1 타입의 파라미터의 값을 산출하도록, 측정된 픽셀 광학 특성 값과 조합되기 위한 복수 개의 가중치를 결정하는 단계를 포함하는, 파라미터 결정 프로세스 구성 방법이 제공된다.In one embodiment, a method of configuring a parameter determination process, comprising: obtaining a mathematical model of a structure on a substrate, the model being configured to predict an optical response when illuminating the structure with a radiation beam, the structure being nominal Has geometric symmetry in parameter values -; By means of a hardware computer system, using the model, a change in a parameter of a first type of the structure is simulated to determine a corresponding first change in the optical response in each of a plurality of pixels, and a change in a parameter of a second type Simulating to determine a corresponding second change in the optical response within each of a plurality of pixels-the parameter of the first type is a direction different from the parameter of the second type or a part of the structure that is different from the parameter of the first type Between different combinations of -; And based on the first change and the second change in the optical response, the measured pixel optical characteristic value to calculate a value of the first type of parameter obtained from the same measured optical characteristic value independently of the first type of parameter. A method of configuring a parameter determination process is provided, including determining a plurality of weights to be combined with.

일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 상기 제 1 타입의 파라미터에 대한 복수 개의 가중치는, 상기 복수 개의 픽셀의 광학적 응답의 제 2 변화의 측면에서 상기 제 2 타입의 파라미터의 변화에 대응하는 벡터의 직교선(orthogonal)에 대한, 상기 복수 개의 픽셀의 광학적 응답의 제 1 변화의 측면에서 상기 제 1 타입의 파라미터의 변화에 대응하는 벡터의 후면 투영의 결과를 사용하여 결정되는, 파라미터 결정 프로세스 구성 방법이 제공된다. 일 실시예에서, 상기 방법은, 상기 광학적 응답의 제 1 변화와 제 2 변화에 기초하여, 상기 제 1 타입의 파라미터와 별개로 상기 측정된 광학 특성 값으로부터 얻어지는 제 2 타입의 파라미터의 값을 산출하도록, 측정된 픽셀 광학 특성 값과 조합되기 위한 복수 개의 가중치를 결정하는 단계를 더 포함한다. 일 실시예에서, 상기 제 2 타입의 파라미터에 대한 복수 개의 가중치는, 상기 복수 개의 픽셀의 광학적 응답의 제 1 변화의 측면에서 상기 제 1 타입의 파라미터의 변화에 대응하는 벡터의 직교선에 대한, 상기 복수 개의 픽셀의 광학적 응답의 제 2 변화의 측면에서 상기 제 2 타입의 파라미터의 변화에 대응하는 벡터의 후면 투영의 결과를 사용하여 결정된다. 일 실시예에서, 상기 가중치는, 상기 제 1 타입의 파라미터 및/또는 제 2 타입의 파라미터가, 상기 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정되도록 구성된다. 일 실시예에서, 상기 광학적 응답은 퓨필 이미지의 형태인 상기 광학 특성을 포함한다. 일 실시예에서, 광학적 응답은 주성분으로 0차 방사선에 대한 것이다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다.In one embodiment, this parameter includes an overlay. In one embodiment, the plurality of weights for the first type of parameter is an orthogonal of a vector corresponding to a change of the second type of parameter in terms of a second change in the optical response of the plurality of pixels. A method of constructing a parameter determination process is provided, which is determined using the result of a backside projection of a vector corresponding to a change in a parameter of the first type in terms of a first change in the optical response of the plurality of pixels. In one embodiment, the method, based on the first change and the second change in the optical response, calculate a value of a second type of parameter obtained from the measured optical characteristic value separately from the first type of parameter So as to further include determining a plurality of weights to be combined with the measured pixel optical characteristic values. In one embodiment, the plurality of weights for the parameters of the second type are for orthogonal lines of vectors corresponding to the change of the parameters of the first type in terms of the first change in the optical response of the plurality of pixels, It is determined using the result of the backside projection of the vector corresponding to the change in the parameter of the second type in terms of the second change in the optical response of the plurality of pixels. In one embodiment, the weight is a corresponding pixel in which the first type parameter and/or the second type parameter is multiplied by an associated weight for each pixel for a plurality of pixels of the detected radiation expression. Is configured to be determined using the summation of the optical property values for. In one embodiment, the optical response includes the optical property in the form of a pupil image. In one embodiment, the optical response is for zero order radiation as a principal component. In one embodiment, the optical properties are intensity and/or phase. In one embodiment, the structure is a device structure. In one embodiment, the structure is a non-device structure in a substrate die containing a device structure.

일 실시예에서, 제 1 패터닝 프로세스에 의해 생성되도록 구성되는 제 1 구조체; 및 제 2 패터닝 프로세스에 의해 생성되도록 구성되는 제 2 구조체를 포함하는 계측 타겟이 제공되는데, 제 1 구조체 및/또는 제 2 구조체는 디바이스 패턴의 기능성 양태를 생성하도록 사용되지 않고, 제 1 및 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가지고, 유닛 셀은, 제 1 패터닝 프로세스, 제 2 패터닝 프로세스 및/또는 다른 패터닝 프로세스에서의 패턴 배치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 가지는, 계측 타겟이 제공된다.In one embodiment, a first structure configured to be created by a first patterning process; And a second structure configured to be produced by the second patterning process, wherein the first structure and/or the second structure are not used to create a functional aspect of the device pattern, and the first and second structures The structures together form one or more instances of the unit cells, the unit cells having geometric symmetry in their nominal physical configuration, and the unit cells being the relative of the pattern placement in the first patterning process, the second patterning process and/or other patterning process In a physical configuration different from the nominal physical configuration due to the shift, a metrology target is provided having features that cause asymmetry within the unit cell.

일 실시예에서, 상기 제 1 구조체는 제 1 치수 및/또는 재료의 구조체를 포함하고, 상기 제 2 구조체는 제 2 치수 또는 재료의 구조체를 포함하며, 상기 피쳐는 상기 제 2 치수 및/또는 재료와 상이한 상기 제 1 치수 및/또는 재료를 포함한다. 일 실시예에서, 상기 제 1 구조체는 제 1 방향의 어레이로 배열된 구조체를 포함하고, 적어도 하나의 이러한 구조체는 상기 제 1 방향에 실질적으로 수직인 제 2 방향을 따라서 배열된, 보이드에 의해 분리되는 복수 개의 서브-구조체를 포함하며, 및/또는 상기 제 2 구조체는 제 1 방향의 어레이로 배열된 구조체를 포함하고, 적어도 하나의 이러한 구조체는 상기 제 1 방향에 실질적으로 수직인 제 2 방향을 따라서 배열된, 보이드에 의해 분리되는 복수 개의 서브-구조체를 포함하며, 상기 피쳐는 상기 제 1 구조체 및/또는 상기 제 2 구조체의 보이드를 포함한다. 일 실시예에서, 상기 제 1 구조체 및/또는 상기 제 2 구조체의 보이드는 상기 제 1 및 제 2 패터닝 프로세스와 상이한 패터닝 프로세스를 사용하여 생성된다. 일 실시예에서, 상기 제 1 구조체는 상기 보이드를 포함하고, 상기 제 2 구조체는 상기 보이드를 포함한다. 일 실시예에서, 상기 제 1 구조체의 보이드는 상기 제 2 구조체의 보이드와 상이한 피치를 가진다. 일 실시예에서, 공칭 물리적 구성에서, 상기 제 1 구조체의 적어도 하나의 보이드는 상기 제 2 구조체의 적어도 하나의 보이드와 정렬된다. 일 실시예에서, 상기 제 1 구조체는 폐곡선 구조체를 포함하고, 상기 제 2 구조체는 폐곡선 구조체를 포함한다. 일 실시예에서, 상기 구조체는, 상기 구조체가 제 2 어레이로 배열되거나 상기 구조체가 상기 구조체의 제 3 어레이로 배열되는 방향에 실질적으로 수직인 방향으로 제 1 어레이로 배열된다.In one embodiment, the first structure comprises a structure of a first dimension and/or material, and the second structure comprises a structure of a second dimension or material, and the feature comprises a structure of the second dimension and/or material. And the first dimension and/or material different from. In one embodiment, the first structure comprises a structure arranged in an array in a first direction, and at least one such structure is arranged along a second direction substantially perpendicular to the first direction, separated by a void And/or the second structure comprises a structure arranged in an array in a first direction, at least one such structure having a second direction substantially perpendicular to the first direction. Thus, it comprises a plurality of sub-structures arranged, separated by voids, the features comprising voids of the first structure and/or the second structure. In one embodiment, voids in the first structure and/or the second structure are created using a different patterning process than the first and second patterning processes. In one embodiment, the first structure includes the void, and the second structure includes the void. In one embodiment, the voids of the first structure have a different pitch than the voids of the second structure. In one embodiment, in a nominal physical configuration, at least one void of the first structure is aligned with at least one void of the second structure. In one embodiment, the first structure includes a closed curve structure, and the second structure includes a closed curve structure. In one embodiment, the structures are arranged in a first array in a direction substantially perpendicular to a direction in which the structures are arranged in a second array or the structures are arranged in a third array of the structures.

일 실시예에서, 데이터 구조체가 기록된 비-일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공되는데, 데이터 구조체는 본 명세서에서 설명되는 바와 같은 계측 타겟에 대응한다. 일 실시예에서, 본 명세서에서 설명된 바와 같은 계측 타겟에 대응하는 패턴을 포함하는 레티클이 제공된다.In one embodiment, a computer program product is provided comprising a non-transitory computer-readable medium having a data structure recorded thereon, the data structure corresponding to a metrology target as described herein. In one embodiment, a reticle comprising a pattern corresponding to a metrology target as described herein is provided.

일 실시예에서, 계측 타겟에 대한 제 1 구조체를 생성하는 단계 - 제 1 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것임 -; 상기 계측 타겟에 대한 제 2 구조체를 생성하는 단계 - 제 2 구조체는 디바이스의 대응하는 추가적 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이고, 상기 제 1 구조체와 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 상기 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가짐 -; 및 상기 디바이스 내의 디바이스 피쳐의 기대 위치로부터의 상기 디바이스 내의 디바이스 피쳐의 위치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 상기 계측 타겟 내에 도입하는 단계를 포함하는 방법이 제공된다.In one embodiment, creating a first structure for the metrology target, the first structure will be created by a first patterning process that creates a corresponding device feature of the device; Creating a second structure for the metrology target-a second structure will be created by a second patterning process that creates a corresponding additional device feature of the device, wherein the first structure and the second structure are one of the unit cells. Forming instances of the above together, the unit cells having geometric symmetry in their nominal physical configuration; And introducing a feature into the metrology target that causes an asymmetry in a unit cell in a physical configuration different from the nominal physical configuration due to a relative shift of the position of the device feature in the device from the expected position of the device feature in the device. A method of incorporating is provided.

일 실시예에서, 상기 제 1 구조체의 피쳐는 상기 디바이스의 대응하는 피쳐와 실질적으로 동일한 치수 및/또는 피치를 가지고, 및/또는 상기 제 2 구조체의 피쳐는 상기 디바이스의 대응하는 피쳐와 실질적으로 동일한 치수 및/또는 피치를 가진다. 일 실시예에서, 상기 계측 타겟 내의 상기 피쳐는, 제 1 방향의 상대적인 시프트에 대해서 상기 유닛 셀 내에 제 1 타입의 비대칭을 초래하고, 상이한 제 2 방향의 상대적인 시프트에 대해서 상기 유닛 셀 내에 상이한 제 2 타입의 비대칭을 초래한다. 일 실시예에서, 상기 방법은, 상기 계측 타겟의 인쇄가능성, 상기 계측 타겟의 검출가능성, 프로세스 변동에 대한 상기 계측 타겟의 견실성, 및/또는 디바이스 패턴에 대한 상기 계측 타겟의 매칭으로부터 선택된 하나 이상을 평가하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은, 상기 디바이스 패턴에 대한 상기 계측 타겟의 매칭 및 상기 계측 타겟의 검출가능성을 반복적으로 평가하는 단계를 포함한다.In one embodiment, the features of the first structure have substantially the same dimensions and/or pitch as the corresponding features of the device, and/or the features of the second structure are substantially the same as the corresponding features of the device. Have dimensions and/or pitches. In one embodiment, the feature in the metrology target results in a first type of asymmetry in the unit cell for a relative shift in a first direction, and a different second in the unit cell for a relative shift in a different second direction. It results in type asymmetry. In one embodiment, the method comprises at least one selected from printability of the measurement target, detectability of the measurement target, robustness of the measurement target to process variation, and/or matching of the measurement target to a device pattern. It further includes the step of evaluating. In one embodiment, the method includes repeatedly evaluating the matching of the metrology target with the device pattern and detectability of the metrology target.

일 실시예에서, 패터닝 프로세스의 파라미터의 값을 결정하도록, 패터닝 프로세스를 사용하여 기판에 전사된 본 명세서에서 설명된 바와 같은 계측에 의해 재지향된 방사선을 측정하는 단계를 포함하는 방법이 제공된다. 일 실시예에서, 파라미터는 오버레이 및/또는 에지 배치 오차를 포함한다.In one embodiment, a method is provided that includes measuring radiation redirected by metrology as described herein transferred to a substrate using a patterning process to determine a value of a parameter of the patterning process. In one embodiment, the parameters include overlay and/or edge placement errors.

도 32 를 참조하면, 컴퓨터 시스템(3200)이 도시된다. 컴퓨터 시스템(3200)은 정보를 통신하기 위한 버스(3202) 또는 다른 통신 매커니즘과, 정보를 처리하기 위하여 버스(3202)와 커플링된 프로세서(3204)(또는 여러 프로세서들(3204 및 3205)을 포함한다. 컴퓨터 시스템(3200)은 프로세서(3204)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(3206)를 더 포함한다. 메인 메모리(3206)는 프로세서(3204)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(3200)은 프로세서(3204)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링된 판독 전용 메모리(ROM)(3208) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 자기적 디스크 또는 광학적 디스크와 같은 스토리지 디바이스(3210)가 제공되고 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링된다.Referring to FIG. 32, a computer system 3200 is shown. Computer system 3200 includes a bus 3202 or other communication mechanism for communicating information, and a processor 3204 (or several processors 3204 and 3205) coupled with the bus 3202 to process the information. Computer system 3200 includes main memory 3206, such as random access memory (RAM) or other dynamic storage device, coupled to bus 3202 to store information and instructions to be executed by processor 3204. The main memory 3206 may also be used to store temporary variables or other intermediate information while an instruction to be executed by the processor 3204 is being executed. The computer system 3200 provides static information about the processor 3204. And a read-only memory (ROM) 3208 or other static storage device coupled to the bus 3202 for storing instructions. A storage device 3210 such as a magnetic disk or optical disk is provided and information is provided. And to bus 3202 to store instructions.

컴퓨터 시스템(3200)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(3202)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(3212)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(3214)는 정보 및 커맨드 셀렉션을 프로세서(3204)로 통신하기 위하여 버스(3202)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(3204)로 통신하고 디스플레이(3212) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(3216)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제 1 축(예를 들어, x)과 제 2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.Computer system 3200 may be coupled via bus 3202 to a display 3212, such as a cathode ray tube (CRT) or flat panel or touch panel display, to display information to a computer user. An input device 3214 comprising alphanumeric keys and other keys is coupled to the bus 3202 to communicate information and command selection to the processor 3204. Another type of user input device is a cursor control 3216, such as a mouse, trackball, or cursor direction key, for communicating instructional information and command selection to the processor 3204 and controlling cursor movement on the display 3212. . Such input devices typically have two degrees of freedom in two axes, a first axis (eg x) and a second axis (eg y), allowing the device to specify its position in a plane. A touch panel (screen) display may be used as an input device.

컴퓨터 시스템(3200)은 프로세서(3204)가 메인 메모리(3206) 내에 저장된 하나 이상의 명령 중 하나 이상의 시퀀스를 실행하는 것에 응답하여, 여기서는 처리 유닛으로서의 기능을 수행하기에 적합할 수 있다. 이러한 명령들은 스토리지 디바이스(3210)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(3206)로 독출될 수 있다. 메인 메모리(3206)에 포함된 명령의 시퀀스를 실행하면, 프로세서(3204)는 본 명세서에서 설명되는 프로세스를 수행하게 된다. 메인 메모리(3206)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 처리 장치 내의 하나 이상의 프로세서가 채용될 수도 있다. 다른 실시예에서, 소프트웨어 명령 대신에 또는 이와 조합되어 유선 회로부가 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.Computer system 3200 may be adapted to perform functions herein as a processing unit in response to processor 3204 executing one or more sequences of one or more instructions stored in main memory 3206. These instructions may be read into main memory 3206 from another computer-readable medium, such as storage device 3210. Executing a sequence of instructions contained in main memory 3206 causes processor 3204 to perform the processes described herein. One or more processors in a multiple processing unit may be employed to execute a sequence of instructions contained in main memory 3206. In other embodiments, wired circuitry may be used instead of or in combination with software instructions. Thus, embodiments are not limited to any particular combination of hardware circuitry and software.

"컴퓨터-판독가능 매체"라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(3204)로 명령을 제공하는 데에 참여하는 임의의 유형의(tangible) 매체를 가리킨다. 이러한 매체는 비-휘발성 미디어, 휘발성 미디어, 및 송신 미디어를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 미디어는 예를 들어, 스토리지 디바이스(3210)와 같은 광학적 또는 자기적 디스크를 포함한다. 휘발성 미디어는 메인 메모리(3206)와 같은 동적 메모리를 포함한다. 송신 미디어는 동축 케이블, 구리 배선, 및 버스(3202)를 포함하는 와이어를 포함하는 광섬유(fiber optics)를 포함한다. 송신 미디어는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 미디어의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, 자기-광학적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.The term "computer-readable medium" as used herein refers to any tangible medium that participates in providing instructions to processor 3204 to be executed. Such media may take many forms including, but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks such as storage device 3210. Volatile media includes dynamic memory such as main memory 3206. Transmission media includes coaxial cables, copper wiring, and fiber optics including wires including bus 3202. Transmission media may take the form of sound or light waves such as those generated during radio frequency (RF) and infrared (IR) data communications. Common types of computer-readable media are, for example, floppy disks, flexible disks, hard disks, magnetic tapes, and any other magnetic media, magneto-optical media, CD-ROMs, DVDs, any other optical media. , Punch card, paper tape, any other physical medium with a pattern of holes, RAM, PROM, and EPROM, FLASH EPROM, any other memory chip or cartridge, carrier to be described below, or any other computer readable Includes the medium.

다양한 형태의 컴퓨터 판독가능 매체들이 하나 이상의 명령의 하나 이상의 시퀀스를 실행되도록 프로세서(3204)로 운반하는 것에 수반될 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(3200)에 국지적으로 보유되는 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(3202)에 커플링된 적외선 검출기는 적외선 신호에서 운반되는 데이터를 수신하고, 이러한 데이터를 버스(3202)에 로딩할 수 있다. 버스(3202)는 데이터를 메인 메모리(3206)로 운반하며, 프로세서(3204)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(3206)로부터 수신된 명령들은 프로세서(3204)에 의한 실행 이전에 또는 그 이후에 선택적으로 스토리지 디바이스(3210)에 저장될 수 있다.Various types of computer-readable media may be involved in carrying one or more sequences of one or more instructions to the processor 3204 for execution. For example, the instructions may initially be held on the magnetic disk of the remote computer. The remote computer can load instructions into its dynamic memory and send the instructions over the phone line using a modem. A modem, held locally in computer system 3200, receives data on the telephone line and converts this data into infrared signals using an infrared transmitter. An infrared detector coupled to the bus 3202 may receive data carried in the infrared signal and load this data onto the bus 3202. Bus 3202 carries data to main memory 3206, from which processor 3204 retrieves and executes instructions. Instructions received from main memory 3206 may be optionally stored in storage device 3210 prior to or after execution by processor 3204.

컴퓨터 시스템(3200)은 버스(3202)에 커플링된 통신 인터페이스(3218)를 더 포함할 수 있다. 통신 인터페이스(3218)는 로컬 네트워크(3222)에 연결된 네트워크 링크(3220)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(3218)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(integrated services digital network; ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(3218)는 호환가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(3218)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기적, 전자기적 또는 광학적 신호를 전송하고 수신한다.Computer system 3200 may further include a communication interface 3218 coupled to bus 3202. Communication interface 3218 provides two-way data communication coupling to network link 3220 connected to local network 3222. For example, the communication interface 3218 may be an integrated services digital network (ISDN) card or modem for providing a data communication connection to a corresponding type of telephone line. As another example, communication interface 3218 may be a local area network (LAN) card for providing a data communication connection to a compatible LAN. A wireless link may be implemented. In any of these implementations, communication interface 3218 transmits and receives electrical, electromagnetic or optical signals carrying digital data streams representing various types of information.

네트워크 링크(3220)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(3220)는 로컬 네트워크(3222)를 통해 호스트 컴퓨터(3224) 또는 인터넷 서비스 제공자(ISP)(3226)에 의하여 작동되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(3226)는, 현재 일반적으로 "인터넷(3228)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(3222)와 인터넷(3228) 양자 모두는 디지털 데이터 스트림을 운반하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 컴퓨터 시스템(3200)으로의 또는 그로부터의 디지털 데이터를 운반하는, 다양한 네트워크들을 통과하는 신호와 네트워크 링크(3220)를 통과하고 통신 인터페이스(3218)를 통과하는 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.Network link 3220 typically provides data communication to other data devices over one or more networks. For example, network link 3220 may provide a connection via local network 3222 to data equipment operated by host computer 3224 or Internet service provider (ISP) 3226. ISP 3226 now provides data communication services through a worldwide packet data communication network, now commonly referred to as "Internet 3228". Both the local network 3222 and the Internet 3228 use electrical, electromagnetic or optical signals to carry digital data streams. Signals passing through various networks, carrying digital data to or from computer system 3200, and signals passing through network link 3220 and through communication interface 3218, are exemplary forms of carrier waves that carry information. admit.

컴퓨터 시스템(3200)은 네트워크(들), 네트워크 링크(3220), 및 통신 인터페이스(3218)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(3230)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(3228), ISP(3226), 로컬 네트워크(3222) 및 통신 인터페이스(3218)를 통해 송신할 수 있다. 하나 이상의 실시예에 따르면, 이러한 하나의 다운로드된 애플리케이션은, 예를 들어 본 명세서에 개시된 방법을 제공한다. 수신된 코드는 수신될 때 프로세서(3204)에 의하여 실행되고, 및/또는 추후에 실행되도록 스토리지 디바이스(3210), 또는 다른 비-휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(3200)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.Computer system 3200 may transmit messages and receive data, including program code, via network(s), network link 3220, and communication interface 3218. In the example of the Internet, server 3230 may transmit the requested code for an application program over the Internet 3228, ISP 3226, local network 3222, and communication interface 3218. According to one or more embodiments, such one downloaded application provides, for example, the method disclosed herein. The received code may be executed by the processor 3204 when received, and/or stored in the storage device 3210, or other non-volatile storage for later execution. In this way, the computer system 3200 can obtain the application code in the form of a carrier wave.

예컨대, 본 발명의 실시예는 본 명세서에 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다. 더 나아가, 기계 판독 가능한 명령어는 두 개 이상의 컴퓨터 프로그램에서 구현될 수 있다. 두 개 이상의 컴퓨터 프로그램은 하나 이상의 상이한 메모리 및/또는 데이터 저장 미디어에 저장될 수 있다.For example, embodiments of the present invention include a computer program comprising one or more sequences of machine-readable instructions describing a method as disclosed herein, or a data storage medium (e.g., a semiconductor memory, a magnetic disk) in which such a computer program is stored. Or it can take the form of an optical disc). Furthermore, machine-readable instructions can be implemented in two or more computer programs. Two or more computer programs may be stored in one or more different memories and/or data storage media.

본 명세서에서 설명되는 임의의 제어기는 하나 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 컴포넌트 내에 위치된 하나 이상의 컴퓨터 프로세서에 의해 판독되는 경우 각각 또는 조합되어 동작될 수 있다. 제어기는 각각 또는 조합하여 신호를 수신, 처리, 및 송신하기에 적합한 임의의 구성을 가질 수 있다. 하나 이상의 프로세서는 제어기 중 적어도 하나와 통신하도록 구성된다. 예를 들어, 각각의 제어기는 전술된 방법에 대한 머신-판독가능 명령을 포함하는 컴퓨터 프로그램을 실행하기 위한 하나 이상의 프로세서를 포함할 수 있다. 제어기는 이러한 컴퓨터 프로그램을 저장하기 위한 데이터 저장 매체, 및/또는 이러한 매체를 수용하기 위한 하드웨어를 포함할 수 있다. 그러므로, 제어기(들)는 하나 이상의 컴퓨터 프로그램의 머신 판독가능 명령에 따라 동작할 수 있다.Any of the controllers described herein may be operated individually or in combination when one or more computer programs are read by one or more computer processors located within at least one component of the lithographic apparatus. The controllers may have any configuration suitable for receiving, processing, and transmitting signals, respectively or in combination. The one or more processors are configured to communicate with at least one of the controllers. For example, each controller may include one or more processors for executing a computer program comprising machine-readable instructions for the methods described above. The controller may include a data storage medium for storing such a computer program, and/or hardware for receiving such a medium. Therefore, the controller(s) can operate according to machine readable instructions of one or more computer programs.

비록 본문에서 IC의 제조에서 계측 장치를 사용하는 것에 대해서 특별히 언급하였지만, 본 명세서에서 설명되는 계측 장치와 프로세스는 다른 응용 분야, 예컨대 집적 광 시스템의 제조, 자기장 도메인 메모리용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등을 가질 수 있다는 것이 이해되어야 한다. 당업자는, 이러한 다른 응용예의 문맥에서, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 기판은, 예를 들어 트랙(통상적으로 레지스트 층을 기판에 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및/또는 하나 이상의 다양한 다른 툴에서, 노광 전 또는 노광 후에 처리될 수 있다. 적용 가능한 범위에서, 본 명세서에서의 개시물은 이러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수 있다.Although the text specifically mentions the use of metrology devices in the manufacture of ICs, the measurement devices and processes described herein have different applications, such as the manufacture of integrated optical systems, induction and detection patterns for magnetic field domain memories, flat panel displays. , Liquid crystal display (LCD), thin film magnetic head, and the like. Those skilled in the art, in the context of these other applications, the use of any term such as "wafer" or "die" as used herein may be considered synonymous with more general terms such as "substrate" or "target part", respectively. You will understand. The substrate herein may be processed before or after exposure, for example in a track (a tool that typically applies a layer of resist to the substrate and develops the exposed resist), a metrology tool and/or one or more of various other tools. . To the extent applicable, the disclosure herein may be applied to such and other substrate processing tools. In addition, since the substrate may be processed a plurality of times to create a multilayer integrated circuit, for example, the term substrate used herein may refer to a substrate including layers that have already been processed several times.

비록 위에서 광 리소그래피의 콘텍스트에서 본 발명의 실시예를 사용하는 것에 대해 특정하여 언급하였지만, 본 발명이 다른 애플리케이션, 예를 들어 나노임프린트(nanoimprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 나노임프린트 리소그래피의 경우, 패터닝 디바이스는 임프린트 템플릿 또는 몰드이다.Although specifically mentioned above the use of embodiments of the present invention in the context of optical lithography, the present invention may also be used in other applications, for example nanoimprint lithography, and with optical lithography if the context permits. It will be appreciated that it is not limited. In the case of nanoimprint lithography, the patterning device is an imprint template or mold.

본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.As used herein, the terms “radiation” and “beam” include ultraviolet (UV) radiation (e.g., having a wavelength of about 365, 355, 248, 193, 157, or 126 nm) and extreme ultraviolet (EUV) radiation ( For example, having a wavelength in the range of 5-20 nm), and all types of electromagnetic radiation, including particle beams such as ion beams or electron beams.

본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.As used herein, the term “lens” may refer to any or combination of various types of optical components, including refractive, diffractive, reflective, magnetic, electromagnetic, and electrostatic optical components, if context permits. .

본 명세서에서, 임계를 넘어가거나 통과한다는 것은, 특정 값 미만 또는 특정한 값 이하인 값을 가지는 어떤 것, 특정 값보다 높거나 특정 값 이상인 어떤 것, 예를 들어 파라미터 등에 기초하여 다른 것보다 높거나 낮게 랭킹된(예를 들어 정렬을 통해) 어떤 것을 포함할 수 있다.In this specification, passing or passing through a threshold means something that has a value that is less than a certain value or less than a certain value, something that is higher than a certain value or more than a certain value, for example, ranks higher or lower than others based on a parameter, etc. It can contain something that has been (for example, through sorting).

본 명세서에서 오차의 정정함(correcting) 또는 정정(correction)은, 오차를 제거하거나 오차를 공차 범위 내로 감소시키는 것을 포함한다.In this specification, the correction or correction of the error includes removing the error or reducing the error within a tolerance range.

"최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 리소그래피 또는 패터닝 처리의 결과 및/또는 프로세스가 더 바람직한 특성, 예컨대 기판 상의 설계 레이아웃의 투영의 더 높은 정확도, 더 큰 프로세스 윈도우 등과 같이 더 양호한 특성을 가지도록 리소그래피 장치, 패터닝 프로세스 등을 조절하는 것을 가리키거나 의미한다. 따라서, "최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 하나 이상의 변수에 대한 하나 이상의 값들의 초기 세트와 비교할 때, 적어도 하나의 관련된 메트릭에서, 개선, 예를 들어 국지적인 최적값을 제공하는, 그러한 하나 이상의 변수에 대한 하나 이상의 값들을 식별하는 프로세스를 가리키거나 의미한다. "최적" 및 다른 관련된 용어는 이에 상응하게 해석되어야 한다. 일 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가적인 개선을 제공하도록 반복적으로 적용될 수 있다.The terms “optimizing” and “optimization”, as used herein, are a result of a lithographic or patterning process and/or a more desirable property, such as a higher accuracy of the projection of a design layout on a substrate, Refers to or refers to adjusting the lithographic apparatus, patterning process, etc. to have better properties, such as a larger process window or the like. Thus, the terms "optimizing" and "optimization" as used herein, when compared to an initial set of one or more values for one or more variables, in at least one related metric, improvement, example Refers to or refers to the process of identifying one or more values for one or more such variables, for example providing a local optimal value. "Optimal" and other related terms are to be interpreted accordingly. In one embodiment, the optimization step may be applied iteratively to provide additional improvements in one or more metrics.

시스템의 최적화 프로세스에서, 시스템 또는 프로세스의 성능 지수는 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최적화(예를 들어 최소화 또는 최대화)하는 시스템 또는 프로세스의 파라미터들(설계 변수)의 세트를 찾는 과정이 된다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템 또는 프로세스의 특정한 특성(평가 포인트)의 이러한 특성의 의도된 값(예를 들어, 이상적인 값)에 대한 편차의 가중치가 부여된 평균제곱근(RMS)일 수 있다; 비용 함수는 또한 이러한 편차들 중 최대값(예를 들어 최악의 편차)일 수도 있다. 본 명세서에서 "평가 포인트"라는 용어는 시스템 또는 프로세스의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 시스템 또는 프로세스의 설계 변수는 유한 범위로 한정되거나 및/또는 시스템의 구현형태들의 실용성 때문에 상호의존적일 수 있다. 리소그래피 장치 또는 디바이스 제조 프로세스의 경우에, 이러한 제약들은 흔히 튜닝가능한 범위, 및/또는 패터닝 디바이스 제조성(manufacturability) 설계 규칙과 같은 하드웨어의 물리적 성질 및 특성과 연관되며, 평가 포인트는 기판 상의 레지스트상 상의 물리적 포인트, 및 선량 및 초점과 같은 비-물리적 특성을 포함할 수 있다.In the optimization process of a system, the figure of merit of the system or process can be expressed as a function of cost. The optimization process is the process of finding a set of parameters (design variables) of a system or process that optimizes (eg minimizes or maximizes) a cost function. The cost function can take any suitable form depending on the goal of the optimization. For example, the cost function may be a weighted root mean square (RMS) of the variance of a particular characteristic (evaluation point) of the system or process relative to the intended value (eg, an ideal value) of this characteristic; The cost function may also be the largest of these variances (eg worst case variance). In this specification, the term "evaluation point" should be interpreted broadly to include any characteristic of a system or process. The design parameters of the system or process may be limited to a finite range and/or may be interdependent because of the practicality of the implementations of the system. In the case of a lithographic apparatus or device manufacturing process, these constraints are often associated with the physical properties and properties of the hardware, such as the tunable range, and/or patterning device manufacturability design rules, where the evaluation point is on the resist on the substrate. Physical points, and non-physical properties such as dose and focus.

비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 예컨대, 본 발명의 실시예는 위에서 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다.Although specific embodiments of the present invention have been described above, it will be understood that the present invention may be practiced differently than described. For example, an embodiment of the present invention is a computer program comprising one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g., a semiconductor memory, a magnetic disk or an optical disk) in which such a computer program is stored. ) Can take the form.

블록도에서, 예시된 컴포넌트들은 이산 기능성 블록으로서 도시되지만, 실시예들은 본 명세서에서 설명된 기능성이 도시된 바와 같이 조직된 시스템으로 한정되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능성은 도면에 도시된 것과 달리 조직된 소프트웨어 또는 하드웨어 모듈에 의하여 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 상호혼합, 공동결합, 복제, 분리, 분산(예를 들어 데이터 센터 내에서 또는 지리적으로), 또는 다른 식으로 조직화될 수 있다. 본 명세서에서 설명된 기능성은 유형의(tangible) 비-일시적 머신 판독가능 매체에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제 3 자 콘텐츠 전달 네트워크가 네트워크들을 거쳐 전달되는 정보의 일부 또는 전부를 호스팅할 수 있는데, 이러한 경우에, 정보(예를 들어, 콘텐츠)가 공급되거나 다른 방식으로 제공된다고 언급되는 범위에서, 이러한 정보는 해당 정보를 콘텐츠 전달 네트워크로부터 취출하라는 명령을 전송함으로써 제공한다.In the block diagram, the illustrated components are shown as discrete functional blocks, but embodiments are not limited to a system in which the functionality described herein is organized as shown. Functionality provided by each of the components may be provided by software or hardware modules organized differently from those shown in the drawings, for example, such software or hardware may be intermixed, co-coupled, duplicated, separated, distributed (e.g. For example, it can be organized within a data center or geographically), or otherwise. The functionality described herein may be provided by one or more processors of one or more computers executing code stored on a tangible non-transitory machine-readable medium. In some cases, third-party content delivery networks may host some or all of the information delivered across the networks, in which case the extent to which the information (e.g., content) is said to be supplied or otherwise provided. In, such information is provided by sending a command to retrieve the information from the content delivery network.

명백하게 달리 진술되지 않는 한, 본 명세서로부터 명백한 것처럼, 명세서 전체를 통해 "처리" "계산" "연산" "결정" 등과 같은 용어를 활용한 설명은 또는 특수 목적 컴퓨터 또는 유사한 특수 목적 전자적 처리/계산 디바이스와 같은 특정 장치의 동작 또는 프로세스를 가리키는 것이라는 것이 이해된다.Unless expressly stated otherwise, as will be apparent from this specification, descriptions utilizing terms such as “processing” “calculating” “calculating” “determining” and the like throughout the specification may be used as a special purpose computer or similar special purpose electronic processing/calculation device. It is to be understood that such as refers to an operation or process of a particular device.

독자는 본 발명이 여러 개의 발명을 기술한다는 것을 이해해야 한다. 그들의 관련된 기술 요지가 출원 프로세스에서 경제성을 스스로 가질 수 있기 때문에, 그러한 발명들을 다수의 개별 특허 출원으로 분리하는 것보다, 출원인은 이러한 발명들을 단일 문서 내에 그룹화했다. 하지만 이러한 발명들의 별개의 장점 및 양태들은 합쳐져서는 안 된다. 일부 경우에, 실시예들은 본 명세서에 지적되지 않은 흠결들 모두를 해결하지만, 이러한 발명들이 독립적으로 유용하며, 일부 실시예는 이러한 문제점들의 부분 집합만을 해결하거나, 본 명세서를 검토한 당업자에게는 명백하게 이해될 언급되지 않은 다른 장점들을 제공한다는 것이 이해되어야 한다. 비용 제약 때문에, 본 명세서에 개시된 일부 발명은 현 상태로는 청구되지 않으며, 계속 출원과 같은 후속하는 출원에서 또는 현재의 청구항을 보정함으로써 청구될 수 있다. 이와 유사하게, 공간 제약 때문에, 본 명세서의 요약서 및 본 발명의 요약 섹션은 이러한 모든 발명 또는 이러한 발명의 모든 양태에 대한 광범위한 나열을 포함하고 있는 것으로 간주되어서는 안 된다.The reader should understand that the present invention describes several inventions. Rather than separating such inventions into multiple separate patent applications, applicants have grouped these inventions into a single document, because their related technical gist can have its own economics in the filing process. However, the distinct advantages and aspects of these inventions should not be combined. In some cases, the embodiments solve all of the defects not pointed out herein, but these inventions are useful independently, and some embodiments solve only a subset of these problems, or are clearly understood by those skilled in the art who have reviewed this specification. It should be understood that it provides other advantages not mentioned to be mentioned. Due to cost constraints, some inventions disclosed herein are not claimed as is, but may be claimed in subsequent applications, such as continuing applications, or by amendment of the current claims. Similarly, because of space constraints, the Summary of this specification and the Summary section of the invention should not be considered as including an extensive listing of all such inventions or all aspects of such inventions.

상세한 설명 및 도면은 본 발명을 개시된 특정한 형태로 한정시키려는 의도가 전혀 없으며, 그 반대로 첨부된 청구범위에 규정되는 바와 같은 본 발명의 사상 및 범위에 속하는 모든 변형예, 균등물, 및 대체예들을 포함하는 것이 의도된다는 것이 이해되어야 한다.The detailed description and drawings are not intended to limit the present invention to the specific form disclosed, and vice versa, include all modifications, equivalents, and alternative examples falling within the spirit and scope of the present invention as defined in the appended claims. It should be understood that it is intended to do.

본 발명의 다양한 양태의 변형 및 대안적 실시예는 본 명세서를 참조하면 당업자들에게 명백하게 이해될 것이다. 따라서, 이러한 상세한 설명 및 도면은 오직 예를 들기 위한 것이고 당업자들에게 본 발명을 실시하는 일반적인 방식을 알려주기 위한 것으로 해석되어야 한다. 본 명세서에서 도시되고 설명되는 본 발명의 형태들이 실시예들의 예로서 간주되어야 한다는 것이 이해되어야 한다. 본 발명의 상세한 설명의 장점을 가지면 당업자에게 명백해질 수 있는 것처럼, 요소 및 재료는 본 명세서에서 예시되고 설명되는 것들을 대체할 수 있고, 부분들과 프로세스들은 반전되거나 생략될 수 있으며, 특정 특징들은 독립적으로 활용될 수 있고, 실시예들 또는 실시예의 특징들은 결합될 수 있다. 후속하는 청구범위에서 기술되는 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않으면서, 본 명세서에서 설명되는 요소는 변경될 수 있다. 본 명세서의 각주는 기관의 편의만을 위한 것이고 본 발명의 범위를 한정하는 것을 의미하지 않는다.Variations and alternative embodiments of various aspects of the present invention will become apparent to those skilled in the art upon reference to this specification. Accordingly, these detailed descriptions and drawings are for illustrative purposes only and should be construed to inform those skilled in the art of a general manner of practicing the invention. It should be understood that the aspects of the invention shown and described herein are to be considered as examples of embodiments. As will become apparent to those skilled in the art with the advantages of the detailed description of the present invention, elements and materials may replace those illustrated and described herein, parts and processes may be reversed or omitted, and certain features may be independent. It can be utilized as, embodiments or features of the embodiments can be combined. Elements described herein may be changed without departing from the spirit and scope of the invention as described in the claims that follow. Footnotes in the present specification are for convenience only and are not meant to limit the scope of the present invention.

본 명세서 전체에서 사용될 때, "~ 수 있다(may)"는 단어는 강제적인 의미(즉, 해야함(must)을 의미)하는 것이 아니라 허용하는 의미(즉, 가능성이 있음을 의미)에서 사용된다. 단어 "포함", "포함하는", 및 "포함한다" 등은, 포함하지만 그것으로 제한되는 것은 아니라는 것을 의미한다. 본 명세서 전체에서 사용될 때, 단수 형태인 "한" "하나" 및 "그것"은 문맥이 그렇지 않다고 명백하게 표시하지 않으면 복수의 참조 부재를 포함한다. 따라서, 예를 들어 "하나의(an)" 요소 또는 "한(a)" 요소를 가리키는 것은, "하나 이상의"와 같이 하나 이상의 요소에 대해서 다른 용어 및 어구가 있지만, 두 개 이상의 요소의 조합을 포함한다. 용어 "또는"은, 그렇지 않다고 표시되지 않으면, 비-배타적이고, 즉, "및"과 "또는" 양자 모두를 망라한다. 조건 관계를 설명하는 용어, 예를 들어 "X에 응답하여 Y가", "X의 경우, Y가", "X면, Y가," "X일 경우, Y가" 등은, 선행사가 결과의 필요인과 조건이거나, 선행사가 충분한인과 조건이거나, 또는 선행사가 원인이 되는(constributory)인과 조건인 인과 관계들을 망라하는데, 예를 들어 "조건 Y가 달성되면 상태 X가 발생한다"는 "Y의 경우에만 X가 발생한다 " 및 Y 및 Z의 경우 "X가 발생한다"에 대한 통칭이다. 이러한 조건 관계는 선행사가 달성되는 것에 바로 후속하는 결과로 한정되지 않는데 이것은 일부 결과가 지연될 수 있기 때문이고, 조건부 진술에서, 선행사는 그 결과와 연결되는데, 예를 들어 선행사는 결과가 발생할 가능성과 관련된다. 복수 개의 속성 또는 기능이 복수 개의 대상물(예를 들어, 단계 A, B, C, 및 D를 수행하는 하나 이상의 프로세서)로 매핑된다는 진술은, 달리 표시되지 않는 한, 모든 이러한 속성 또는 기능이 이러한 모든 대상물로 매핑된다는 것 및 속성 또는 기능의 서브세트가 속성 또는 기능의 서브세트로 매핑된다는 것 양자 모두(예를 들어, 모든 프로세서가 각각 단계 A-D를 수행한다는 것, 및 프로세서 1 이 단계 A를 수행하고, 프로세서 2 가 단계 B 및 단계 C의 일부를 수행하며, 및 프로세서 3 이 단계 C의 일부와 단계 D를 수행하는 경우 양자 모두)를 망라한다. 더 나아가, 달리 표시되지 않는 한, 하나의 값 또는 동작이 다른 조건 또는 값에 "기초한다"는 진술은, 조건 또는 값이 유일한 인자인 경우 및 조건 또는 값이 여러 인자들 중 하나의 인자인 경우 양자 모두를 망라한다. 달리 표시되지 않는 한, 일부 콜렉션 중 "각각의" 인스턴스가 일부 특성을 가진다는 진술은, 더 큰 콜렉션의 일부의 그렇지 않으면 동일하거나 유사한 원소들이 그러한 특성을 가지지 않는 경우를 배제하는 것으로 해석되어서는 안 되고, 즉 각각이란 반드시 각각 그리고 모두를 의미하는 것은 아니다.As used throughout this specification, the word “may” is not used in a compulsory sense (ie, means must), but in an acceptable sense (ie, means there is a possibility). The words "comprising", "comprising", "comprising", and the like mean including but not limited to. As used throughout this specification, the singular forms “a” “an” and “it” include a plurality of reference members unless the context clearly indicates otherwise. Thus, for example, referring to "an" element or "a" element, there are different terms and phrases for one or more elements, such as "one or more", but a combination of two or more elements. Include. The term “or” is non-exclusive, ie, encompasses both “and” and “or” unless indicated otherwise. Terms describing conditional relationships, such as "Y in response to X", "For X, if Y", "If X, if Y," "If X, then Y", etc. It encompasses causal relationships, which are necessities and conditions of, the retreat is a sufficient causal condition, or the predecessor is a constributory. For example, "When condition Y is achieved, state X occurs" It is a generic term for "X occurs only in the case of Y" and "X occurs" in the case of Y and Z. This conditional relationship is not limited to the outcome immediately following what the retreat is achieved, since some outcomes may be delayed, and in conditional statements, the retreat is linked to its outcome, e. Related. A statement that a plurality of attributes or functions map to a plurality of objects (e.g., one or more processors performing steps A, B, C, and D) means that all such attributes or functions are Both being mapped to an object and that a subset of attributes or functions are mapped to a subset of attributes or functions (e.g., that all processors each perform step AD, and processor 1 performs step A and , Processor 2 performs some of steps B and C, and when processor 3 performs some of steps C and D). Furthermore, unless otherwise indicated, a statement that one value or action is "based on" another condition or value means that the condition or value is the only factor and when the condition or value is one of several factors. It covers both. Unless otherwise indicated, a statement that "each" instance of some collection has some properties should not be construed as excluding cases where otherwise identical or similar elements of some of the larger collections do not have such properties. That is, each does not necessarily mean each and all.

특정 미국 특허, 미국 특허 출원, 또는 다른 문헌(예를 들어, 자료)이 원용되어 통합된다는 범위에 대해서, 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌은 이러한 문헌과 본원에 언급된 진술 및 도면 사이에 상충이 존재하지 않는 범위에서 원용에 의해 본원에 통합된다. 이러한 상충이 있는 경우, 본 명세서에서 원용에 의해 통합되는 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌 내의 임의의 이러한 상충되는 내용은 구체적으로 본 명세서에 원용에 의해 통합되지 않는다.To the extent that certain U.S. patents, U.S. patent applications, or other documents (e.g., materials) are incorporated by reference, these U.S. patents, U.S. patent applications, and other documents are not included between these documents and the statements and drawings mentioned herein. It is incorporated herein by reference to the extent that no conflict exists. In the event of such conflict, any such conflicting content in such US patents, US patent applications, and other documents incorporated herein by reference is not specifically incorporated herein by reference.

위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 기술된 바와 같은 본 발명이 변경될 수 있다는 것이 당업자에게는 명백할 것이다.The above description is provided by way of illustration and not limitation. Accordingly, it will be apparent to those skilled in the art that the invention as described may be modified without departing from the scope of the claims set forth below.

Claims (41)

패터닝 프로세스의 오버레이를 결정하는 방법으로서,
유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 유닛 셀은 오버레이의 공칭 값에서 기하학적 대칭을 가지고, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및
하드웨어 컴퓨터 시스템에 의하여 그리고 검출된 방사선 표현으로부터의 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한, 상기 유닛 셀에 대한 제 2 오버레이와 별개로, 상기 유닛 셀에 대한 제 1 오버레이의 값을 결정하는 단계를 포함하되, 상기 제 1 오버레이는 상기 제 2 오버레이와 상이한 방향이거나 상기 유닛 셀 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있는, 오버레이 결정 방법.
As a method of determining the overlay of the patterning process,
Obtaining a detected representation of radiation redirected by one or more physical instances of the unit cell, wherein the unit cell has geometric symmetry at the nominal value of the overlay, and the detected representation of the radiation is such that the beam spot on the substrate is Obtained by illuminating the substrate with a beam of radiation to be filled with one or more physical instances of the cell; And
Determining the value of the first overlay for the unit cell, apart from the second overlay for the unit cell, also obtainable from the same optical property value, by the hardware computer system and from the optical property value from the detected radiation representation. Wherein the first overlay is in a different direction from the second overlay or between portions of the unit cell in a different combination than the second overlay.
제 1 항에 있어서,
상기 제 1 오버레이 및 제 2 오버레이는 상이한 방향에 대한 것이고 및 상기 유닛 셀의 동일한 제 1 부분 및 제 2 부분에 대한 것인, 오버레이 결정 방법.
The method of claim 1,
The first and second overlays are for different directions and are for the same first and second portions of the unit cell.
제 1 항에 있어서,
상기 제 1 오버레이는, 상기 유닛 셀 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있는, 오버레이 결정 방법.
The method of claim 1,
The first overlay is between portions of the unit cell in a different combination than the second overlay.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 방법은,
상기 제 1 오버레이 값이 결정된 것과 동일한 광학 특성 값으로부터, 상기 제 2 오버레이를 결정하는 단계를 더 포함하는, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
The above method,
And determining the second overlay from the same optical property value as the first overlay value was determined.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 오버레이 값을 결정하는 단계는, 픽셀 광학 특성 값에 대한 가중치의 세트를 사용하는, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
The determining of the first overlay value comprises using a set of weights for pixel optical property values.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 오버레이 값은, 상기 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정되는, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
The first overlay value is determined using a summation of optical characteristic values for a corresponding pixel multiplied by an associated weight for each pixel for a plurality of pixels of the detected radiation representation.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
오버레이에 대해 더 큰 감도를 가지는 검출된 방사선 표현의 픽셀로부터 얻어진 광학 특성 값은, 오버레이에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 제 1 오버레이 값을 결정하는 데에 더 많이 기여하는, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
Optical property values obtained from pixels of the detected radiation representation having a greater sensitivity to the overlay are used to determine the first overlay value than optical property values obtained from other pixels of the detected radiation having a lower sensitivity to the overlay. Contributing more to, how to determine the overlay.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
검출된 방사선은 주성분으로 0차 방사선인 것인, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
The detected radiation is the zero-order radiation as a main component, the overlay determination method.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 검출된 방사선 표현은 퓨필 표현인, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
The detected radiation expression is a pupil expression.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리되는, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
Wherein the detected radiation representation is processed to subtract an optical property value across an axis of symmetry, to reduce or eliminate an optical property value of a symmetrical optical property distribution of the detected radiation representation.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 광학 특성은 세기 및/또는 위상인, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
Wherein the optical property is intensity and/or phase.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체인, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
The one or more physical instances of the unit cell are device structures.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체인, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
Wherein the at least one physical instance of the unit cell is a non-device structure within a substrate die containing a device structure.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 검출된 방사선 표현은 상기 유닛 셀의 하나 이상의 물리적 인스턴스를 생성하도록 에칭 프로세스 이후에 검출된 것인, 오버레이 결정 방법.
The method according to any one of claims 1 to 3,
Wherein the detected radiation representation is detected after an etching process to create one or more physical instances of the unit cell.
패터닝 프로세스의 오버레이를 결정하는 방법으로서,
유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 유닛 셀은 오버레이의 공칭 값에서 기하학적 대칭을 가지고, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및
하드웨어 컴퓨터 시스템에 의하여 그리고 검출된 방사선 표현으로부터의 광학 특성 값으로부터, 상기 유닛 셀의 제 1 부분과 상기 유닛 셀의 제 2 부분 사이의 오버레이 값을, 상기 유닛 셀의 제 2 부분과 상기 유닛 셀의 제 3 부분 사이 또는 상기 유닛 셀의 제 3 부분과 상기 유닛 셀의 제 4 부분 사이의, 동일한 광학 특성 값으로부터 역시 획득가능한 오버레이와 별개로 결정하는 단계를 포함하는, 오버레이 결정 방법.
As a method of determining the overlay of the patterning process,
Obtaining a detected representation of radiation redirected by one or more physical instances of the unit cell, wherein the unit cell has geometric symmetry at the nominal value of the overlay, and the detected representation of the radiation is such that the beam spot on the substrate is Obtained by illuminating the substrate with a beam of radiation to be filled with one or more physical instances of the cell; And
The overlay value between the first portion of the unit cell and the second portion of the unit cell, from the optical property value from the detected radiation representation and by the hardware computer system, is obtained from the second portion of the unit cell and of the unit cell. Determining separately from the overlay also obtainable from the same optical property value, between a third portion or between a third portion of the unit cell and a fourth portion of the unit cell.
제 15 항에 있어서,
상기 방법은,
상기 광학 특성 값으로부터, 상기 유닛 셀 또는 각각의 유닛 셀의 제 2 부분과 제 3 부분 사이 또는 상기 유닛 셀 또는 각각의 유닛 셀의 제 3 부분과 제 4 부분 사이의 오버레이 값을, 상기 유닛 셀 또는 각각의 유닛 셀의 제 1 부분과 제 2 부분 사이의 오버레이와 별개로 결정하는 단계를 더 포함하는, 오버레이 결정 방법.
The method of claim 15,
The above method,
From the optical property value, an overlay value between the second part and the third part of the unit cell or each unit cell or between the third part and the fourth part of the unit cell or each unit cell is obtained, the unit cell or Further comprising determining separately from the overlay between the first portion and the second portion of each unit cell.
제 15 항 또는 제 16 항에 있어서,
상기 오버레이 값을 결정하는 단계는, 픽셀 광학 특성 값에 대한 가중치의 세트를 사용하는, 오버레이 결정 방법.
The method of claim 15 or 16,
The determining of the overlay value comprises using a set of weights for pixel optical property values.
제 15 항 또는 제 16 항에 있어서,
상기 오버레이 값은, 상기 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정되는, 오버레이 결정 방법.
The method of claim 15 or 16,
The overlay value is determined using a summation of optical characteristic values for a corresponding pixel multiplied by an associated weight for each pixel for a plurality of pixels of the detected radiation representation.
제 15 항 또는 제 16 항에 있어서,
오버레이에 대해 더 큰 감도를 가지는 검출된 방사선 표현의 픽셀로부터 얻어진 광학 특성 값은, 오버레이에 대해 더 낮은 감도를 가지는 검출된 방사선 표현의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 오버레이 값을 결정하는 데에 더 많이 기여하는, 오버레이 결정 방법.
The method of claim 15 or 16,
Optical property values obtained from pixels of the detected radiation representation having a greater sensitivity to the overlay are used to determine the overlay values than those obtained from other pixels of the detected radiation representation that have a lower sensitivity to the overlay. Contributing more, how to determine the overlay.
제 15 항 또는 제 16 항에 있어서,
검출된 방사선은 주성분으로 0차 방사선인 것인, 오버레이 결정 방법.
The method of claim 15 or 16,
The detected radiation is the zero-order radiation as a main component, the overlay determination method.
제 15 항 또는 제 16 항에 있어서,
상기 검출된 방사선 표현은 퓨필 표현인, 오버레이 결정 방법.
The method of claim 15 or 16,
The detected radiation expression is a pupil expression.
제 15 항 또는 제 16 항에 있어서,
상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리되는, 오버레이 결정 방법.
The method of claim 15 or 16,
Wherein the detected radiation representation is processed to subtract an optical property value across an axis of symmetry, to reduce or eliminate an optical property value of a symmetrical optical property distribution of the detected radiation representation.
제 15 항 또는 제 16 항에 있어서,
상기 광학 특성은 세기 및/또는 위상인, 오버레이 결정 방법.
The method of claim 15 or 16,
Wherein the optical property is intensity and/or phase.
제 15 항 또는 제 16 항에 있어서,
상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체인, 오버레이 결정 방법.
The method of claim 15 or 16,
The one or more physical instances of the unit cell are device structures.
제 15 항 또는 제 16 항에 있어서,
상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체인, 오버레이 결정 방법.
The method of claim 15 or 16,
Wherein the at least one physical instance of the unit cell is a non-device structure within a substrate die containing a device structure.
제 15 항 또는 제 16 항에 있어서,
상기 방사선은 상기 유닛 셀의 하나 이상의 물리적 인스턴스를 생성하도록 에칭 프로세스 이후에 검출되는, 오버레이 결정 방법.
The method of claim 15 or 16,
The radiation is detected after an etching process to create one or more physical instances of the unit cell.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 패터닝 프로세스의 대상물을 검사하는 검사 장치로서,
상기 검사 장치는 제 1 항 내지 제 3 항 중 어느 한 항의 방법을 수행하도록 동작가능한, 검사 장치.
As an inspection device for inspecting an object of a patterning process,
The testing device is operable to perform the method of any one of claims 1 to 3.
명령이 기록된 컴퓨터 판독가능한 기록 매체로서,
상기 명령은 컴퓨터에 의하여 실행될 때 제 1 항 내지 제 3 항 중 어느 한 항의 방법을 구현하는, 컴퓨터 판독가능한 기록 매체.
A computer-readable recording medium on which instructions are recorded, comprising:
A computer-readable recording medium, wherein the instructions, when executed by a computer, implement the method of any one of claims 1 to 3.
시스템으로서,
하드웨어 프로세서 시스템; 및
머신-판독가능 명령을 저장하도록 구성되는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고,
실행될 때 상기 머신-판독가능 명령은 상기 하드웨어 프로세서 시스템이 제 1 항 내지 제 3 항 중 어느 한 항의 방법을 실행하게 하는, 시스템.
As a system,
Hardware processor system; And
A non-transitory computer-readable storage medium configured to store machine-readable instructions,
The system, when executed, the machine-readable instructions cause the hardware processor system to execute the method of any one of claims 1 to 3.
시스템으로서,
방사선 빔을 대상물의 표면에 비스듬한 각도로 상기 대상물의 표면에 제공하고 상기 대상물의 표면 상의 물리적 피쳐에 의해 산란되는 방사선을 검출하도록 구성되는 검사 장치; 및
제 38 항의 컴퓨터 판독가능한 기록 매체를 포함하는, 시스템.
As a system,
An inspection device configured to provide a radiation beam to the surface of the object at an oblique angle to the surface of the object and to detect radiation scattered by physical features on the surface of the object; And
A system comprising the computer readable recording medium of claim 38.
제 40 항에 있어서,
상기 시스템은 리소그래피 장치를 더 포함하고, 상기 리소그래피 장치는,
방사선 빔을 변조하기 위해 패터닝 디바이스를 홀딩하도록 구성되는 지지 구조체 및 변조된 빔을 방사선 감응 기판 상에 투영하도록 배치되는 투영 광학 시스템을 포함하고,
상기 대상물은 패터닝 디바이스인, 시스템.
The method of claim 40,
The system further comprises a lithographic apparatus, the lithographic apparatus comprising:
A support structure configured to hold the patterning device to modulate the radiation beam, and a projection optical system arranged to project the modulated beam onto the radiation-sensitive substrate,
Wherein the object is a patterning device.
KR1020187027663A 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters KR102151012B1 (en)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US201662301880P 2016-03-01 2016-03-01
US62/301,880 2016-03-01
US201662435670P 2016-12-16 2016-12-16
US201662435662P 2016-12-16 2016-12-16
US201662435649P 2016-12-16 2016-12-16
US201662435630P 2016-12-16 2016-12-16
US62/435,662 2016-12-16
US62/435,630 2016-12-16
US62/435,649 2016-12-16
US62/435,670 2016-12-16
US201762458932P 2017-02-14 2017-02-14
US62/458,932 2017-02-14
PCT/EP2017/054748 WO2017149003A1 (en) 2016-03-01 2017-03-01 Method and apparatus to determine a patterning process parameter

Publications (2)

Publication Number Publication Date
KR20180118708A KR20180118708A (en) 2018-10-31
KR102151012B1 true KR102151012B1 (en) 2020-09-03

Family

ID=58192291

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020187027664A KR102182415B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters
KR1020187027639A KR102182355B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters
KR1020187027663A KR102151012B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters
KR1020187027635A KR102184584B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters
KR1020187027636A KR102184641B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020187027664A KR102182415B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters
KR1020187027639A KR102182355B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020187027635A KR102184584B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters
KR1020187027636A KR102184641B1 (en) 2016-03-01 2017-03-01 Method and apparatus for determining patterning process parameters

Country Status (7)

Country Link
US (12) US20170256465A1 (en)
JP (5) JP6824999B2 (en)
KR (5) KR102182415B1 (en)
CN (5) CN109073995B (en)
IL (5) IL261427B (en)
TW (5) TWI727003B (en)
WO (5) WO2017148982A1 (en)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US20170256465A1 (en) 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10068323B2 (en) * 2016-04-10 2018-09-04 Kla-Tencor Corporation Aware system, method and computer program product for detecting overlay-related defects in multi-patterned fabricated devices
JP2020518845A (en) * 2017-05-04 2020-06-25 エーエスエムエル ホールディング エヌ.ブイ. Method, substrate, and apparatus for measuring optical metrology performance
PT3642674T (en) * 2017-06-19 2023-05-02 Suss Microtec Solutions Gmbh & Co Kg Magnification compensation and/or beam steering in optical systems
US10382145B2 (en) * 2017-07-13 2019-08-13 Benjamin J. Egg System and method for improving wireless data links
WO2019015995A1 (en) * 2017-07-18 2019-01-24 Asml Netherlands B.V. Methods and apparatus for measurement of a parameter of a feature fabricated on a semiconductor substrate
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
CN110998449B (en) * 2017-08-07 2022-03-01 Asml荷兰有限公司 Calculation measurement
EP3444674A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation Machine learning in metrology measurements
EP3454124A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
EP3457212A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
EP3477391A1 (en) * 2017-10-26 2019-05-01 ASML Netherlands B.V. Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
CN111279268B (en) 2017-10-26 2022-04-01 Asml荷兰有限公司 Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
WO2019086221A1 (en) 2017-10-31 2019-05-09 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
WO2019091678A1 (en) * 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3489756A1 (en) * 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10962888B2 (en) * 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
US11085754B2 (en) 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
CN116482939A (en) * 2017-12-22 2023-07-25 Asml荷兰有限公司 Patterning process improvements involving optical aberrations
JP7186230B2 (en) 2017-12-28 2022-12-08 エーエスエムエル ネザーランズ ビー.ブイ. Apparatus and method for removing contaminant particles from apparatus components
WO2019129485A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
WO2019129468A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method of processing data, method of obtaining calibration data
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3521930A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
EP3521929A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
IL277294B1 (en) * 2018-03-19 2024-01-01 Kla Corp Overlay measurement using multiple wavelengths
KR102641864B1 (en) 2018-03-19 2024-02-29 에이에스엠엘 네델란즈 비.브이. Method for determining curvilinear patterns for patterning device
JP6964031B2 (en) * 2018-03-27 2021-11-10 Tasmit株式会社 Pattern edge detection method
EP3557327A1 (en) * 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
CN108829079B (en) * 2018-04-26 2020-09-01 安徽江淮汽车集团股份有限公司 TCU automatic flashing system and method
US10866508B2 (en) * 2018-05-18 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing photomask and semiconductor manufacturing method thereof
DE102018207880A1 (en) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Method and apparatus for evaluating an unknown effect of defects of an element of a photolithography process
US10579764B2 (en) 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
US10359706B1 (en) * 2018-06-11 2019-07-23 Kla-Tencor Corporation Integrated scanning electron microscopy and optical analysis techniques for advanced process control
US11860549B2 (en) 2018-06-19 2024-01-02 Asml Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
KR20210027262A (en) * 2018-06-29 2021-03-10 가부시키가이샤 에바라 세이사꾸쇼 Bump height measurement device, substrate processing device, bump height measurement method, storage medium
CN112424826A (en) 2018-07-13 2021-02-26 Asml荷兰有限公司 Pattern grouping method based on machine learning
WO2020043525A1 (en) 2018-08-28 2020-03-05 Asml Netherlands B.V. Systems and methods of optimal metrology guidance
CN112840432A (en) * 2018-09-13 2021-05-25 Asml荷兰有限公司 Method and apparatus for monitoring beam profile and power
EP3640735A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
EP3650940A1 (en) * 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
JP7431824B2 (en) 2018-11-21 2024-02-15 ケーエルエー コーポレイション Scatterometry overlay (SCOL) measurement method and SCOL measurement system
WO2020106335A1 (en) * 2018-11-21 2020-05-28 Kla-Tencor Corporation Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
EP3891558A1 (en) * 2018-12-03 2021-10-13 ASML Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
EP3671347A1 (en) * 2018-12-19 2020-06-24 ASML Netherlands B.V. Method for controling a manufacturing process and associated apparatuses
KR20230175346A (en) * 2018-12-28 2023-12-29 에이에스엠엘 네델란즈 비.브이. Determining pattern ranking based on measurement feedback from printed substrate
KR20210096659A (en) * 2018-12-31 2021-08-05 에이에스엠엘 네델란즈 비.브이. Measurement method
KR20210096226A (en) * 2018-12-31 2021-08-04 에이에스엠엘 네델란즈 비.브이. Scanning Charged Particle Microscopy Calibration Method
EP3906442A1 (en) * 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
CN111611764B (en) * 2019-02-22 2024-02-27 深圳晶源信息技术有限公司 Pupil evaluation method and system and electronic device thereof
KR102199314B1 (en) * 2019-03-07 2021-01-06 (주) 인텍플러스 Apparatus for inspecting display panel
EP3994523A1 (en) * 2019-07-02 2022-05-11 ASML Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN110398768B (en) * 2019-07-15 2020-11-17 华中科技大学 Beam spot dynamic monitoring method and system based on pixel ionization chamber
US11308606B2 (en) * 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11003164B2 (en) * 2019-08-30 2021-05-11 Micron Technology, Inc. Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems
JP7310466B2 (en) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー Multi-charged particle beam evaluation method
US11182892B2 (en) 2019-09-16 2021-11-23 Kla Corporation Periodic semiconductor device misregistration metrology system and method
JP7267882B2 (en) * 2019-09-17 2023-05-02 キオクシア株式会社 Method for calibrating substrates, patterns, and metrology equipment
FI20195790A1 (en) * 2019-09-20 2021-03-21 Maillefer Extrusion Oy Machine-learning-based quality prediction of manufactured fiber optic cable
WO2021083704A1 (en) * 2019-11-01 2021-05-06 Asml Netherlands B.V. Metrology method and lithographic apparatuses
US11360398B2 (en) * 2019-11-14 2022-06-14 Kla Corporation System and method for tilt calculation based on overlay metrology measurements
US11152270B2 (en) 2019-12-01 2021-10-19 Winbond Electronics Corp. Monitoring structure for critical dimension of lithography process
IL279727A (en) 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
US11475303B2 (en) * 2020-04-14 2022-10-18 Microsoft Technology Licensing, Llc Spread neural networks
CN111538213B (en) * 2020-04-27 2021-04-27 湖南大学 Electron beam proximity effect correction method based on neural network
US11436579B2 (en) 2020-05-04 2022-09-06 Bank Of America Corporation Performing enhanced deposit item processing using cognitive automation tools
CN111697088B (en) * 2020-05-19 2022-03-01 苏州大学 Preparation method of patterned silicon structure and silicon-based photovoltaic cell
WO2021260765A1 (en) * 2020-06-22 2021-12-30 株式会社日立ハイテク Dimension measuring device, semiconductor manufacturing device, and semiconductor device manufacturing system
US11967058B2 (en) 2020-06-24 2024-04-23 Kla Corporation Semiconductor overlay measurements using machine learning
US11164307B1 (en) * 2020-07-21 2021-11-02 Kla Corporation Misregistration metrology by using fringe Moiré and optical Moiré effects
CN112132732B (en) * 2020-09-10 2023-06-02 宁波大学科学技术学院 Pixel prediction method, reversible information hiding and extracting method, terminal and storage medium for stereoscopic image
CN114911139A (en) * 2021-02-09 2022-08-16 普思半导体股份有限公司 Method and system for overlay correction of lithography patterns and method for generating mask patterns
CN117043683A (en) * 2021-03-10 2023-11-10 Asml荷兰有限公司 Alignment method and related alignment and lithographic apparatus
WO2022233546A1 (en) 2021-05-06 2022-11-10 Asml Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
EP4086703A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
WO2023025506A1 (en) * 2021-08-26 2023-03-02 Asml Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
US20230175835A1 (en) * 2021-12-02 2023-06-08 Micron Technology, Inc. Apparatuses and methods for diffraction base overlay measurements

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004533114A (en) * 2001-04-10 2004-10-28 ケーエルエー−テンカー コーポレイション Techniques for controlling periodic patterns and deviations
JP2008311645A (en) 2007-06-13 2008-12-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2015082158A1 (en) * 2013-12-05 2015-06-11 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus
WO2015185166A1 (en) * 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
DE69531854T2 (en) * 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. METHOD FOR REPEATING IMAGE OF A MASK PATTERN ON A SUBSTRATE
US5701013A (en) 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
EP1314198B1 (en) * 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
DE10043315C1 (en) * 2000-09-02 2002-06-20 Zeiss Carl Projection exposure system
US6555274B1 (en) * 2001-02-01 2003-04-29 Jongwook Kye Pupil filtering for a lithographic tool
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
TW569368B (en) 2001-11-14 2004-01-01 Tokyo Electron Ltd Substrate inspecting apparatus, coating and developing apparatus, and substrate inspecting method
US6571485B1 (en) 2001-11-30 2003-06-03 United Microelectronics Corp. Structure of an overlay mark and its dosimetry application
DE10224164B4 (en) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale A two-dimensional structure for determining a superposition accuracy by means of scattering measurement
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
KR20060009249A (en) * 2003-04-08 2006-01-31 에이오티아이 오퍼레이팅 컴퍼니 인코포레이티드 Overlay metrology mark
US7425396B2 (en) * 2003-09-30 2008-09-16 Infineon Technologies Ag Method for reducing an overlay error and measurement mark for carrying out the same
US7180593B2 (en) * 2003-11-05 2007-02-20 Macronix International Co., Ltd. Overlay mark for aligning different layers on a semiconductor wafer
KR101244103B1 (en) 2004-01-16 2013-03-25 칼 짜이스 에스엠테 게엠베하 Device and method for wavefront measurement of an optical imaging system, and a microlithography projection exposure machine
JP4734261B2 (en) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション Continuously changing offset mark and overlay determination method
US20050185174A1 (en) 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US7313769B1 (en) * 2004-03-01 2007-12-25 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7534552B2 (en) 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403265B2 (en) 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US8009209B2 (en) 2005-09-30 2011-08-30 Simon Fraser University Methods and apparatus for detecting defects in imaging arrays by image analysis
US7525642B2 (en) 2006-02-23 2009-04-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
JP2007324371A (en) 2006-06-01 2007-12-13 Ebara Corp Overlay mark for overlay inspection and mark for lens aberration investigation
US8149490B2 (en) 2006-08-18 2012-04-03 Nippon Telegraph And Telephone Corporation Optical switch, optical switch control method and communication system
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US7940386B1 (en) 2007-07-13 2011-05-10 Kla-Tencor Corporation Scatterometry target employing non-periodic defect features to enhance or optimize target sensitivity to a parameter of interest
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
DE102007046850B4 (en) 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Method for determining an overlay accuracy
NL1036032A1 (en) * 2007-10-10 2009-04-15 Asml Netherlands Bv Overlay measurement on double patterning substrate.
NL1036123A1 (en) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (en) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method or diffraction based overlay metrology.
AU2007360681B2 (en) 2007-12-17 2013-10-17 Suntory Holdings Limited Mutant ILV5 gene and use thereof
NL1036459A1 (en) 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036597A1 (en) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
DE102008042356A1 (en) 2008-09-25 2010-04-08 Carl Zeiss Smt Ag Projection exposure system with optimized adjustment option
US20110295555A1 (en) 2008-09-30 2011-12-01 Asml Netherlands B.V. Method and System for Determining a Lithographic Process Parameter
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
WO2010130516A1 (en) 2009-05-11 2010-11-18 Asml Netherlands B.V. Method of determining overlay error
US8356261B1 (en) 2009-07-02 2013-01-15 Asml Netherlands B.V. Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
CN102498441B (en) 2009-07-31 2015-09-16 Asml荷兰有限公司 Method for measurement and equipment, etching system and lithographic processing cell
US8189202B2 (en) 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
JP2013502592A (en) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. Metrology method and apparatus, lithographic apparatus, lithography processing cell, and substrate comprising metrology target
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
KR101793538B1 (en) * 2010-07-19 2017-11-03 에이에스엠엘 네델란즈 비.브이. Method and apparatus for determining an overlay error
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
US9588439B1 (en) 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US8539394B2 (en) 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
NL2009723A (en) 2011-11-30 2013-06-03 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
NL2010691A (en) * 2012-05-29 2013-12-02 Asml Netherlands Bv A method to determine the usefulness of alignment marks to correct overlay, and a combination of a lithographic apparatus and an overlay measurement system.
CN103472004B (en) * 2012-06-08 2016-04-20 上海微电子装备有限公司 A kind of lithography process parameters measurement mechanism and method
JP6133980B2 (en) 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. Metrology for lithography
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
WO2015000673A1 (en) * 2013-07-03 2015-01-08 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2015009619A1 (en) 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
CN108398856B (en) 2013-08-07 2020-10-16 Asml荷兰有限公司 Metrology method and apparatus, lithographic system and device manufacturing method
WO2015080858A1 (en) 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
WO2015090838A1 (en) * 2013-12-19 2015-06-25 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
KR101860038B1 (en) * 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. Method and apparatus for design of a metrology target
JP6567523B2 (en) 2013-12-30 2019-08-28 エーエスエムエル ネザーランズ ビー.ブイ. Method and apparatus for the design of metrology targets
JP2015170764A (en) 2014-03-07 2015-09-28 株式会社東芝 Aberration amount calculation method and displacement amount calculation method
EP2927747A3 (en) 2014-03-31 2016-03-09 IMEC vzw Quality assessment of directed self-assembling method
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
CN105278253B (en) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 Overlay error measurement apparatus and method
CN106575630B (en) * 2014-07-13 2021-05-25 科磊股份有限公司 Metrology using overlay and yield critical patterns
WO2016020925A1 (en) 2014-08-07 2016-02-11 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
IL252666B (en) 2014-12-10 2022-06-01 Nova Ltd Test structure for use in metrology measurements of patterns
WO2016123552A1 (en) * 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
WO2016139057A1 (en) * 2015-03-05 2016-09-09 Asml Netherlands B.V. Method and apparatus for inspection and metrology
CN105511235B (en) 2016-02-15 2017-08-08 京东方科技集团股份有限公司 Alignment key mark, the method for forming alignment key calibration method and measurement alignment precision
US20170256465A1 (en) * 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004533114A (en) * 2001-04-10 2004-10-28 ケーエルエー−テンカー コーポレイション Techniques for controlling periodic patterns and deviations
JP2008311645A (en) 2007-06-13 2008-12-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2015082158A1 (en) * 2013-12-05 2015-06-11 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus
WO2015185166A1 (en) * 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Also Published As

Publication number Publication date
CN109073997A (en) 2018-12-21
TW201743143A (en) 2017-12-16
IL261426A (en) 2018-10-31
JP6824999B2 (en) 2021-02-03
WO2017148982A1 (en) 2017-09-08
TW201734632A (en) 2017-10-01
CN109073998B (en) 2021-10-26
TW201743142A (en) 2017-12-16
US20170256465A1 (en) 2017-09-07
US20170255112A1 (en) 2017-09-07
IL261335B (en) 2021-05-31
TWI659277B (en) 2019-05-11
WO2017148996A1 (en) 2017-09-08
US10811323B2 (en) 2020-10-20
JP6839718B2 (en) 2021-03-10
IL261335A (en) 2018-10-31
JP2019508742A (en) 2019-03-28
CN109073996B (en) 2020-12-01
IL261376A (en) 2018-10-31
TWI662381B (en) 2019-06-11
CN109073997B (en) 2021-12-07
US10453758B2 (en) 2019-10-22
WO2017148986A1 (en) 2017-09-08
IL261393A (en) 2018-10-31
TWI707198B (en) 2020-10-11
JP2019508745A (en) 2019-03-28
US11710668B2 (en) 2023-07-25
KR102182415B1 (en) 2020-11-25
US20240014078A1 (en) 2024-01-11
CN109073999B (en) 2021-08-24
CN109073996A (en) 2018-12-21
KR20180116384A (en) 2018-10-24
JP6782784B2 (en) 2020-11-11
US10615084B2 (en) 2020-04-07
JP2019508744A (en) 2019-03-28
TW201741779A (en) 2017-12-01
US11784098B2 (en) 2023-10-10
KR20180116388A (en) 2018-10-24
US11728224B2 (en) 2023-08-15
US11101185B2 (en) 2021-08-24
JP2019512110A (en) 2019-05-09
KR102184641B1 (en) 2020-12-01
US20170255738A1 (en) 2017-09-07
US20210035871A1 (en) 2021-02-04
US20210384086A1 (en) 2021-12-09
US20200013685A1 (en) 2020-01-09
US11101184B2 (en) 2021-08-24
KR20180118708A (en) 2018-10-31
US20200185281A1 (en) 2020-06-11
CN109073995B (en) 2021-03-09
JP6765435B2 (en) 2020-10-07
US20170255736A1 (en) 2017-09-07
CN109073999A (en) 2018-12-21
WO2017149009A1 (en) 2017-09-08
KR102182355B1 (en) 2020-11-25
JP2019508741A (en) 2019-03-28
IL261427B (en) 2022-07-01
US20210335678A1 (en) 2021-10-28
WO2017149003A1 (en) 2017-09-08
IL261426B (en) 2022-04-01
IL261376B (en) 2021-08-31
KR102184584B1 (en) 2020-12-02
US10546790B2 (en) 2020-01-28
IL261427A (en) 2018-10-31
US20200126872A1 (en) 2020-04-23
JP6707657B2 (en) 2020-06-10
KR20180116383A (en) 2018-10-24
US11145557B2 (en) 2021-10-12
TWI651598B (en) 2019-02-21
IL261393B (en) 2022-05-01
TWI727003B (en) 2021-05-11
KR20180118707A (en) 2018-10-31
TW201743141A (en) 2017-12-16
US20170255737A1 (en) 2017-09-07
CN109073995A (en) 2018-12-21
CN109073998A (en) 2018-12-21

Similar Documents

Publication Publication Date Title
KR102151012B1 (en) Method and apparatus for determining patterning process parameters
KR102363699B1 (en) How to determine patterning process parameters
KR20200066725A (en) Method and apparatus for determining patterning process parameters
KR20200077590A (en) How to determine information about the patterning process, how to reduce errors in measurement data, how to calibrate the measurement process, and how to select measurement targets
TW201940984A (en) Method and device for determining adjustments to sensitivity parameters

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant