KR102113275B1 - Wafer purge apparatus for buffer chamber - Google Patents

Wafer purge apparatus for buffer chamber Download PDF

Info

Publication number
KR102113275B1
KR102113275B1 KR1020180045684A KR20180045684A KR102113275B1 KR 102113275 B1 KR102113275 B1 KR 102113275B1 KR 1020180045684 A KR1020180045684 A KR 1020180045684A KR 20180045684 A KR20180045684 A KR 20180045684A KR 102113275 B1 KR102113275 B1 KR 102113275B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
chamber
disposed
purge
Prior art date
Application number
KR1020180045684A
Other languages
Korean (ko)
Other versions
KR20190122040A (en
Inventor
이상곤
Original Assignee
주식회사 저스템
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 저스템 filed Critical 주식회사 저스템
Priority to KR1020180045684A priority Critical patent/KR102113275B1/en
Publication of KR20190122040A publication Critical patent/KR20190122040A/en
Application granted granted Critical
Publication of KR102113275B1 publication Critical patent/KR102113275B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 기판에 잔존하는 이물질을 제거하기 위하여 기판 이송 경로 상에 배치되는 버퍼 챔버 내부에 퍼지 가스를 공급 및 배출하는 버퍼 챔버용 웨이퍼 퍼지 장치에 관한 것으로, 전면에 기판이 출입하는 출입구가 형성되고, 내부에 복수의 기판이 적재되는 적재공간이 형성된 챔버부; 기판의 적재 방향을 따라 형성된 몸체부와, 몸체부 내부의 가스 통로, 및 가스 통로로부터 분기되어 적재 방향을 따라 복수개가 배치되며 적재공간에 적재된 기판 사이에 퍼지 가스를 분사하는 가스 분사구를 포함하는 가스 분사부; 적재공간의 퍼지 가스 및 오염 물질을 흡기하여 챔버부 외부로 배기하는 가스 흡기부; 를 포함한다. 이에 의해, 기판에 잔존하는 파티클 및 오염 물질을 고르게 제거하여 기판의 불량을 감소시키고, 수율을 향상시킬 수 있다.The present invention relates to a wafer purge apparatus for a buffer chamber for supplying and discharging purge gas inside a buffer chamber disposed on a substrate transport path to remove foreign substances remaining on the substrate, wherein an entrance to and from the substrate is formed on the front surface. , A chamber portion in which a loading space in which a plurality of substrates are loaded is formed; A body portion formed along the loading direction of the substrate, a gas passage inside the body portion, and a plurality of gas branches that are branched from the gas passage and disposed along the loading direction to inject purge gas between the substrates loaded in the loading space. Gas injection unit; A gas intake unit that intakes the purge gas and contaminants from the loading space and exhausts them out of the chamber unit; It includes. Accordingly, particles and contaminants remaining on the substrate are evenly removed, thereby reducing defects in the substrate and improving yield.

Description

버퍼 챔버용 웨이퍼 퍼지 장치{WAFER PURGE APPARATUS FOR BUFFER CHAMBER}Wafer Purge Device for Buffer Chamber {WAFER PURGE APPARATUS FOR BUFFER CHAMBER}

본 발명은 버퍼 챔버용 웨이퍼 퍼지 장치에 관한 것으로, 더욱 상세하게는 기판에 잔존하는 이물질을 제거하기 위하여 기판 이송 경로 상에 배치되는 버퍼 챔버 내부에 퍼지 가스를 공급 및 배출하는 버퍼 챔버용 웨이퍼 퍼지 장치에 관한 것이다.The present invention relates to a wafer purge apparatus for a buffer chamber, and more specifically, a wafer purge apparatus for a buffer chamber for supplying and discharging purge gas inside a buffer chamber disposed on a substrate transfer path in order to remove foreign substances remaining on the substrate. It is about.

일반적으로 반도체 제조 공정은 식각, 증착, 에칭과 같은 다양한 단위 공정들이 순차적으로 반복된다. 각 공정 처리 과정에서 기판 상에 이물질 또는 오염물질 잔존하게 되어 기판에 불량 발생하거나 손상되어 기판 수율이 낮아지는 문제가 있었다.In general, in a semiconductor manufacturing process, various unit processes such as etching, deposition, and etching are sequentially repeated. During each process process, foreign matter or contaminants remained on the substrate, resulting in defects or damage to the substrate, resulting in a lower substrate yield.

따라서, 반도체 제조 공정 후, 기판에 잔존하는 부산물 및 오염 물질을 제거하기 위하여, 기판 이송 경로 상에 버퍼 챔버를 구성하였다. 버퍼 챔버는 내부에 기판 퍼지 기능을 수행하여 부산물 및 오염 물질의 제거, 교차 오염 방지, 습도와 산소 농도 제어하는 효과를 얻을 수 있다. 일반적인 버퍼 챔버는 기판을 수납하는 FOUP(Front Opening Unified Pod) 이송 모듈인 EFEM(Equipment Front End Module) 장치의 측부에 위치하며, 25매 이상의 기판을 수납한다.Therefore, after the semiconductor manufacturing process, in order to remove by-products and contaminants remaining on the substrate, a buffer chamber was configured on the substrate transfer path. The buffer chamber performs a purge function inside the substrate, thereby removing byproducts and contaminants, preventing cross contamination, and controlling humidity and oxygen concentration. A general buffer chamber is located on the side of an EFEM (Equipment Front End Module) device, which is a front opening unified pod (FOUP) transfer module for receiving a substrate, and accommodates 25 or more substrates.

종래의 한국 공개특허공보 제10-2015-0087015호에는 웨이퍼가 적재되는 웨이퍼 카세트, 및 웨이퍼 카세트에 적재된 웨이퍼의 퓸을 배기하는 배기부를 포함하며, 웨이퍼 카세트는 양측면에 구비되어 웨이퍼가 적재되는 적재대, 및 전방에 구비되어 적재대에 적재되는 웨이퍼가 출입하는 전방 개구부를 포함하며, 적재대는 적재대에 적재된 웨이퍼에 퍼지가스를 공급하는 퍼지 가스 배출구가 구비되는 퓸 제거 장치에 대하여 기재되어 있다.Conventional Korean Patent Application Publication No. 10-2015-0087015 includes a wafer cassette on which a wafer is loaded, and an exhaust portion for evacuating fume of the wafer loaded on the wafer cassette, and the wafer cassette is provided on both sides to load the wafer. It is described with respect to a fume removal device having a purge and a purge gas outlet for supplying a purge gas to a wafer stacked on the stack, and a front opening for entering and exiting a wafer stacked on the stack. .

한국 등록특허공보 제10-1682473호에는 사이드 스트리지 내부에 기판을 수용하는 수용공간을 갖고, 기판을 세정하는 퍼지 가스를 공급하는 가스 공급부 및 외부와 연통되어 기판으로부터 분리된 오염물과 퍼지 가스를 배출하는 다수의 배기용 개구를 구비하는 챔버, 챔버의 내측벽을 따라 일정한 간격으로 배치되어 기판을 개별적으로 적재하는 다수의 기판 지지부재 및 각 기판 지지부재에 배치되어 가스 공급부와 개별적으로 연결되고 기판의 상면으로 퍼지 가스를 분사하는 적어도 하나의 가스 분사구를 구비하는 기판 지지부재 및 배기용 개구와 연결되어 오염물을 외부로 방출하는 배기유닛을 포함하는 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비에 대하여 기재되어 있다.Korean Patent Publication No. 10-1682473 has a receiving space for accommodating the substrate inside the side strip, and a gas supply unit supplying a purge gas for cleaning the substrate and communicating with the outside to discharge contaminants and purge gas separated from the substrate A chamber having a plurality of openings for exhausting, a plurality of substrate support members arranged at regular intervals along the inner wall of the chamber to individually load the substrates, and disposed on each substrate support member to be individually connected to the gas supply unit and It is described with respect to a substrate storage member having at least one gas injection port for injecting a purge gas to an upper surface and a side storage including an exhaust unit for discharging contaminants to the outside and a semiconductor device manufacturing facility having the same. have.

한국 공개특허공보 제10-2006-0078936호에는 버퍼챔버에서 질소가스를 버퍼챔버에 공급하기 위한 퍼지홀, 퍼지홀에 연결되는 질소가스 공급관, 공급관에 설치되어 질소가스의 유량을 제어하는 유량제어기를 포함하여 이루어진 버퍼챔버의 퍼지시스템으로 퍼지홀이 바닥면에 다수개 형성되고 버퍼챔버의 상부에 설치되어 버퍼챔버 내부에 질소가스를 골고루 분사시켜 주는 질소공급튜브를 포함하여 이루어진 버퍼챔버의 퍼지시스템에 대하여 기재되어 있다.In Korean Patent Publication No. 10-2006-0078936, a purge hole for supplying nitrogen gas from a buffer chamber to a buffer chamber, a nitrogen gas supply pipe connected to the purge hole, and a flow controller that is installed in the supply pipe to control the flow of nitrogen gas A purge system of a buffer chamber made up of a plurality of purge holes formed on a bottom surface and installed on top of the buffer chamber to purge system of a buffer chamber comprising a nitrogen supply tube that evenly injects nitrogen gas into the buffer chamber. It is described.

상술한 종래 기술은, 버퍼 챔버 내에 퍼지 가스를 공급하나, 가스가 공급되어 배기되는 경로가 버퍼 챔버 내 일측에 배치되었다. 이는 버퍼 챔버 내에 적재된 모든 기판의 상, 하부를 지나기 어려우므로, 기판에 잔류하는 파티클 및 오염물질이 충분히 제거될 수 없어, 불량 발생률이 높고, 수율이 낮은 문제점이 있었다.In the above-described prior art, a purge gas is supplied into the buffer chamber, but a path through which the gas is supplied and exhausted is disposed on one side of the buffer chamber. Since it is difficult to pass the upper and lower portions of all the substrates loaded in the buffer chamber, particles and contaminants remaining on the substrates cannot be sufficiently removed, resulting in high defect incidence and low yield.

본 발명은 퍼지 가스가 버퍼 챔버 내 적재된 기판의 상, 하부를 고르게 지나도록 공급 및 배기하여 기판의 수율을 향상시키는 버퍼 챔버용 웨이퍼 퍼지 장치를 제공함에 그 목적이 있다.An object of the present invention is to provide a wafer purge apparatus for a buffer chamber that improves the yield of the substrate by supplying and exhausting the purge gas evenly over the upper and lower portions of the substrate loaded in the buffer chamber.

상술한 과제를 해결하기 위한 본 발명의 버퍼 챔버용 웨이퍼 퍼지 장치는, 전면에 기판이 출입하는 출입구가 형성되고, 내부에 복수의 기판이 적재되는 적재공간이 형성된 챔버부; 상기 기판의 적재 방향을 따라 형성된 몸체부와, 상기 몸체부 내부의 가스 통로, 및 상기 가스 통로로부터 분기되어 상기 적재 방향을 따라 복수개가 배치되며 상기 적재공간에 적재된 상기 기판 사이에 퍼지 가스를 분사하는 가스 분사구를 포함하는 가스 분사부; 상기 적재공간의 상기 퍼지 가스 및 오염 물질을 흡기하여 상기 챔버부 외부로 배기하는 가스 흡기부; 를 포함한다.The wafer purge apparatus for a buffer chamber of the present invention for solving the above-described problem includes: a chamber part in which an entrance and exit through which a substrate is formed is formed, and a loading space in which a plurality of substrates are loaded is formed; Purge gas is injected between the body portion formed along the loading direction of the substrate, a gas passage inside the body portion, and a plurality of branches disposed in the loading direction and disposed in the loading direction, and disposed in the loading space Gas injection unit including a gas injection port; A gas intake unit that intakes the purge gas and contaminants in the loading space and exhausts the outside of the chamber unit; It includes.

바람직하게, 상기 가스 분사구 중 적어도 2개는, 동일한 높이에 배치되며, 서로 다른 방향으로 상기 퍼지 가스를 분사한다.Preferably, at least two of the gas injection ports are disposed at the same height and inject the purge gas in different directions.

바람직하게, 상기 가스 분사구는, 출구의 단면이 넓어지는 형상으로 형성된다.Preferably, the gas injection port is formed in a shape in which a cross section of the outlet is widened.

바람직하게, 상기 몸체부는, 상기 퍼지 가스를 상기 가스 통로로 유입시키는 2 이상의 유입구가 형성된다.Preferably, the body portion is formed with two or more inlets for introducing the purge gas into the gas passage.

바람직하게, 상기 가스 분사부는, 상기 챔버부의 후면에 배치되고, 상기 가스 흡기부는, 상기 챔버부의 양측면 전방에 상기 적재 방향을 따라 배치된 흡기구를 포함한다.Preferably, the gas injection portion is disposed on the rear surface of the chamber portion, and the gas intake portion includes an intake port disposed along the loading direction in front of both sides of the chamber portion.

바람직하게, 상기 가스 분사부는, 상기 기판 둘레를 따라 상기 챔버부 후방에 복수개가 배치된다.Preferably, a plurality of gas injection parts are disposed behind the chamber part along the periphery of the substrate.

바람직하게, 상기 가스 흡기부는, 상기 챔버부의 하부면 전방에 배치된 보조 흡기구를 더 포함한다.Preferably, the gas intake section further includes an auxiliary intake port disposed in front of the lower surface of the chamber section.

바람직하게, 상기 보조 흡기구는, 개구부가 각각 형성된 제1 플레이트와 제2 플레이트의 상대 슬라이딩에 의해 개구 면적을 조절하여 흡기 유량을 조절한다.Preferably, the auxiliary intake port controls the intake flow rate by adjusting the opening area by relative sliding of the first plate and the second plate, each of which is formed with an opening.

바람직하게, 상기 가스 분사부는, 상기 챔버부의 일측면에 배치되고, 상기 가스 흡기부는, 상기 챔버부의 타측면에 배치된다.Preferably, the gas injection portion is disposed on one side of the chamber portion, and the gas intake portion is disposed on the other side of the chamber portion.

바람직하게, 상기 가스 분사부는, 상기 챔버부의 양측면에 배치되고, 상기 가스 흡기부는, 상기 챔버부의 후면에 배치된다.Preferably, the gas injection portion is disposed on both side surfaces of the chamber portion, and the gas intake portion is disposed on the rear surface of the chamber portion.

바람직하게, 상기 챔버부는, 상기 적재공간에 적재된 상기 기판을 회전시키는 기판 회전부를 구비한다.Preferably, the chamber portion includes a substrate rotating portion for rotating the substrate loaded in the loading space.

바람직하게, 상기 기판 회전부는, 상기 기판 둘레에 복수개가 배치되어 상기 기판을 지지하는 수직 프레임, 복수의 상기 수직 프레임을 연결하는 수평 프레임 및 상기 수평 프레임에 회전력을 제공하는 회전 구동부를 포함한다.Preferably, the substrate rotating unit includes a vertical frame that is disposed around the substrate to support the substrate, a horizontal frame connecting the plurality of vertical frames, and a rotation driving unit that provides rotational force to the horizontal frame.

본 발명의 버퍼 챔버용 웨이퍼 퍼지 장치에 의하면, 퍼지 가스가 버퍼 챔버 내 적재된 기판의 상, 하부를 고르게 지나도록 공급 및 배기되어 기판에 잔존하는 파티클 및 오염 물질을 고르게 제거하여 기판의 불량을 감소시키고, 수율을 향상시킬 수 있다.According to the wafer purge apparatus for a buffer chamber of the present invention, purge gas is supplied and exhausted evenly over the upper and lower portions of the substrate loaded in the buffer chamber to uniformly remove particles and contaminants remaining on the substrate to reduce defects in the substrate. And improve the yield.

또한, 본 발명은 가스 분사구가 기판 사이로 퍼지 가스를 분사하여 각 기판의 상, 하부를 지나며, 적어도 2개의 가스 분사구가 동일한 높이에서 서로 다른 방향으로 배치되어 기판의 전체면을 지나도록 퍼지 가스를 분사할 수 있다.In addition, in the present invention, the gas injection hole injects purge gas between the substrates, passes over the upper and lower portions of each substrate, and at least two gas injection holes are arranged in different directions at the same height to inject purge gas over the entire surface of the substrate. can do.

또한, 본 발명은 가스 분사구는 출구의 단면이 넓어지게 형성되어 퍼지 가스가 넓은 범위에 고르게 분사될 수 있다.In addition, in the present invention, the gas injection port is formed to have a wide cross-section of the outlet, so that the purge gas can be uniformly injected over a wide range.

또한, 본 발명은 퍼지 가스가 가스 통로로 유입되는 유입구를 2 이상 형성하여 가스 통로로부터 분기되는 가스 분사구 전체에 퍼지 가스를 균일하게 공급할 수 있다.In addition, the present invention can uniformly supply the purge gas to the entire gas injection port branching from the gas passage by forming two or more inlets through which the purge gas flows into the gas passage.

또한, 본 발명은 기판 회전부를 구비하여, 기판의 전체면에 퍼지 가스가 고르게 분사된다.In addition, the present invention is provided with a substrate rotating portion, the purge gas is evenly sprayed on the entire surface of the substrate.

도 1은 본 발명의 버퍼 챔버용 웨이퍼 퍼지 장치의 사시도.
도 2는 본 발명의 버퍼 챔버용 웨이퍼 퍼지 장치에 기판이 적재된 상태를 하부에서 바라본 사시도.
도 3은 본 발명의 버퍼 챔버용 웨이퍼 퍼지 장치의 정면도.
도 4는 본 발명을 구성하는 가스 분사부를 나타낸 정면도.
도 5는 본 발명을 구성하는 가스 분사부를 나타낸 배면도.
도 6은 본 발명을 구성하는 가스 분사부 일부를 나타낸 사시도.
도 7은 본 발명을 구성하는 가스 분사부를 나타낸 평단면도.
도 8은 본 발명을 구성하는 챔버부를 나타낸 평단면도.
도 9는 본 발명을 구성하는 챔버부에 기판이 적재된 상태를 나타낸 평단면도.
도 10은 본 발명을 구성하는 보조 흡기구를 나타낸 조립도.
도 11은 본 발명을 구성하는 보조 흡기구가 폐쇄된 상태를 나타낸 평면도.
도 12는 보 발명을 구성하는 보조 흡기구가 개방된 상태를 나타낸 평면도.
도 13은 본 발명의 제1 실시예에 의한 퍼지 가스 흐름을 나타낸 평단면도.
도 14는 본 발명의 제2 실시예에 의한 퍼지 가스 흐름을 나타낸 평단면도.
도 15는 본 발명의 제3 실시예에 의한 퍼지 가스 흐름을 나타낸 평단면도.
도 16은 본 발명을 구성하는 기판 회전부와 가열부가 구비된 상태를 나타낸 사시도.
도 17은 본 발명을 구성하는 기판 회전부와 가열부가 구비된 상태를 나타낸 정면도.
도 18은 본 발명을 구성하는 기판 회전부와 가열부가 구비된 상태를 나타낸 측면도.
도 19는 본 발명을 구성하는 기판 회전부와 가열부가 구비된 상태를 나타낸 평단면도.
도 20은 본 발명의 기판 회전부에 의해 기판이 회전하는 상태를 나타낸 평단면도.
도 21은 본 발명의 제1 실시예를 기초로 기판이 회전하는 상태를 나타낸 평단면도.
도 22는 본 발명의 제2 실시예를 기초로 기판이 회전하는 상태를 나타낸 평단면도.
1 is a perspective view of a wafer purge apparatus for a buffer chamber of the present invention.
2 is a perspective view of a wafer purge device for a buffer chamber of the present invention, as viewed from below, with a substrate loaded thereon.
3 is a front view of the wafer purge apparatus for a buffer chamber of the present invention.
Figure 4 is a front view showing a gas injection unit constituting the present invention.
5 is a rear view showing a gas injection unit constituting the present invention.
Figure 6 is a perspective view showing a part of the gas injection constituting the present invention.
7 is a cross-sectional plan view showing a gas injection unit constituting the present invention.
8 is a cross-sectional plan view showing a chamber part constituting the present invention.
9 is a cross-sectional plan view showing a state in which the substrate is loaded in the chamber constituting the present invention.
10 is an assembled view showing an auxiliary intake constituting the present invention.
11 is a plan view showing a state in which the auxiliary air intake constituting the present invention is closed.
12 is a plan view showing a state in which the auxiliary air intake constituting the invention is opened.
13 is a cross-sectional plan view showing a purge gas flow according to a first embodiment of the present invention.
14 is a cross-sectional plan view showing a purge gas flow according to a second embodiment of the present invention.
15 is a plan sectional view showing a purge gas flow according to a third embodiment of the present invention.
16 is a perspective view showing a state in which the substrate rotating part and the heating part constituting the present invention are provided.
17 is a front view showing a state in which the substrate rotating part and the heating part constituting the present invention are provided.
18 is a side view showing a state in which the substrate rotating part and the heating part constituting the present invention are provided.
19 is a plan sectional view showing a state in which the substrate rotating part and the heating part constituting the present invention are provided.
20 is a cross-sectional plan view showing a state in which the substrate rotates by the substrate rotating portion of the present invention.
21 is a cross-sectional plan view showing a state in which the substrate rotates based on the first embodiment of the present invention.
22 is a plan sectional view showing a state in which the substrate rotates based on the second embodiment of the present invention.

이하에서는 본 발명의 실시예를 도면을 참고하여 구체적으로 설명한다. 본 발명의 버퍼 챔버용 웨이퍼 퍼지 장치는 버퍼 챔버 내부에 퍼지 가스를 공급하여 기판에 잔존하는 파티클 및 오염 물질을 제거하고, 오염 물질과 퍼지 가스를 버퍼 챔버로부터 배기하는 장치에 관한 것이다. 본 발명은 제1 내지 제4 실시예로 구분할 수 있으며, 각 실시예의 구성요소는 기본적으로 동일하나, 일부 구성에 있어서 차이가 있다. 또한 본 발명의 여러 실시예 중 동일한 기능과 작용을 하는 구성요소에 대해서는 도면상의 도면부호를 동일하게 사용하기로 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. The wafer purge apparatus for a buffer chamber of the present invention relates to an apparatus for supplying purge gas inside a buffer chamber to remove particles and contaminants remaining on a substrate, and to exhaust contaminants and purge gas from the buffer chamber. The present invention can be divided into the first to fourth embodiments, and the components of each embodiment are basically the same, but there are differences in some configurations. In addition, among the various embodiments of the present invention, the same reference numerals will be used for components having the same function and function.

본 발명의 제1 실시예에 의한 버퍼 챔버용 웨이퍼 퍼지 장치는, 도 1 내지 도 3에 도시한 바와 같이, 챔버부(100), 가스 분사부(200), 가스 흡기부(300)로 이루어진다.The wafer purge apparatus for a buffer chamber according to the first embodiment of the present invention includes a chamber part 100, a gas injection part 200, and a gas intake part 300, as shown in FIGS. 1 to 3.

챔버부(100)는 전면에 기판이 출입하는 출입구(110)가 형성되고, 내부에 복수의 기판(W)이 적재되는 적재공간(120)이 형성된다. The chamber 100 is formed with an entrance 110 through which a substrate enters and exits, and a loading space 120 in which a plurality of substrates W are loaded.

챔버부(100)의 내측에는 기판을 지지하는 기판 지지대(130)가 형성되는 것이 바람직하다. 기판 지지대(130)는 적재공간(120)에 적재된 기판(W) 둘레에 복수개 배치되어 기판(W)을 지지한다. 복수의 기판 지지대(130) 중 출입구(110)와 인접한 두 기판 지지대(130) 사이 간격은 기판(W)의 직경보다 크게 형성되어 기판(W)의 출입을 가능하게 한다. 기판 지지대(130)의 길이 방향으로 복수의 돌출부 또는 홈부가 형성되어 복수의 기판(W) 하부면을 지지한다.It is preferable that the substrate support 130 for supporting the substrate is formed inside the chamber part 100. A plurality of substrate supports 130 are disposed around the substrate W loaded in the loading space 120 to support the substrate W. The gap between the two substrate supports 130 adjacent to the doorway 110 among the plurality of substrate supports 130 is formed to be larger than the diameter of the substrate W to allow the substrate W to enter and exit. A plurality of protrusions or grooves are formed in the longitudinal direction of the substrate support 130 to support the lower surfaces of the plurality of substrates W.

가스 분사부(200)는 도 3 내지 도 7에 도시한 바와 같이, 몸체부(210), 가스 통로(220), 가스 분사구(230) 및 유입구(240)로 이루어진다.3 to 7, the gas injection unit 200 includes a body portion 210, a gas passage 220, a gas injection hole 230, and an inlet 240.

몸체부(210)는 챔버부(100) 내부에 기판(W)의 적재 방향을 따라 형성된다.The body part 210 is formed inside the chamber part 100 along the loading direction of the substrate W.

가스 통로(220)는 몸체부(210) 내부에 기판(W)의 적재 방향을 따라 형성된다.The gas passage 220 is formed in the body portion 210 along the loading direction of the substrate W.

가스 분사구(230)는 가스 통로(220)로부터 분기되어 적재 방향을 따라 복수개가 배치되며 적재공간(120)에 적재된 기판(W) 사이에 퍼지 가스를 분사한다. 이때, 가스 분사구(230)는 각 기판(W)의 상, 하부에 배치되어 전체 기판(W)의 상, 하부면에 퍼지 가스가 고르게 분사된다. The gas injection port 230 is branched from the gas passage 220 and disposed in a plurality along the loading direction, and injects purge gas between the substrates W loaded in the loading space 120. At this time, the gas injection port 230 is disposed on the upper and lower portions of each substrate W, and the purge gas is uniformly injected on the upper and lower surfaces of the entire substrate W.

또한, 가스 분사구(230) 중 적어도 2개는 동일한 높이에 배치되며, 서로 다른 방향으로 퍼지 가스를 분사한다. 이로써, 퍼지 가스가 기판(W)의 전체면에 고르게 분사될 수 있다. 구체적으로, 도 6, 도 7에 도시한 바와 같이, 동일한 높이에 배치된 3개의 가스 분사구(230)가 형성된다. 이 중 하나는 적재된 기판(W)의 중앙부를 향하여 퍼지 가스를 분사하고, 다른 2개의 가스 분사구(230)는 중앙부를 향하는 가스 분사구(230)로부터 양측으로 각각 45°경사진 방향으로 퍼지 가스를 분사한다.In addition, at least two of the gas injection ports 230 are disposed at the same height, and inject purge gas in different directions. Thereby, the purge gas can be uniformly sprayed on the entire surface of the substrate W. Specifically, as shown in FIGS. 6 and 7, three gas injection holes 230 disposed at the same height are formed. One of these injects a purge gas toward the center of the loaded substrate W, and the other two gas inlets 230 respectively purge gas in a direction inclined by 45 ° from both sides of the gas inlet 230 toward the center. Spray.

가스 분사구(230)는 출구의 단면이 넓어지는 형상으로 형성되는 것이 바람직하며, 더욱 바람직하게는 원뿔 형상으로 형성될 수 있다. 이는 퍼지 가스를 넓은 범위에 고르게 분사하기 위함이다. The gas injection port 230 is preferably formed in a shape in which a cross section of the outlet is widened, and more preferably, may be formed in a conical shape. This is to spread the purge gas evenly over a wide range.

유입구(240)는 퍼지 가스를 가스 통로(220)로 유입시키도록 몸체부(210)에 형성되며, 2 이상 형성되는 것이 바람직하다. 이는 퍼지 가스의 공급 유로가 분기되어 퍼지 가스가 2 이상의 유입구(240)를 통해 가스 통로(220)로 유입된다. 이로써, 가스 통로(220) 내부 유량의 균일성을 향상시킬 수 있다.The inlet 240 is formed in the body portion 210 to introduce the purge gas into the gas passage 220, and is preferably formed of two or more. This purge gas supply flow path is branched and the purge gas flows into the gas passage 220 through two or more inlets 240. Thereby, the uniformity of the flow rate inside the gas passage 220 can be improved.

가스 흡기부(300)는 적재공간(120)의 퍼지 가스 및 오염 물질을 흡기하여 챔버부(100) 외부로 배기한다.The gas intake unit 300 intakes the purge gas and contaminants in the loading space 120 and exhausts it to the outside of the chamber unit 100.

이하에서는 제1 실시예에 의한 본 발명의 가스 분사부(200)와 가스 흡기부(300)의 배치에 대하여 도 8, 도 9를 참고하여 구체적으로 설명한다.Hereinafter, the arrangement of the gas injection part 200 and the gas intake part 300 of the present invention according to the first embodiment will be described in detail with reference to FIGS. 8 and 9.

가스 분사부(200)는 챔버부(100)의 후면에 배치되며, 기판(W) 둘레를 따라 챔버부(100) 후방에 복수개가 배치된다.The gas injection unit 200 is disposed on the rear surface of the chamber unit 100, and a plurality of gas injection units 200 are disposed behind the chamber unit 100 along the periphery of the substrate W.

가스 흡기부(300)는 흡기구(310)와 보조 흡기구(320)를 포함할 수 있다.The gas intake unit 300 may include an intake port 310 and an auxiliary intake port 320.

흡기구(310)는 챔버부(100)의 양측면 전방에 적재 방향을 따라 배치된다.The intake port 310 is disposed along the loading direction in front of both sides of the chamber part 100.

보조 흡기구(320)는 챔버부(100)의 하부면 전방에 배치된다. 구체적으로, 보조 흡기구(320)는 제1 개구부(323)가 형성된 제1 플레이트(321)와 제2 개구부(324)가 형성된 제2 플레이트(321, 322)로 구성될 수 있다. 제1, 제2 플레이트(321, 322)의 상대 슬라이딩에 의해 제1, 제2 개구부(323, 324)의 개구 면적을 조절하여 보조 흡기구(320)의 흡기 유량을 조절한다.The auxiliary air intake 320 is disposed in front of the lower surface of the chamber 100. Specifically, the auxiliary intake port 320 may include a first plate 321 in which the first opening 323 is formed and second plates 321 and 322 in which the second opening 324 is formed. The opening area of the first and second openings 323 and 324 is adjusted by relative sliding of the first and second plates 321 and 322 to adjust the intake air flow rate of the auxiliary intake port 320.

예컨대, 도 10에 도시한 바와 같이, 제1 플레이트(321)는 제1 개구부(323)가 형성되며, 전후 방향으로 슬라이딩된다. 제1 플레이트(321)의 측면에 조작기(325)가 돌출 형성되어 제1 플레이트(321)의 전후 방향 슬라이딩으로 조작할 수 있다.For example, as illustrated in FIG. 10, the first plate 321 is formed with a first opening 323 and is slid in the front-rear direction. The manipulator 325 is protruded on the side surface of the first plate 321 to be operated by sliding in the front-rear direction of the first plate 321.

제2 플레이트(322)는 제2 개구부(324)가 형성되며, 챔버부(100)에 고정된 상태를 유지한다. 제2 플레이트(322)의 측부에는 조작기(325)가 삽입되어 슬라이딩되는 조절구(326)가 형성된다. 조절구(326)는 조작기(325)를 가이드하며, 슬라이딩 거리를 제한한다. 이때, 조작기(325)가 슬라이딩되는 조절구(326)의 길이 방향을 따라 눈금 표시부(327)가 형성되는 것이 바람직하다. 눈금 표시부(327)는 조작기(325)의 위치에 따라 제1, 제2 개구부(323, 324)의 개구 정도를 표시하여 사용자가 개구 면적을 쉽게 인지할 수 있다.The second plate 322 has a second opening 324 formed therein, and maintains a state fixed to the chamber part 100. On the side of the second plate 322, the manipulator 325 is inserted to form an adjusting mechanism 326 for sliding. The adjuster 326 guides the manipulator 325 and limits the sliding distance. At this time, it is preferable that the scale display unit 327 is formed along the longitudinal direction of the adjusting mechanism 326 in which the manipulator 325 slides. The scale display unit 327 displays the degree of opening of the first and second openings 323 and 324 according to the position of the manipulator 325 so that the user can easily recognize the opening area.

도 11은 제2 개구부(324)가 제1 플레이트(321)에 의해 폐쇄된 상태를 나타낸다. 도 12는 도 11로부터 제1 플레이트(321)가 슬라이딩되어 제2 개구부(324)가 제1 플레이트(321)에 의해 개방된 상태를 나타내며, 빗금친 영역은 보조 흡기구(320)의 개구 면적을 표시한다.11 shows a state in which the second opening 324 is closed by the first plate 321. 12 shows a state in which the first opening 324 is opened by the first plate 321 by sliding the first plate 321 from FIG. 11, and the hatched area indicates the opening area of the auxiliary intake port 320. do.

가스 흡기구(300)를 통해 흡기된 퍼지 가스 및 오염 물질은 흡기구(310) 및 보조 흡기구(320)와 연통된 배기 통로(330)를 통해 배기된다.The purge gas and contaminants inhaled through the gas intake port 300 are exhausted through the exhaust passage 330 communicating with the intake port 310 and the auxiliary intake port 320.

제1 실시예에 의한 본 발명은 도 13에 도시한 바와 같이, 가스 분사구(200)가 챔버부(100)의 후면에 배치되고, 가스 흡기부(300)가 챔버부(100) 전방에 배치되므로, 퍼지 가스가 챔버부(100)의 후방에서 전방으로 이동된다. 이로써, 퍼지 가스가 기판(W)의 상, 하부면 전체를 지나며 오염 물질 고르게 제거할 수 있다.The present invention according to the first embodiment, as shown in Figure 13, the gas injection port 200 is disposed on the back of the chamber unit 100, the gas intake unit 300 is disposed in front of the chamber unit 100 , The purge gas is moved from the rear of the chamber 100 to the front. As a result, the purge gas can be evenly removed over the upper and lower surfaces of the substrate W.

본 발명의 제2 실시예는 제1 실시예에 대비하여 가스 분사부와 가스 흡기구의 배치 위치에 있어 차이가 있다. 이하에서는 제1 실시예와 동일한 구성요소에 대한 설명은 생략하며, 제1 실시예와 차이를 가지는 구성요소를 중심으로 도 14를 참고하여 설명한다.The second embodiment of the present invention differs in the arrangement position of the gas injection part and the gas intake port as compared to the first embodiment. Hereinafter, description of the same components as the first embodiment will be omitted, and description will be made with reference to FIG. 14, focusing on components having a difference from the first embodiment.

가스 분사부(200)는 챔버부(100)의 일측면에 배치되고, 가스 흡기부(300)는 챔버부(100)의 타측면에 배치된다. 즉, 가스 분사부(200)와 가스 흡기부(300)는 챔버부(100)의 출입구(110) 양측의 대향하는 면에 각각 배치된다.The gas injection part 200 is disposed on one side surface of the chamber part 100, and the gas intake part 300 is disposed on the other side surface of the chamber part 100. That is, the gas injection part 200 and the gas intake part 300 are respectively disposed on opposite surfaces of both sides of the entrance 110 of the chamber part 100.

도 14에 도시한 바와 같이, 가스 분사부(200)는 도면상 우측면의 전방에서 후방까지 배치되고, 가스 흡기부(300)는 도면상 좌측면의 전방에서 후방까지 배치된다. 이때, 챔버부(100)는 전방(도면상 하부)에 출입구(110)가 형성되어 개방되므로 퍼지 가스가 출입구(110)를 통해 배기될 수 있다. 따라서, 가스 분사부(200)와 가스 흡기부(300) 사이의 거리는 전방이 후방보다 가깝게 배치된다. 이로써, 출입구(110)를 통한 퍼지 가스의 배기량을 최소화하고, 가스 흡기부(300)의 전, 후방 흡기 효율을 균일하게 할 수 있다.As illustrated in FIG. 14, the gas injection unit 200 is disposed from the front to the rear of the right side in the drawing, and the gas intake portion 300 is disposed from the front to the rear of the left side in the drawing. At this time, since the entrance 110 is formed and opened at the front (lower side of the drawing) of the chamber unit 100, purge gas may be exhausted through the entrance 110. Therefore, the distance between the gas injection unit 200 and the gas intake unit 300 is disposed closer to the front than the rear. Thus, it is possible to minimize the displacement of the purge gas through the doorway 110 and uniformize the front and rear intake efficiency of the gas intake unit 300.

본 발명의 제3 실시예는 제1 실시예에 대비하여 가스 분사부와 가스 흡기구의 배치 위치에 있어 차이가 있다. 이하에서는 제1 실시예와 동일한 구성요소에 대한 설명은 생략하며, 제1 실시예와 차이를 가지는 구성요소를 중심으로 도 15를 참고하여 설명한다.The third embodiment of the present invention differs in the arrangement position of the gas injection part and the gas intake port as compared to the first embodiment. Hereinafter, descriptions of the same components as those of the first embodiment will be omitted, and description will be made with reference to FIG. 15 centering on components having differences from the first embodiment.

가스 분사부(200)는 챔버부(100)의 양측면에 배치되며, 복수의 몸체부가 양측면에 대칭으로 배치되는 것이 바람직하다.The gas injection part 200 is disposed on both side surfaces of the chamber part 100, and it is preferable that a plurality of body parts are arranged symmetrically on both side surfaces.

가스 흡기부(300)는 챔버부(100)의 후면에 기판(W)의 적재 방향을 따라 배치되어, 챔버부(100) 상, 하부의 퍼지 가스를 고르게 흡기한다.The gas intake unit 300 is disposed along the loading direction of the substrate W on the rear surface of the chamber unit 100 to uniformly intake purge gas above and below the chamber unit 100.

이때, 가스 흡기부(300)는 도 17에 도시한 바와 같이, 하부에서 상부로 갈수록 흡기구의 개수가 많게 형성될 수 있다. 가스 흡기부(300)로 흡기된 퍼지 가스가 가스 흡기부(300)의 하부로 배기되는 경우, 하부보다 상부의 흡기력이 약화될 수 있다. 그러므로, 상부의 흡기구 개수를 하부보다 많이 형성하여 상, 하부의 흡기 효율을 균일하게 할 수 있다.At this time, as illustrated in FIG. 17, the gas intake unit 300 may be formed to have a larger number of intake ports from the bottom to the top. When the purge gas inhaled by the gas intake unit 300 is exhausted to the lower portion of the gas intake unit 300, the intake force above the lower portion may be weakened. Therefore, the intake efficiency of the upper and lower portions can be made uniform by forming the number of upper intake ports more than the lower portion.

본 발명의 제4 실시예는 제3 실시예에 대비하여 기판 회전부와 가열부를 부가적으로 구성한다는 점에 차이가 있다. 이하에서는 제3 실시예와 동일한 구성요소에 대한 설명은 생략하며, 제3 실시예와 차이를 가지는 구성요소를 중심으로 도 16 내지 도 20을 참고하여 설명한다. The fourth embodiment of the present invention differs in that the substrate rotation part and the heating part are additionally constituted as compared to the third embodiment. Hereinafter, descriptions of the same components as the third embodiment will be omitted, and description will be made with reference to FIGS. 16 to 20, focusing on components having differences from the third embodiment.

기판 회전부(400)는, 도 16, 도 17에 도시한 바와 같이, 적재공간(120)에 구비되며, 적재공간(120)에 적재된 기판(W)을 회전시킨다. 기판 회전부(400)는 수직 프레임(410), 수평 프레임(420) 및 회전 구동부(430)로 이루어질 수 있다.The substrate rotating unit 400 is provided in the loading space 120, as shown in FIGS. 16 and 17, and rotates the substrate W loaded in the loading space 120. The substrate rotating part 400 may be formed of a vertical frame 410, a horizontal frame 420, and a rotation driving part 430.

수직 프레임(410)은 기판(W) 둘레에 복수개가 배치되어 기판(W)을 지지한다. 복수의 수직 프레임(410)의 사이 간격 중 하나는 기판(W)의 직경보다 크게 형성되어 기판(W)을 출입시킨다. 수직 프레임(410)의 길이 방향으로 복수의 돌출부 또는 홈부가 형성되어 복수의 기판(W)의 하부면을 지지한다.A plurality of vertical frames 410 are disposed around the substrate W to support the substrate W. One of the intervals between the plurality of vertical frames 410 is formed to be larger than the diameter of the substrate W to enter and exit the substrate W. A plurality of protrusions or grooves are formed in the longitudinal direction of the vertical frame 410 to support the lower surfaces of the plurality of substrates W.

수평 프레임(420)은 복수의 수직 프레임(410)을 연결한다. 수평 프레임(420)은 수직 프레임(420)의 하부 또는 상부를 연결한다.The horizontal frame 420 connects the plurality of vertical frames 410. The horizontal frame 420 connects the lower or upper part of the vertical frame 420.

회전 구동부(430)는 수평 프레임(420)에 회전력을 제공한다. 회전 구동부(430)는 챔버부(100)의 외부로부터 챔버부(100) 내부의 수평 프레임(420)과 연결되므로, 챔버부(100)를 관통한다. 이 관통 부위에 실링 부재를 구비하여, 회전 구동부(430)와 챔버부(100)의 결합 부위를 밀폐시킨다.The rotation driver 430 provides rotational force to the horizontal frame 420. The rotation driving unit 430 is connected to the horizontal frame 420 inside the chamber unit 100 from the outside of the chamber unit 100, and thus penetrates the chamber unit 100. A sealing member is provided in the through portion to seal the coupling portion between the rotation driving part 430 and the chamber part 100.

기판 회전부(400)에 의해 적재공간(120)의 기판(W)이 회전됨으로써, 도 20에 도시한 바와 같이, 기판(W)의 전체면에 퍼지 가스가 도달할 수 있다. 또한, 기판(W)의 회전에 의해 챔버부(100) 내부에 와류가 형성되어 퍼지 가스가 적재공간(120)에 고르게 분포된다. 이로써, 기판(W)에 잔존하는 파티클 및 오염 물질의 제거 효율이 향상되고, 기판의 수율이 향상된다. As the substrate W of the loading space 120 is rotated by the substrate rotation unit 400, as illustrated in FIG. 20, purge gas may reach the entire surface of the substrate W. In addition, a vortex is formed inside the chamber 100 by the rotation of the substrate W, so that the purge gas is evenly distributed in the loading space 120. Thereby, the removal efficiency of particles and contaminants remaining on the substrate W is improved, and the yield of the substrate is improved.

기판 회전부(400)가 구비되는 경우에는, 제1, 제2 실시예에 기재된 기판 지지대(130)가 생략될 수 있다.When the substrate rotation part 400 is provided, the substrate support 130 described in the first and second embodiments may be omitted.

가열부(500)는, 도 16, 도 18, 도 19에 도시한 바와 같이, 챔버부(100)를 가열시킨다. 가열부(500)는 상부 히터(510), 측부 히터(520) 및 하부 히터(530)로 이루어질 수 있다.The heating part 500 heats the chamber part 100 as shown in FIGS. 16, 18, and 19. The heating unit 500 may include an upper heater 510, a side heater 520, and a lower heater 530.

상부 히터(510)는 챔버부(100) 상면에 배치되며, 기판(W)과 동일한 원형으로 형성될 수 있다.The upper heater 510 is disposed on the upper surface of the chamber unit 100 and may be formed in the same circle as the substrate W.

측부 히터(520)는 도 18에 도시한 바와 같이, 챔버부(100)의 양측면에 배치된다.18, the side heaters 520 are disposed on both side surfaces of the chamber portion 100.

하부 히터(530)는 도 19에 도시한 바와 같이, 챔버부(100)의 하면에 배치되며, 회전 구동부(430)가 배치되는 중앙부를 관통한 도넛 형상으로 형성될 수 있다.19, the lower heater 530 is disposed on the lower surface of the chamber 100, and may be formed in a donut shape that penetrates through the central portion in which the rotation driving unit 430 is disposed.

도면에 도시하지는 않았지만, 가열부는 챔버부에 분사되는 퍼지 가스를 가열시키는 퍼지 가스 히터를 구비할 수 있다. 이러한 퍼지 가스로는 N2 Gas(질소가스)가 이용될 수 있으며, 퍼지 가스 히터는 챔버부 내부의 습도를 낮게 유지시켜 습도 저하 효율을 향상시킨다. 예컨대, 챔버부에 18℃의 N2 Gas를 공급하는 경우 챔버부의 습도는 30%이고, 챔버부에 40℃의 N2 Gas를 공급하는 경우 챔버부의 습도는 10%를 유지한다.Although not shown in the drawings, the heating unit may include a purge gas heater that heats the purge gas injected into the chamber unit. As the purge gas, N 2 Gas (nitrogen gas) may be used, and the purge gas heater improves the humidity reduction efficiency by keeping the humidity inside the chamber portion low. For example, when supplying N 2 Gas of 18 ° C. to the chamber, the humidity of the chamber is 30%, and when supplying N 2 Gas of 40 ° C. to the chamber, the humidity of the chamber is maintained at 10%.

한편, 도 21은 본 발명의 제1 실시예에 의한 버퍼 챔버용 웨이퍼 퍼지 장치에 기판 회전부가 구비되어 기판이 회전되는 상태를 나타내고, 도 22는 본 발명의 제2 실시예에 의한 버퍼 챔버용 웨이퍼 퍼지 장치에 기판 회전부가 구비되어 기판이 회전되는 상태를 나타낸다.On the other hand, Figure 21 is a buffer chamber wafer purge apparatus according to the first embodiment of the present invention is provided with a substrate rotating portion, the substrate is rotated, Figure 22 is a buffer chamber wafer according to the second embodiment of the present invention A substrate rotation part is provided in the purge device to indicate a state in which the substrate is rotated.

이상에서는 본 발명의 구체적인 실시예를 도면을 중심으로 설명하였으나, 본 발명의 권리범위는 특허 청구 범위에 기재된 기술적 사상을 중심으로 그 변형물 또는 균등물에까지 미침은 자명하다 할 것이다.In the above, a specific embodiment of the present invention has been mainly described with reference to the drawings. However, the scope of the present invention will be apparent even if it deviates from the technical idea described in the claims to its variants or equivalents.

100 : 챔버부
110 : 출입구
120 : 적재공간
200 : 가스 분사부
210 : 몸체부
220 : 가스 통로
230 : 가스 분사구
300 : 가스 흡기부
400 : 기판 회전부
500 : 가열부
100: chamber part
110: entrance
120: loading space
200: gas injection unit
210: body
220: gas passage
230: gas nozzle
300: gas intake section
400: substrate rotating part
500: heating unit

Claims (12)

전면에 기판이 출입하는 출입구가 형성되고, 내부에 복수의 기판이 적재되는 적재공간이 형성된 챔버부;
상기 기판의 적재 방향을 따라 형성된 몸체부와, 상기 몸체부 내부의 가스 통로, 및 상기 가스 통로로부터 분기되어 상기 적재 방향을 따라 복수개가 배치되며 상기 적재공간에 적재된 상기 기판 사이에 퍼지 가스를 분사하는 가스 분사구를 포함하는 가스 분사부;
상기 적재공간의 상기 퍼지 가스 및 오염 물질을 흡기하여 상기 챔버부 외부로 배기하는 가스 흡기부; 를 포함하고,
상기 가스 분사부는, 상기 챔버부의 후면에 배치되고,
상기 가스 흡기부는, 상기 챔버부의 양측면 전방에 상기 적재 방향을 따라 배치된 흡기구와, 상기 챔버부의 하부면으로부터 돌출된 전방의 중앙에 형성된 보조 흡기구를 포함하고,
상기 보조 흡기구는, 전방으로 돌출된 상기 챔버부의 하부면에 상기 기판보다 전방에 배치되며, 상기 챔버부의 양측면을 가로지르는 방향을 따라 긴 슬릿 형상으로 형성되고,
상기 가스 분사부로부터 분사된 퍼지 가스는, 상기 챔버부의 후방에서 전방으로 이동되어 상기 흡기구와 상기 보조 흡기구를 통해 배기되는 것을 특징으로 하는 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The front and rear entrance and exit of the substrate is formed, the chamber portion is formed with a loading space for loading a plurality of substrates therein;
Purge gas is injected between the body portion formed along the loading direction of the substrate, a gas passage inside the body portion, and a plurality of branches disposed in the loading direction and disposed in the loading direction and disposed in the loading space Gas injection unit including a gas injection port;
A gas intake unit that intakes the purge gas and contaminants in the loading space and exhausts the outside of the chamber unit; Including,
The gas injection portion is disposed on the rear surface of the chamber portion,
The gas intake part includes an intake port disposed along the loading direction on both side surfaces of the chamber part, and an auxiliary intake port formed in the center of the front projecting from the lower surface of the chamber part,
The auxiliary intake port is disposed in front of the substrate on the lower surface of the chamber portion protruding forward, and is formed in a long slit shape along a direction transverse to both sides of the chamber portion,
The purge gas injected from the gas injection unit is moved forward from the rear of the chamber unit, the wafer purge apparatus for a buffer chamber, characterized in that the exhaust through the intake and the auxiliary intake.
청구항 1에 있어서,
상기 가스 분사구 중 적어도 2개는, 동일한 높이에 배치되며, 서로 다른 방향으로 상기 퍼지 가스를 분사하는 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The method according to claim 1,
At least two of the gas injection holes are disposed at the same height, and the wafer purge apparatus for a buffer chamber, characterized in that for injecting the purge gas in different directions.
청구항 1에 있어서,
상기 가스 분사구는, 출구의 단면이 넓어지는 형상으로 형성된 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The method according to claim 1,
The gas injection port, the wafer purge apparatus for a buffer chamber, characterized in that formed in a shape that the cross section of the outlet is widened.
청구항 1에 있어서,
상기 몸체부는, 상기 퍼지 가스를 상기 가스 통로로 유입시키는 2 이상의 유입구가 형성된 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The method according to claim 1,
The body portion, the wafer purge apparatus for a buffer chamber, characterized in that at least two inlets for introducing the purge gas into the gas passage.
삭제delete 청구항 1에 있어서,
상기 가스 분사부는, 상기 기판 둘레를 따라 상기 챔버부 후방에 복수개가 배치되는 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The method according to claim 1,
The gas injection unit, a wafer purge apparatus for a buffer chamber, characterized in that a plurality of disposed behind the chamber portion along the periphery of the substrate.
삭제delete 청구항 1에 있어서,
상기 보조 흡기구는, 개구부가 각각 형성된 제1 플레이트와 제2 플레이트의 상대 슬라이딩에 의해 개구 면적을 조절하여 흡기 유량을 조절하는 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The method according to claim 1,
The auxiliary intake port, the wafer purge device for a buffer chamber, characterized in that to control the intake flow rate by adjusting the opening area by the relative sliding of the first plate and the second plate, each of which is formed.
삭제delete 삭제delete 청구항 1에 있어서,
상기 챔버부는, 상기 적재공간에 적재된 상기 기판을 회전시키는 기판 회전부를 구비하는 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The method according to claim 1,
The chamber portion, the wafer purge apparatus for a buffer chamber, characterized in that it comprises a substrate rotating portion for rotating the substrate loaded in the loading space.
청구항 11에 있어서,
상기 기판 회전부는, 상기 기판 둘레에 복수개가 배치되어 상기 기판을 지지하는 수직 프레임, 복수의 상기 수직 프레임을 연결하는 수평 프레임 및 상기 수평 프레임에 회전력을 제공하는 회전 구동부를 포함하는 것을 특징으로 하는 버퍼 챔버용 웨이퍼 퍼지 장치.
The method according to claim 11,
The substrate rotating unit, a buffer characterized in that it comprises a plurality of vertical frame disposed around the substrate supporting the substrate, a horizontal frame connecting the plurality of vertical frames and a rotation driving unit for providing rotational force to the horizontal frame Wafer purge device for chambers.
KR1020180045684A 2018-04-19 2018-04-19 Wafer purge apparatus for buffer chamber KR102113275B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020180045684A KR102113275B1 (en) 2018-04-19 2018-04-19 Wafer purge apparatus for buffer chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180045684A KR102113275B1 (en) 2018-04-19 2018-04-19 Wafer purge apparatus for buffer chamber

Publications (2)

Publication Number Publication Date
KR20190122040A KR20190122040A (en) 2019-10-29
KR102113275B1 true KR102113275B1 (en) 2020-05-20

Family

ID=68423756

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180045684A KR102113275B1 (en) 2018-04-19 2018-04-19 Wafer purge apparatus for buffer chamber

Country Status (1)

Country Link
KR (1) KR102113275B1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102217711B1 (en) * 2019-07-09 2021-02-22 주식회사 에이케이테크 Exhaust unit for side storage
EP4115445A4 (en) * 2020-03-06 2024-04-17 Entegris, Inc. Manifold for a substrate container
CN111438157B (en) * 2020-04-08 2024-06-18 中国科学院上海光学精密机械研究所 Clean control structure and method for sheet amplifier
KR102528927B1 (en) 2021-05-07 2023-05-03 피코앤테라(주) Wafer storage container

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016012662A (en) * 2014-06-30 2016-01-21 信越ポリマー株式会社 Substrate housing container and manufacturing method thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH085420A (en) * 1994-06-16 1996-01-12 Mitsubishi Heavy Ind Ltd Variable flow type orifice
KR101458626B1 (en) * 2012-11-01 2014-11-11 우범제 The wafer purging cassette
KR101865636B1 (en) * 2016-07-06 2018-06-08 우범제 Wafer storage container
KR101909483B1 (en) * 2016-09-01 2018-12-19 세메스 주식회사 Buffer unit and Apparatus for treating substrate with the unit

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016012662A (en) * 2014-06-30 2016-01-21 信越ポリマー株式会社 Substrate housing container and manufacturing method thereof

Also Published As

Publication number Publication date
KR20190122040A (en) 2019-10-29

Similar Documents

Publication Publication Date Title
KR102113275B1 (en) Wafer purge apparatus for buffer chamber
US11710651B2 (en) Container for storing wafer
TWI723781B (en) Substrate processing apparatus
JP6002312B2 (en) Equipment and cluster equipment for selective epitaxial growth
KR101688620B1 (en) Wafer storage container
KR20150090943A (en) Apparatus and method for treating substrate
KR100796980B1 (en) Apparatus and methed for treating substrates
TW202006179A (en) Gas distribution assembly for improved pump-purge and precursor delivery
KR20180059772A (en) Substrate processing apparatus and substrate processing method
KR101478151B1 (en) Atommic layer deposition apparatus
KR102089464B1 (en) Side storage purge apparatus
KR102172073B1 (en) Substrate storing apparatus, and apparatus for treating substrate using the same
KR20230162916A (en) Chamber apparatus for buffering wafer
KR101635550B1 (en) apparatus for treating substrate
KR20200056084A (en) An air shielding device for shielding the inflow of outside air into the wafer pod and a semiconductor device including the same
JP3330169B2 (en) Vertical heat treatment equipment with gas shower nozzle
KR101698021B1 (en) A ald apparatus for large substrate
KR101965297B1 (en) The nozzle and Apparatus for treating substrate with the nozzle
KR102226506B1 (en) Apparatus for reducing moisture of front opening unified pod in transfer chamber and semiconductor process device comprising the same
US20070017117A1 (en) Apparatus for drying semiconductor substrate
KR20190006046A (en) Wafer storage container
US20230311153A1 (en) Substrate processing apparatus
KR102551501B1 (en) Apparatus for reducing moisture of front opening unified pod in load port module and semiconductor process device comprising the same
US20230063639A1 (en) Heat treatment unit and substrate processing apparatus
KR102596506B1 (en) A home pot and an apparatus for treating a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant