KR101492258B1 - In-line substrate processing system - Google Patents

In-line substrate processing system Download PDF

Info

Publication number
KR101492258B1
KR101492258B1 KR20080073790A KR20080073790A KR101492258B1 KR 101492258 B1 KR101492258 B1 KR 101492258B1 KR 20080073790 A KR20080073790 A KR 20080073790A KR 20080073790 A KR20080073790 A KR 20080073790A KR 101492258 B1 KR101492258 B1 KR 101492258B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
transfer
susceptor
chambers
Prior art date
Application number
KR20080073790A
Other languages
Korean (ko)
Other versions
KR20100012419A (en
Inventor
위순임
Original Assignee
위순임
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 위순임 filed Critical 위순임
Priority to KR20080073790A priority Critical patent/KR101492258B1/en
Publication of KR20100012419A publication Critical patent/KR20100012419A/en
Application granted granted Critical
Publication of KR101492258B1 publication Critical patent/KR101492258B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Abstract

본 발명의 인라인 처리 시스템은, 하나 이상의 개폐 출입구를 갖고 피처리 기판을 지지하는 기판 지지부재가 설치된 공정 챔버와 일 측이 개폐 출입구와 접하고 상기 피처리 기판을 이송하기 위한 반송 장치가 구비되는 이송 챔버 및 상기 공정 챔버와 이송 챔버가 공정 순서에 따라서 교대로 반복되어 구성된다. 본 발명의 인라인 처리 시스템에 의하면, 공정 진행정도에 맞게 기판을 이송하는 이송 챔버가 위치되어, 공정 챔버의 시간에 따른 적절한 피처리 기판의 위치가 조절됨으로써 장비의 효율을 향상 시킬 수 있다. The inline processing system of the present invention includes a process chamber having a substrate supporting member having at least one opening and closing port and for supporting a substrate to be processed, a transfer chamber having a transfer chamber for transferring the substrate, And the process chamber and the transfer chamber are alternately repeated in accordance with the process sequence. According to the inline processing system of the present invention, the transfer chamber for transferring the substrate in accordance with the progress of the process is located, and the efficiency of the equipment can be improved by adjusting the position of the substrate to be processed appropriately according to the time of the process chamber.

로드락 챔버, 제 1로드락 챔버, 제 2로드락 챔버, 반송로봇 A load lock chamber, a first load lock chamber, a second load lock chamber,

Description

인라인 기판처리 시스템{IN-LINE SUBSTRATE PROCESSING SYSTEM}[0001] IN-LINE SUBSTRATE PROCESSING SYSTEM [0002]

본 발명은 인라인 기판처리 시스템에 관한 것으로, 구체적으로는 공정시간의 차이에 따른 기판처리의 대기시간 없이 연속으로 공정을 진행함으로써 장비의 효율성을 향상시킬 수 있는 인라인 기판처리 시스템에 관한 것이다.The present invention relates to an in-line substrate processing system, and more particularly, to an in-line substrate processing system capable of improving the efficiency of equipment by continuously performing a process without waiting time for substrate processing according to a difference in process time.

본 발명은 반도체 장치의 제조를 위한 인라인 기판처리 시스템에 관한 것으로, 구체적으로는 복수 매의 기판들을 연속적으로 공정 챔버로 로딩/언로딩하여 기판 반송 시간을 절약하여 생산성을 향상 시킬 수 있는 인라인 기판처리 시스템에 관한 것이다.The present invention relates to an in-line substrate processing system for manufacturing a semiconductor device, and more particularly, to an in-line substrate processing system for processing a plurality of substrates by continuously loading / unloading a plurality of substrates into a process chamber, ≪ / RTI >

일반적으로, 클러스터 시스템은 반송실(transfer chamber)과 반송실내에 회동이 자유롭게 마련된 반송 로봇을 구비한다. 반송실의 각 변에는 기판의 처리 공정을 수행하기 위한 공정 챔버가 장착된다. 이와 같은 클러스터 시스템은 복수개의 기판을 동시에 처리하거나 또는 여러 공정을 연속해서 진행 할 수 있도록 함으로 기판 처리량을 높이고 있다. 기판 처리량을 높이기 위한 또 다른 노력으로는 하나의 공정 챔버에서 복수 매의 기판을 동시에 처리하도록 하여 시간당 기판 처리량을 높이도록 하고 있다.Generally, the cluster system includes a transfer chamber and a transfer robot provided freely rotatable in the transfer chamber. At each side of the transport chamber, a process chamber for carrying out the processing process of the substrate is mounted. Such a cluster system increases the throughput of a substrate by simultaneously processing a plurality of substrates or allowing various processes to proceed in succession. Another effort to increase the substrate throughput is to process a plurality of substrates simultaneously in one process chamber to increase the substrate throughput per hour.

그러나 공정 챔버가 복수 매의 기판을 동시(또는 연속적으로)에 처리하더라도 공정 챔버에 처리 전후의 기판들이 효율적으로 교환되지 못하는 경우 시간적 손실이 발생하게 된다. 통상적인 클러스터 시스템은 6각형의 반송실을 구성하는 데 있어서(기본적으로 4개의 공정 챔버와 2개의 로드 락 챔버로 구성되는 경우), 반송실이 차지하는 면적 때문에 시스템전체의 면적은 물론, 제조 라인 내의 시스템배치에 있어서 중시되는 시스템 폭이 필요이상으로 증가되고, 반송실을 진공상태로 유지시키는 데 필요한 진공시스템의 규모가 증가되어 장치비 및 설치비가 증가하게 된다. 또한, 이러한 반송실의 면적은, 설치되는 공정 챔버의 개수가 증가함에 따라서 더욱 가중된다. However, even if the process chamber processes a plurality of substrates simultaneously (or continuously), a time loss occurs if the substrates before and after the process can not be efficiently exchanged in the process chamber. In a typical cluster system, in order to constitute a hexagonal transport chamber (basically composed of four process chambers and two load lock chambers), the area occupied by the transport chamber is not limited to the entire area of the system, The system width which is important in the system arrangement is increased more than necessary and the size of the vacuum system required to keep the transport chamber in a vacuum is increased to increase the equipment cost and installation cost. Further, the area of the transport chamber is further increased as the number of process chambers to be installed increases.

그럼으로 복수 매의 기판을 처리하는 공정 챔버에서 복수 매의 기판을 동시(또는 연속적으로)에 처리하는 것과 더불어 처리 전후의 기판들을 보다 효율적으로 교환할 수 있는 인라인 기판처리 시스템이 요구되고 있다.Thus, there is a demand for an inline substrate processing system capable of more efficiently exchanging substrates before and after processing, in addition to simultaneously (or continuously) processing a plurality of substrates in a process chamber for processing a plurality of substrates.

본 발명의 목적은 효율적으로 기판을 처리할 수 있는 이송 챔버와 공정 챔버을 교대로 구비함으로써, 연속적으로 처리가 가능한 인라인 기판처리 시스템을 제공하 것을 목적으로 한다.It is an object of the present invention to provide an inline substrate processing system capable of continuously processing by alternately providing a transfer chamber and a process chamber capable of efficiently processing a substrate.

상기한 기술적 과제를 달성하기 위한 본 발명의 일면은 인라인 기판처리 시스템에 관한 것이다. 본 발명의 인라인 기판처리 시스템하나 이상의 개폐 출입구 를 갖고 피처리 기판을 지지하는 기판 지지대가 설치된 공정 챔버와 일 측이 개폐 출입구와 접하고 상기 피처리 기판을 이송하기 위한 반송 장치가 구비되는 이송 챔버 및 상기 공정 챔버와 이송 챔버가 공정 순서에 따라서 교대로 반복되어 구성된다.According to an aspect of the present invention, there is provided an inline substrate processing system. An inline substrate processing system of the present invention includes: a process chamber having at least one opening and closing port for supporting a substrate to be processed; a transfer chamber including a transfer device for transferring the substrate to be processed, The process chamber and the transfer chamber are alternately repeated in accordance with the process sequence.

일 실시예에 있어서, 상기 기판 지지대는 서셉터이고, 공정 시간에 맞추어 하나 이상 설치된다.In one embodiment, the substrate support is a susceptor and more than one is installed in time for the process.

일 실시예에 있어서, 상기 반송 장치는 축을 중심으로 회전하는 회전 플레이트가 하나 이상 설치된다.In one embodiment, the transport apparatus is provided with one or more rotating plates that rotate about an axis.

일 실시예에 있어서, 상기 이송 챔버는 반송 장치의 축이 서로 사선으로 위치되며 상기 회전 플레이트가 피처리 기판을 이송하도록 위치된다.In one embodiment, the transfer chamber is positioned such that the axes of the transfer devices are positioned diagonally with respect to each other and the rotary plate is adapted to transfer the substrate to be processed.

일 실시예에 있어서, 상기 이송 챔버의 일단 또는 양 끝단에 위치되며, 상기 피처리 기판을 로딩 및 언 로딩하는 로드락 챔버가 더 포함된다.In one embodiment, the apparatus further includes a load lock chamber located at one or both ends of the transfer chamber, for loading and unloading the substrate to be processed.

일 실시예에 있어서, 상기 로드락 챔버와 이송챔버 사이에 트랜스퍼 챔버가 더 포함된다.In one embodiment, a transfer chamber is further included between the load lock chamber and the transfer chamber.

일 실시예에 있어서, 상기 트랜스퍼 챔버는 진공 또는 대기압의 상태로 반복된다.In one embodiment, the transfer chamber is repeated with vacuum or atmospheric pressure.

일 실시예에 있어서, 상기 로드락 챔버와 접촉 되고, 대기압을 진공 상태로 만들거나 진공상태를 대기압으로 만드는 진공 펌프가 구비되는 이송 챔버가 더 포함된다.In one embodiment, the apparatus further comprises a transfer chamber in contact with the load lock chamber and having a vacuum pump to bring the atmospheric pressure to a vacuum or to bring the vacuum to atmospheric pressure.

본 발명의 인라인 기판처리 시스템에 의하면, 공정 진행정도에 따라 기판을 이송하는 이송 챔버가 위치되어, 공정 챔버의 시간에 따른 피처리 기판의 위치가 조절됨으로써 장비의 효율을 높일 수 있다. According to the inline substrate processing system of the present invention, the transfer chamber for transferring the substrate is positioned according to the progress of the process, and the position of the substrate to be processed is adjusted according to the time of the process chamber, thereby improving the efficiency of the equipment.

본 발명을 충분히 이해하기 위해서 본 발명의 바람직한 실시예를 첨부 도면을 참조하여 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상세히 설명하는 실시예로 한정되는 것으로 해석되어서는 안 된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공 되어지는 것이다. 따라서 도면에서의 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어 표현될 수 있다. 각 도면에서 동일한 부재는 동일한 참조부호로 도시한 경우가 있음을 유의하여야 한다. 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 공지 기능 및 구성에 대한 상세한 기술은 생략된다.For a better understanding of the present invention, a preferred embodiment of the present invention will be described with reference to the accompanying drawings. The embodiments of the present invention may be modified into various forms, and the scope of the present invention should not be construed as being limited to the embodiments described in detail below. The present embodiments are provided to enable those skilled in the art to more fully understand the present invention. Therefore, the shapes and the like of the elements in the drawings can be exaggeratedly expressed to emphasize a clearer description. It should be noted that in the drawings, the same members are denoted by the same reference numerals. Detailed descriptions of well-known functions and constructions which may be unnecessarily obscured by the gist of the present invention are omitted.

도 1은 본 발명의 바람직한 실시예에 따른 인라인 기판처리 시스템의 평면도이다. 1 is a top view of an inline substrate processing system according to a preferred embodiment of the present invention.

피처리 기판을 로딩/언로딩을 하는 제1,2 로드락 챔버(12,14)기판을 이송하는 제 1,2,3,4 이송 챔버(32,34,36,38)와 제 1,2,3,4 이송 챔버(32,34,36,38)에 대응되어서 피처리 기판을 인계 받아 공정을 진행하는 제1,2,3 공정 챔버(52,54,56)로 구성된다.The first and second load lock chambers 12, 14 for loading / unloading the substrate to be processed, the first, second, third, and fourth transfer chambers 32, 34, 36, Second and third process chambers 52, 54 and 56 corresponding to the first, second and third transfer chambers 32, 34, 36 and 38, respectively,

제 1 로드락 챔버(12)는 대기압에서 동작되는 대기압 제 1반송 로봇(16)이 구비된다. 대기압 제 1반송 로봇(16)은 제 1이송 챔버(32)와 캐리어 사이에서 기판 이송을 담당하며 회동, 승강 및 하강이 가능하다. 제1 이송 챔버(32)와 접하는 일측은 피처리 기판의 입출력이 가능하도록 슬릿 벨브(18)가 설치된다. The first load lock chamber 12 is provided with an atmospheric first transfer robot 16 operating at atmospheric pressure. The atmospheric first transfer robot 16 is capable of pivoting, elevating and lowering the transfer of substrates between the first transfer chamber 32 and the carrier. A slit valve 18 is installed at one side of the first transfer chamber 32 in contact with the first transfer chamber 32 to allow input and output of the target substrate.

대기압 제 1반송 로봇(16)은 캐리어로부터 일회 동작으로 2장의 피처리 기판(W)을 반출하여 제 1이송 챔버(12)로 반입한다. 이를 위하여 대기압 제1 반송 로봇(16)은 2장의 처리 전 기판과 2장의 처리 후 기판을 동시에 교환할 수 있도록 총 2개의 앤드 이펙터를 각각 구비한 더블 암 구조를 갖는 로봇으로 구성될 수 있다. 또는, 적어도 한 개의 엔드 이펙터(end effector)를 구비한 싱글 암 구조의 로봇을 사용할 수도 있다. 대기압 제 1반송 로봇(16)은 좌우로 이동 가능한 트랙을 구비할 수도 있다. 이와 같이 대기압 제 1반송 로봇(16)은 싱글 암 또는 더블 암 구조의 방식 이외에도 통상적인 반도체 제조 공정에서 사용되는 다양한 로봇들이 사용될 수 있다. The atmospheric pressure first transfer robot 16 takes out two substrates W from the carrier in a single operation and transfers them to the first transfer chamber 12. For this purpose, the atmospheric first transfer robot 16 may be configured as a robot having a double arm structure, each of which has a total of two end effectors so that two pre-processed substrates and two processed substrates can be exchanged at the same time. Alternatively, a robot having a single arm structure having at least one end effector may be used. The atmospheric pressure first conveying robot 16 may be provided with a track which can be moved laterally. As described above, the atmospheric first transfer robot 16 may be various robots used in a conventional semiconductor manufacturing process other than the single arm or double arm structure.

본 인라인 기판처리 시스템에서 처리되는 피 처리 기판(W)은 예를 들어, 반도체 회로를 제조하기 위한 웨이퍼 기판이거나 액정 디스플레이를 제조하기 위한 유리 기판이다. 본 인라인 기판 처리 시스템의 도시된 구성 외에도 집적 회로 또는 칩의 완전한 제조에 요구되는 모든 프로세스를 수행하기 위해 다수의 프로세싱 시스템들이 요구될 수 있다. 그러나 본 발명의 명확한 설명을 위하여 통상적인 구성이나 당업자 수준에서 이해될 수 있는 구성들은 생략하였다.The substrate W to be processed in this inline substrate processing system is, for example, a wafer substrate for manufacturing a semiconductor circuit or a glass substrate for manufacturing a liquid crystal display. In addition to the illustrated configuration of the present inline substrate processing system, multiple processing systems may be required to perform all of the processes required for the complete fabrication of an integrated circuit or chip. However, for the sake of clarity of the present invention, a conventional configuration or a configuration that can be understood by a person skilled in the art is omitted.

제 1이송 챔버(32)는 제 1로드락 챔버(12)와 2매의 기판(w)을 처리하기 위한 제 1 공정 챔버(52) 사이에서 2매의 기판(w)을 반송하기 위한 것이다.The first transfer chamber 32 is for transferring two substrates w between the first load lock chamber 12 and the first process chamber 52 for processing two substrates w.

제 1이송 챔버(32)는 내부에 반송장치(31)가 구비되어 한번에 2장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31)는 2개의 제 1 회전 플레이트 암(33)들로 구성된다. 여기서 제 1이송 챔버(32) 는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 1공정 챔버(52)의 공정 시간을 단축하기 위하여 대기압을 진공상태로 만들거나 진공상태를 대기압상태로 만드는 작업을 수행할 수도 있다.The first transfer chamber 32 is provided with a transfer device 31 therein to load and unload two substrates w with the susceptor 70 at a time. The transfer device 31 is constituted by two first rotating plate arms 33. [ Wherein the first transfer chamber 32 may be configured to perform various substrate processing operations. For example, in order to shorten the process time of the first process chamber 52, the atmospheric pressure may be set to a vacuum state or the vacuum state may be set at atmospheric pressure.

제 1공정 챔버(52)는 기판 반송 장치(31)의 제 1회전 플레이트 암(33)들의 회전 경로 상에 위치되는 2개의 서셉터(70)를 갖는다. 제 1로드락 챔버(12) 또는 제 1이송 챔버(32)의 일 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브(18)가 설치되어 양측으로 기판 출입구를 갖는다.The first process chamber 52 has two susceptors 70 positioned on the rotation path of the first rotating plate arms 33 of the substrate transfer device 31. A slit valve 18 is installed on one side of the first load lock chamber 12 or the first transfer chamber 32 for inputting and outputting the substrates W and the other side can take over the substrate to be processed next Another slit valve 18 is provided so as to have a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 제 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 갖는다.  The susceptor 70 has lift pins 72 for taking in / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31)의 제 1회전 플레이트 암들(33)의 설치 높이에 따라 조정될 수 있다. The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. Here, the lift height of the lift pins 72 can be adjusted in accordance with the installation height of the first rotation plate arms 33 of the substrate transfer device 31.

여기서, 피 처리 기판(w)은 반도체 회로를 제조하기 위한 웨이퍼 기판이거 나 액정 디스플레이를 제조하기 위한 유리 기판이다. 예를 들어, 공정 챔버는 포토 레지스트를 제거하기 위해서 플라즈마를 이용하여 포토 레지스트를 제거하는 애싱(ashing) 챔버일 수 있고, 공정 챔버는 절연막을 증착시키도록 구성된 CVD(Chemical Vapor Deposition) 챔버일 수 있고, 공정 챔버는 인터커넥트 구조들을 형성하기 위해 절연막에 애퍼쳐(aperture)들이나 개구들을 에치하도록 구성된 에치 챔버일 수 있고, 공정 챔버는 장벽(barrier) 막을 증착시키도록 구성된 PVD 챔버일 수 있으며, 공정 챔버는 금속막을 증착시키도록 구성된 PVD 챔버일 수 있다. 집적 회로 또는 칩의 완전한 제조에 요구되는 모든 프로세스를 수행하기 위해 다수의 프로세싱 시스템들이 요구될 수 있다. Here, the substrate to be processed w is a wafer substrate for manufacturing a semiconductor circuit or a glass substrate for manufacturing a liquid crystal display. For example, the process chamber may be an ashing chamber that uses plasma to remove the photoresist to remove the photoresist, and the process chamber may be a CVD (Chemical Vapor Deposition) chamber configured to deposit an insulating film , The process chamber may be an etch chamber configured to etch apertures or openings in the insulating layer to form interconnect structures and the process chamber may be a PVD chamber configured to deposit a barrier film, Or a PVD chamber configured to deposit a metal film. A number of processing systems may be required to perform all the processes required for the complete fabrication of an integrated circuit or chip.

제 2,3 이송 챔버(34,36)는 제 1,2,3 공정 챔버들(52,54,56) 사이에서 2매의 기판(w)을 반송하기 위한 것이다. 제 2, 3 이송 챔버(34,36)는 내부에 반송장치(31)가 구비되어 한번에 2장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31)는 2개의 회전 플레이트 암(35,37)들로 구성된다. 여기서 제 2,3이송 챔버(35,37)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 2,3 공정 챔버(54,56)의 시간을 단축하기 위하여 진공상태로 기판을 이송한다.The second and third transfer chambers 34 and 36 are for transferring two substrates w between the first, second and third process chambers 52, 54 and 56. The second and third transfer chambers 34 and 36 are provided with a transfer device 31 therein to load and unload the two wafers w at a time with the susceptor 70. The transport apparatus 31 is composed of two rotary plate arms 35 and 37. [ Where the second and third transfer chambers 35,37 can be configured to perform various substrate processing operations. For example, the substrate is transferred to a vacuum state to shorten the time of the second and third process chambers 54, 56.

제 2,3공정 챔버(54,56)는 기판 반송 장치(31)의 제 2,3회전 플레이트 암(35,37)들의 회전 경로 상에 위치되는 2개의 서셉터(70)를 갖는다. 제 2,3이송 챔버(34,36)의 접촉 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치되고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The second and third process chambers 54 and 56 have two susceptors 70 positioned on the rotation path of the second and third rotary plate arms 35 and 37 of the substrate transfer device 31. A slit valve 18 is provided on the contact side surfaces of the second and third transfer chambers 34 and 36 for inputting and outputting the substrates W and the other side is provided with another slit Valves are installed and have a board entry and exit on both sides.

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(31)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 가지고 있다.  The susceptor 70 has lift pins 72 for accepting / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 31 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31)의 회전 플레이트 암들(35,37)의 설치 높이에 따라 조정될 수 있다. The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. Here, the lift height of the lift pins 72 can be adjusted according to the installation height of the rotary plate arms 35, 37 of the substrate transfer device 31.

여기서, 피처리 기판(w)은 반도체 회로를 제조하기 위한 웨이퍼 기판이거나 액정 디스플레이를 제조하기 위한 유리 기판이다. 예를 들어, 공정 챔버는 포토 레지스트를 제거하기 위해서 플라즈마를 이용하여 포토 레지스트를 제거하는 애싱(ashing) 챔버일 수 있고,공정 챔버는 절연막을 증착시키도록 구성된 CVD(Chemical Vapor Deposition) 챔버일 수 있고, 공정 챔버는 인터커넥트 구조들을 형성하기 위해 절연막에 애퍼쳐(aperture)들이나 개구들을 에치하도록 구성된 에치 챔버일 수 있고, 공정 챔버는 장벽(barrier) 막을 증착시키도록 구성된 PVD 챔버일 수 있으며, 공정 챔버는 금속막을 증착시키도록 구성된 PVD 챔버일 수 있다. 집적 회로 또는 칩의 완전한 제조에 요구되는 모든 프로세스를 수행하기 위해 다수의 프로세싱 시스템들이 요구될 수 있다. Here, the substrate to be processed w is a wafer substrate for manufacturing a semiconductor circuit or a glass substrate for manufacturing a liquid crystal display. For example, the process chamber may be an ashing chamber that uses plasma to remove the photoresist to remove the photoresist, and the process chamber may be a CVD (Chemical Vapor Deposition) chamber configured to deposit an insulating film , The process chamber may be an etch chamber configured to etch apertures or openings in the insulating layer to form interconnect structures and the process chamber may be a PVD chamber configured to deposit a barrier film, Or a PVD chamber configured to deposit a metal film. A number of processing systems may be required to perform all the processes required for the complete fabrication of an integrated circuit or chip.

제 4이송 챔버(38)는 제 3공정 챔버(56)에서 공정이 완료된 피처리 기판을 이송이 용이하도록 정위치 시킨다. 제 2 로드락 챔버(14)는 대기압에서 동작되는 대기압 반송 로봇(17)을 사용하여 제 4이송 챔버(38)에 대기중인 피처리 기판을 인계 받아 캐리어로 반출한다. The fourth transfer chamber 38 positions the processed substrate in the third process chamber 56 so that the processed substrate is easily transferred. The second load lock chamber 14 takes over the substrate to be processed waiting in the fourth transfer chamber 38 by using the atmospheric pressure transfer robot 17 operating at atmospheric pressure and takes it out to the carrier.

도 2내지 도 5는 서셉터의 위치와 반송장치의 다른 변형 예에 따른 인라인 기판처리 시스템을 간략히 보여 주는 도면이다. Figs. 2 to 5 are views schematically showing an in-line substrate processing system according to another embodiment of the transport apparatus and the position of the susceptor.

도 2를 참조하여, 본 발명의 변형 예에 따른 인라인 기판처리 시스템은 상술한 바람직한 실시예와 기본적으로 동일한 구조를 갖는다. 다만 공정 환경과 공정시간에 따라 서셉터의 수량이 조절 된다. Referring to FIG. 2, the in-line substrate processing system according to the modification of the present invention has basically the same structure as the above-described preferred embodiment. However, the number of susceptors is controlled by the process environment and process time.

제 1이송 챔버(32)는 제1 로드락 챔버에서 인계받은 1매의 피처리 기판(w)을 처리하기 위한 제 1 공정 챔버(52) 사이에서 1매의 기판(w)을 반송하기 위한 것이다. 제 1이송 챔버(32)는 내부에 반송장치(31a)가 구비되어 한번에 1장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31a)는 1개의 제 1회전 플레이트 암들(33a)로 구성된다. 제 1이송 챔버(32)는 제 1공정 챔버(52)의 공정 시간을 단축하기 위하여 대기압을 진공상태로 만들어 작업을 수행한다. The first transfer chamber 32 is for transferring one substrate w between the first process chambers 52 for processing a single substrate w taken over from the first load lock chamber . The first transfer chamber 32 is provided with a transfer device 31a therein to load and unload one substrate w at a time with the susceptor 70. [ The transfer device 31a is constituted by one first rotary plate arm 33a. The first transfer chamber 32 performs the operation by vacuuming the atmospheric pressure to shorten the process time of the first process chamber 52.

제 1 공정 챔버(52)는 기판 반송 장치(31a)의 제 1회전 플레이트 암(33a)들의 회전 경로상에 위치되는 서셉터(70)를 갖는다. 제 1이송 챔버(32)와 접하는 측면에 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 제 2공정 챔버(54)으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The first process chamber 52 has a susceptor 70 positioned on the rotation path of the first rotary plate arm 33a of the substrate transfer device 31a. A slit valve 18 is provided for inputting and outputting the substrates W to be in contact with the first transfer chamber 32 and another side is provided for transferring the substrate to the next second process chamber 54, The slit valve is provided and has a substrate entry port on both sides.

서셉터(70)는 기판 반송 장치(31a)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖는다.The susceptor 70 has lift pins 72 for taking over / taking over the substrate w from the substrate transfer device 31a.

제 2,3 이송 챔버(34,36)는 제 1,2,3 공정 챔버(52,54,56)들 사이에서 1매의 기판(w)을 반송하기 위한 것이다. 제 2, 3 이송 챔버(34,36)는 내부에 반송장치(31a)가 구비되어 한번에 1장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 여기서 제 2,3 이송 챔버(34,36)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 1,2,3 공정 챔버(52,54,56)의 시간을 단축하기 위하여 진공상태인 체로 기판을 이송한다.The second and third transfer chambers 34 and 36 are for transferring one substrate w between the first, second and third process chambers 52, 54 and 56. The second and third transfer chambers 34 and 36 are provided with a transfer device 31a to load and unload one substrate w at a time with the susceptor 70. [ Wherein the second and third transfer chambers 34,36 may be configured to perform various substrate processing operations. For example, in order to shorten the time of the first, second and third process chambers 52, 54 and 56, the substrate is transferred to a vacuum state sieve.

제 2,3 공정 챔버(54,56)는 기판 반송 장치의 제 2,3 회전 플레이트 암(35a,37a)들의 회전 경로상에 위치되는 서셉터(70)를 갖는다. 제 1,2,3 이송 챔버(32,34,36)의 접하는 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The second and third process chambers 54 and 56 have the susceptor 70 positioned on the rotation path of the second and third rotary plate arms 35a and 37a of the substrate transfer device. A slit valve 18 is installed on the side surfaces of the first, second and third transfer chambers 32, 34 and 36 for inputting and outputting the substrates W, and the other side of the first, second and third transfer chambers 32, Another slit valve is provided and has a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31a)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖는다.The susceptor 70 has lift pins 72 for taking over / taking over the substrate w from the substrate transfer device 31a.

제 4이송 챔버(38)는 내부에 반송장치(31a)가 구비되어 제 3공정 챔버(56)의 작업이 완료된 피처리 기판을 제 2로드락 챔버로 이송한다. 제 4이송 챔버(38)는 피처리 기판의 작업 시간을 단축하기 위하여 진공상태를 대기압 상태로 만드는 작업을 병행 할 수도 있다. The fourth transfer chamber 38 is provided with a transfer device 31a therein to transfer the processed substrate of the third process chamber 56 to the second load lock chamber. The fourth transfer chamber 38 may be combined with an operation of putting the vacuum state at atmospheric pressure in order to shorten the working time of the substrate to be processed.

도 3을 참조하면, 본 발명의 다른 변형 예에 따른 인라인 기판처리 시스템은 상술한 바람직한 실시예와 기본적으로 동일한 구조를 갖는다. 다만 공정 환경과 공 정시간에 따라 서셉터의 수량과 반송장치의 수량이 조절 된다. Referring to FIG. 3, the inline substrate processing system according to another modification of the present invention has basically the same structure as the above-described preferred embodiment. However, the number of receivers and the number of conveying devices are controlled according to the process environment and the process time.

제 1이송 챔버(32)는 제 1로드락 챔버와 4매의 기판(w)을 처리하기 위한 제 1 공정 챔버(32) 사이에서 4매의 기판(w)을 반송하기 위한 것이다.The first transfer chamber 32 is for transferring the four wafers w between the first load lock chamber and the first process chamber 32 for processing four wafers w.

제 1이송 챔버(32)는 내부에 반송장치(31b)가 구비되어 한번에 4장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31b는 2개의 제 1회전 플레이트(33b) 암들로 2개가 구성된다. 여기서 제 1회전 플레이트(33b)는 시계방향과 반시계방향으로 이동하여 제 1공정 챔버(52)에 위치된 서셉터(70)에 피처리 기판을 인계한다. 여기서 제 1이송 챔버(32)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 1공정 챔버(52)의 공정 시간을 단축하기 위하여 대기압을 진공상태로 만들거나 진공상태를 대기압상태로 만드는 작업을 수행할 수도 있다.The first transfer chamber 32 is provided with a transfer device 31b to load and unload the four wafers w to the susceptor 70 at a time. The first rotary plate 33b moves in the clockwise direction and the counterclockwise direction and moves in the first process chamber 52. The first rotary plate 33b is moved in the clockwise direction and counterclockwise direction, The first transfer chamber 32 may be configured to perform various substrate processing operations. For example, in order to shorten the processing time of the first process chamber 52 It is also possible to carry out the work of making the atmospheric pressure vacuum or putting the vacuum state at atmospheric pressure.

제 1공정 챔버(52)는 기판 반송 장치(31b)의 제 1회전 플레이트 암(33b)들의 회전 경로상에 위치되는 4개의 서셉터(70)를 갖는다. 제 1이송 챔버(32)의 접하는 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The first process chamber 52 has four susceptors 70 positioned on the rotation path of the first rotating plate arm 33b of the substrate transfer device 31b. A slit valve 18 is installed on the side of the first transfer chamber 32 for inputting and outputting the substrates W and another slit valve is provided on the side of the first transfer chamber 32 for transferring the substrate to the next process. And has a substrate entrance.

서셉터(70)는 기판 반송 장치(31b)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(70 )들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 제 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 가지고 있다.  The susceptor 70 has lift pins 70 for taking in / transferring the substrate w from the substrate transfer device 31b and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31b)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31b)의 제 1회전 플레이트 암(33b)들의 설치 높이에 따라 조정될 수 있다. The lift height of the lift pins 72 is set to be different from each other in order to prevent interference and collision in the substrate transferring / transferring process with the substrate transferring device 31b. Here, the lift height of the lift pins 72 can be adjusted according to the installation height of the first rotary plate arm 33b of the substrate transfer device 31b.

제 2,3 이송 챔버(34,36)는 제 1,2,3 공정 챔버(52,54,56)들 사이에서 4매의 기판(w)을 반송하기 위한 것이다. 제 2, 3 이송 챔버(34,36)는 내부에 반송장치(31b)가 구비되어 한번에 4장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31b)는 2개의 제 2,3 회전 플레이트 암들(35b,37b)로 2개가 구성된다. 여기서 제 2,3 회전 플레이트(35b,37b)는 시계방향과 반시계방향으로 이동하여 제 2,3 공정 챔버(54,56)에 위치된 서셉터(70)에 피처리 기판을 인계한다The second and third transfer chambers 34 and 36 are for transferring the four wafers w between the first, second and third process chambers 52, 54 and 56. The second and third transfer chambers 34 and 36 are each provided with a transfer device 31b to load and unload the four wafers w to the susceptor 70 at a time. The transfer device 31b is composed of two second and third rotating plate arms 35b and 37b. The second and third rotary plates 35b and 37b move clockwise and counterclockwise to transfer the substrate to the susceptor 70 located in the second and third process chambers 54 and 56

여기서 제 2,3 이송 챔버(34,36)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 2,3 공정 챔버(54,56)의 시간을 단축하기 위하여 진공상태인 체로 기판을 이송한다. Wherein the second and third transfer chambers 34,36 may be configured to perform various substrate processing operations. For example, in order to shorten the time of the second and third process chambers 54 and 56, the substrate is transferred to a vacuum state sieve.

제 2,3 공정 챔버(54,56)는 기판 반송 장치의 제 2,3 회전 플레이트 암(35b,37b)들의 회전 경로 상에 위치되는 서셉터(70)를 갖는다. 제 1,2,3 이송 챔버(32,34,36)의 접하는 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The second and third process chambers 54 and 56 have the susceptor 70 positioned on the rotation path of the second and third rotary plate arms 35b and 37b of the substrate transfer apparatus. A slit valve 18 is installed on the side surfaces of the first, second and third transfer chambers 32, 34 and 36 for inputting and outputting the substrates W, and the other side of the first, second and third transfer chambers 32, Another slit valve is provided and has a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31b)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖는다.The susceptor 70 has lift pins 72 for taking over / transferring the substrate w from the substrate transfer device 31b.

제 4이송 챔버(38)는 내부에 반송장치(31b))가 구비되어 제 3공정 챔버(56)의 작업이 완료된 피처리 기판을 제 2 로드락 챔버로 이송한다. 제 4이송 챔버(38)는 피처리 기판의 작업 시간을 단축하기 위하여 진공상태를 대기압 상태로 만드는 작업을 병행 할 수도 있다. The fourth transfer chamber 38 is provided with a transfer device 31b therein to transfer the processed substrate of the third process chamber 56 to the second load lock chamber. The fourth transfer chamber 38 may be combined with an operation of putting the vacuum state at atmospheric pressure in order to shorten the working time of the substrate to be processed.

도 4를 참조하면, 본 발명의 다른 변형 예에 따른 인라인 기판처리 시스템은 상술한 바람직한 실시예와 기본적으로 동일한 구조를 갖는다. 다만 공정 환경에 따라 반송장치의 중심축이 서로 다른 곳에 위치되었다. Referring to FIG. 4, the inline substrate processing system according to another modification of the present invention has basically the same structure as the above-described preferred embodiment. However, depending on the process environment, the central axes of the transport apparatus are located at different places.

제 1이송 챔버(32)는 제 1로드락 챔버와 2매의 기판(w)을 처리하기 위한 제 1공정 챔버(32) 사이에서 2매의 기판(w)을 반송하기 위한 것이다.The first transfer chamber 32 is for transferring two substrates w between a first load lock chamber and a first process chamber 32 for processing two substrates w.

제 1이송 챔버(32) 내부에 반송장치(31c)가 구비되어 한번에 2장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송장치(31c)는 2개의 제 1회전 플레이트 암들(33c)로 구성된다. 여기서 제 1회전 플레이트 암들(33c)로 회전축을 중심으로 시계방향으로 이동하여 제 1공정 챔버(52)에 위치된 서셉터(70)에 피처리 기판을 인계한다. 여기서 제 1이송 챔버(32)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 1공정 챔버(52)의 공정 시간을 단축하기 위하여 대기압을 진공상태로 만들거나 진공상태를 대기압상태로 만드는 작업을 수행할 수도 있다.The transfer device 31c is provided inside the first transfer chamber 32 so that two substrates w can be loaded and unloaded with the susceptor 70 at a time. The transfer device 31c is constituted by two first rotating plate arms 33c. Here, the first rotating plate arms 33c move in the clockwise direction about the rotation axis to transfer the substrate to the susceptor 70 located in the first process chamber 52. [ Wherein the first transfer chamber 32 may be configured to perform various substrate processing operations. For example, in order to shorten the process time of the first process chamber 52, the atmospheric pressure may be set to a vacuum state or the vacuum state may be set at atmospheric pressure.

제 1공정 챔버(52)는 기판 반송 장치(31c)의 제 1회전 플레이트 암(33c)들의 회전 경로 상에 위치되는 2개의 서셉터(70)를 갖는다. 제 1이송 챔버(52)의 접하는 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The first process chamber 52 has two susceptors 70 positioned on the rotation path of the first rotary plate arm 33c of the substrate transfer device 31c. A slit valve 18 is provided for inputting / outputting the substrates W to be processed on the side of the first transfer chamber 52, and another slit valve is provided on the other side for transferring the substrate to the next process, And has a substrate entrance.

서셉터(70)는 기판 반송 장치(31c)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 가지고 있다.  The susceptor 70 has lift pins 72 for accepting / transferring the substrate w from the substrate transfer device 31c and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31c)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31c)의 제 1회전 플레이트 암(33c)들의 설치 높이에 따라 조정될 수 있다. The lift height of the lift pins 72 is set to be different from each other in order to prevent interference and collision in the substrate transfer / take-over process with the substrate transfer device 31c. Here, the lift height of the lift pins 72 can be adjusted according to the installation height of the first rotary plate arm 33c of the substrate transfer device 31c.

제 2,3이송 챔버(34,36)는 제 1,2 공정 챔버(52,54)들 사이에서 2매의 기판(w)을 반송하기 위한 것이다. 제 2, 3 이송 챔버(32,34,36)는 내부에 반송장치(31c)가 구비되어 한번에 2장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31c)는 2개의 제 2,3 회전 플레이트 암(35c,37c)들로 구성된다. 제 2 이송챔버(34)는 제1 이송 챔버(32)의 중심축과 다른 사선에 위치된다. 제 2 이송 챔버(34)의 제 2회전 플레이트(35c)는 제 1공정 챔버(32)에 위치된 서셉터(70)의 피처리 기판을 인계 받은 후 다음 공정으로 진행을 위하여 제 2회전 플레이트(35c)가 복귀를 시작하면 제 1이송 챔버(32)의 제 2회전 플레이트(33c)가 제 1공정 챔버(52) 내부로 진입하여 공정을 받을 피처리 기판을 서셉터(70)로 인계할 수 있다. 그러므로 공정을 하기위한 공정과 공정 사이의 이송속도가 향상되어 작업 의 효율을 높일수 있다.The second and third transfer chambers 34 and 36 are for transferring two substrates w between the first and second process chambers 52 and 54. The second and third transfer chambers 32, 34 and 36 are each provided with a transfer device 31c to load and unload two substrates w with the susceptor 70 at a time. The transfer device 31c is composed of two second and third rotary plate arms 35c and 37c. The second transfer chamber 34 is located at a diagonal line different from the central axis of the first transfer chamber 32. The second rotary plate 35c of the second transfer chamber 34 receives the substrate to be processed of the susceptor 70 located in the first process chamber 32, The second rotary plate 33c of the first transfer chamber 32 enters into the first process chamber 52 to transfer the substrate to be processed to the susceptor 70 have. Therefore, the transfer speed between the process and the process for the process can be improved and the efficiency of the process can be increased.

제 3 이송챔버(36)는 제 2이송 챔버(34)의 중심축과 다른 사선에 위치된다. 제 3 이송 챔버(36)의 제 3회전 플레이트제(37c)는 제 2공정 챔버(54)에 위치된 서셉터(70)의 피처리 기판을 인계 받은 후 다음 공정으로 진행을 위하여 제 3회전 플레이트제(37c)가 복귀를 시작하면 제 2이송 챔버(54)의 제 2회전 플레이트(35c)가 제 2공정 챔버(54) 내부로 진입하여 공정을 받을 피처리 기판을 서셉터(70)로 인계할 수 있다. 여기서 제 2, 3이송 챔버(34,36)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 2,3 공정 챔버(52,54)의 시간을 단축하기 위하여 진공상태로 만들거나 대기압상태로 피처리 기판을 이송할수 있다.The third transfer chamber 36 is located at a diagonal line different from the central axis of the second transfer chamber 34. The third rotating plate 37c of the third transfer chamber 36 takes over the substrate to be processed of the susceptor 70 located in the second process chamber 54, The second rotary plate 35c of the second transfer chamber 54 enters the second process chamber 54 and the substrate to be processed is transferred to the susceptor 70 can do. Here, the second and third transfer chambers 34, 36 may be configured to perform various substrate processing operations. For example, in order to shorten the time of the second and third process chambers 52 and 54, the processed substrate can be vacuumed or transferred to the atmospheric pressure state.

제 2,3 공정 챔버(52,54)는 기판 반송 장치(31c)의 제 2,3 회전 플레이트 암(35c,37c)들의 회전 경로상에 위치되는 서셉터(70)를 갖는다. 제 1,2,3 이송 챔버(32,34,36)의 접하는 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The second and third process chambers 52 and 54 have the susceptor 70 positioned on the rotation path of the second and third rotary plate arms 35c and 37c of the substrate transfer device 31c. A slit valve 18 is installed on the side surfaces of the first, second and third transfer chambers 32, 34 and 36 for inputting and outputting the substrates W, and the other side of the first, second and third transfer chambers 32, Another slit valve is provided and has a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31c)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖는다.The susceptor 70 has lift pins 72 for taking over / transferring the substrate w from the substrate transfer device 31c.

도 5와 도6를 참조하면, 본 발명의 또 다른 변형 예에 따른 인라인 기판처리 시스템은 상술한 바람직한 실시예와 기본적으로 동일한 구조를 갖는다. 다만 공정 환경과 공정시간에 따라 서셉터의 수량과 반송장치의 수량이 조절된다.. 5 and 6, the inline substrate processing system according to another modification of the present invention has basically the same structure as the above-described preferred embodiment. However, the number of susceptors and the number of conveying devices are controlled according to the process environment and process time.

제 1이송 챔버(32)는 제 1 로드락 챔버에서 이송되는 피처리 기판을 반송하 기 위한 것이다. 반송장치(31d)는 2개의 제1 회전 플레이트 암(33d)들로 1개 또는 2개가 구성된다. 제1 회전 플레이트 암(33d)는 시계 방향으로 이동하여 제 1공정 챔버(32)에 위치된 1개의 서셉터(70)에 피처리 기판을 인계한다. 여기서 제 1이송 챔버(32)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 공정 챔버의 공정 시간을 단축하기 위하여 대기압을 진공상태로 만들거나 진공상태를 대기압상태로 만드는 작업을 수행할 수도 있다. 상기 회전 플레이트는 1장의 피처리 기판과 2장의 처리 후 기판을 동시에 교환할 수 있도록 총 1개의 앤드 이펙터를 각각 구비한 더블 암 구조를 갖는 로봇으로 구성될 수 있다. 또는, 적어도 한 개의 엔드 이펙터(end effector)를 구비한 싱글 암 구조의 로봇을 사용할 수도 있다.The first transfer chamber 32 is for transferring the substrate to be processed transferred from the first load lock chamber. The transfer device 31d is constituted by one or two first rotating plate arms 33d. The first rotating plate arm 33d moves in the clockwise direction to transfer the substrate to the susceptor 70 located in the first process chamber 32. [ Wherein the first transfer chamber 32 may be configured to perform various substrate processing operations. For example, in order to shorten the processing time of the process chamber, the atmospheric pressure may be set to a vacuum state or the vacuum state may be set at atmospheric pressure. The rotary plate may be configured as a robot having a double arm structure having a total of one end effector so that one substrate to be processed and two substrates after processing can be exchanged at the same time. Alternatively, a robot having a single arm structure having at least one end effector may be used.

제 1공정 챔버(52)는 기판 반송 장치(31d)의 제 1회전 플레이트 암(33d)들의 회전 경로 상에 위치되는 1개의 서셉터(70)를 갖는다. 제 1이송 챔버(32)와 접하는 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The first process chamber 52 has one susceptor 70 positioned on the rotation path of the first rotary plate arm 33d of the substrate transfer device 31d. A slit valve is provided on the side surface contacting with the first transfer chamber 32 for inputting and outputting the substrates W and another slit valve is provided on the other side so as to take over the substrate to be processed in the next step, .

서셉터(70)는 기판 반송 장치(31d)로부터 기판(w)을 인수/인계하기 위한 리프트핀(72)들을 갖는다. 후술할 제 2공정 챔버(54)의 작업시간이 제 1공정챔버(52) 시간의 약 2배 시간이 걸릴 경우 빠른 공정 작업이 가능한 제 1공정챔버(52)에 위치되는 서셉터(70)의 개수는 1개가 된다. 도6을 참조하면, PM1_S1이 TM1을 이용하여 PM2_S1와 PM2_S2의 서셉터(70)로 같은 시간당 동일 조건으로 이동 하는 것을 볼 수 있다. 또한 PM2_S1와 PM2_S2는 PM3_S1로 이동할 때 처리 공정 처리 시간이 2배 빠르므로 PM3_S1는 1개만 존재해도 연속으로 진행 되는 것을 보여 주는 시간당 공정 프로세스 차트이다. 이러하듯 각 공정시간에 따라 서셉터(70)의 위치를 가변적으로 조절할 수 있어서 연속적으로 공정이 진행될 수 있으며 장비의 효율을 높일 수 있다. The susceptor 70 has lift pins 72 for taking over / taking over the substrate w from the substrate transfer device 31d. When the operation time of the second process chamber 54, which will be described later, takes about twice the time of the first process chamber 52, the temperature of the susceptor 70 located in the first process chamber 52, The number is one. Referring to FIG. 6, it can be seen that PM1_S1 moves to the susceptor 70 of PM2_S1 and PM2_S2 under the same condition per hour using TM1. In addition, PM2_S1 and PM2_S2 are processing process time of PM2_S1 is twice as fast as moving to PM3_S1, so PM3_S1 is a process process chart showing that PM3_S1 continues to exist even if there is only one PM3_S1. As described above, since the position of the susceptor 70 can be variably controlled according to each process time, the process can be continuously performed and the efficiency of the equipment can be increased.

제 2,3,4 이송 챔버(34,36,38)는 제 1,2,3,4 공정 챔버(52,54,56,58)들 사이에서 각 공정 시간에 따라 기판(w)을 반송하기 위한 것이다. 제 2, 3,4이송 챔버(34,36,38)는 내부에 반송장치(31d)가 구비되어 한번에 최대 4장의 기판(w)을 적재 후 2장을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31d)는 2개의 제 2,3,4 회전 플레이트 암(35d,37d,39d)들로 2개가 구성된다. 여기서 제 2,3,4 회전 플레이트 암(35d,37d,39d)는 시계방향으로 이동하여 제 2,3,4 공정 챔버(52,54,56,58)에 위치된 서셉터(70)에 피처리 기판을 인계한다The second, third, and fourth transfer chambers 34, 36, and 38 transfer the substrate w between the first, second, third, and fourth process chambers 52, 54, . The second, third, and fourth transfer chambers 34, 36, and 38 are each provided with a transfer device 31d to load up to four wafers at a time and then load and unload two sheets with the susceptor 70 can do. The transfer device 31d is constituted by two second, third, and fourth rotary plate arms 35d, 37d, and 39d. Here, the second, third, and fourth rotary plate arms 35d, 37d, and 39d move in the clockwise direction to move the susceptor 70 located in the second, third, and fourth process chambers 52, 54, 56, The processed substrate is taken over

여기서 제 2,3,4 이송 챔버(34,36,38)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 2,3,4 공정 챔버(52,54,56,58)의 시간을 단축하기 위하여 진공상태인 체로 기판을 이송한다. Here, the second, third, and fourth transfer chambers 34, 36, 38 may be configured to perform various substrate processing operations. For example, in order to shorten the time of the second, third, and fourth process chambers 52, 54, 56, and 58, the substrate is transferred to a vacuum state sieve.

제 2,3,4 공정 챔버(52,54,56,58)는 기판 반송 장치(31d)의 제 2,3,4 회전 플레이트 암(35d,37d,39d)들의 회전 경로상에 위치되는 서셉터(70)를 갖는다. 제 2,3,4 이송 챔버(34,36,38)의 접하는 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The second, third, and fourth process chambers 52, 54, 56, 58 are disposed on the rotation path of the second, third, and fourth rotary plate arms 35d, 37d, 39d of the substrate transfer device 31d, (70). A slit valve 18 is installed on the side surfaces of the second, third, and fourth transfer chambers 34, 36, and 38 for inputting and outputting the substrates W, and the other side of the second, third, and fourth transfer chambers 34, Another slit valve is provided and has a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31d)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖는다.The susceptor 70 has lift pins 72 for taking over / taking over the substrate w from the substrate transfer device 31d.

도 7내지 도 9는 로드락 챔버의 위치에 따른 다양한 변형들을 보여 주는 인라인 기판처리 시스템 도면이다. Figs. 7-9 are diagrams of an inline substrate processing system showing various variations depending on the position of the load lock chamber. Fig.

도 7을 참조하면, 본 발명의 바람직한 변형예에 따른 인라인 기판 처리 시스템은 상술한 바람직한 실시 예와 기본적으로 동일한 구조를 갖는다. 다만 캐리어에서 로딩 및 언 로딩 하는 제1 로드락 챔버(12)의 위치가 일 측에만 위치된다. Referring to FIG. 7, the inline substrate processing system according to a preferred modification of the present invention has basically the same structure as the above-described preferred embodiment. The position of the first load lock chamber 12 for loading and unloading in the carrier is located only on one side.

제 1 로드락 챔버(12)는 캐리어로부터 피처리 기판을 로딩 및 언 로딩하는 대기압 제 1반송 로봇(16)을 통하여 제 1이송 챔버(32)로 피처리 기판을 이송한다. 제 1이송 챔버(32)는 제 1공정챔버(52)의 공정 작업 시간을 줄이기 위하여 대기압을 진공상태로 바꿔 준다. 제 1공정 챔버(52)의 반송 장치(31)는 제 1공정 챔버의 서셉터(70) 위에 피처리 기판을 인계한다. The first load lock chamber 12 transfers the substrate to the first transfer chamber 32 through the atmospheric first transfer robot 16 for loading and unloading the substrate from the carrier. The first transfer chamber 32 converts the atmospheric pressure to a vacuum state to reduce the process time of the first process chamber 52. The transfer device 31 of the first process chamber 52 takes over the substrate to be processed on the susceptor 70 of the first process chamber.

제 1공정 챔버(52)는 기판 반송 장치(31)의 제1 회전 플레이트 암(33)들의 회전 경로상에 위치되는 2개의 서셉터(70)를 갖는다. 제 1로드락 챔버(12)또는 제 1이송 챔버(32)와 접하는 일 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The first process chamber 52 has two susceptors 70 positioned on the rotation path of the first rotating plate arms 33 of the substrate transfer device 31. A slit valve 18 is installed on one side of the first load lock chamber 12 or the first transfer chamber 32 for inputting and outputting the substrates W and the other side of the substrate W is transferred to the next process Another slit valve is provided to allow substrate entry and exit on both sides.

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 제 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 갖는다.  The susceptor 70 has lift pins 72 for taking in / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31)의 제 1회전 플레이트 암(33)들()의 설치 높이에 따라 조정될 수 있다. The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. Here, the lift height of the lift pins 72 can be adjusted according to the installation height of the first rotary plate arm 33 () of the substrate transfer device 31.

제 2,3 이송 챔버(54,56)는 제 1,2,3 공정 챔버(52,54,56)들 사이에서 2매의 기판(w)을 반송하기 위한 것이다. 제 2, 3 이송 챔버(34,36)는 내부에 반송장치(31)가 구비되어 한번에 2장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31)는 2개의 제 2,3회전 플레이트 암(35,37)들로 구성된다. 여기서 제 2,3 이송 챔버(54,56) 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 2,3 공정 챔버(54,56)의 시간을 단축하기 위하여 진공상태인 체로 기판을 이송한다.The second and third transfer chambers 54 and 56 are for transferring the two wafers w between the first, second and third process chambers 52, 54 and 56. The second and third transfer chambers 34 and 36 are provided with a transfer device 31 therein to load and unload the two wafers w at a time with the susceptor 70. The conveying device 31 is composed of two second and third rotary plate arms 35 and 37. Wherein the second and third transfer chambers 54,56 may be configured to perform various substrate processing operations. For example, in order to shorten the time of the second and third process chambers 54 and 56, the substrate is transferred to a vacuum state sieve.

제 2,3공정 챔버(54,56)는 기판 반송 장치(31)의 제 2,3회전 플레이트 암(35,37)들의 회전 경로상에 위치되는 2개의 서셉터(70)를 갖는다. 제 2,3이송 챔버(34,36)의 접촉 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The second and third process chambers 54 and 56 have two susceptors 70 positioned on the rotation path of the second and third rotary plate arms 35 and 37 of the substrate transfer device 31. A slit valve 18 is provided on the contact side surfaces of the second and third transfer chambers 34 and 36 for inputting and outputting the substrates W and another slit valve 18 is provided on the other side, And has a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 제 리 프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 갖는다.  The susceptor 70 has lift pins 72 for taking in / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set differently for takeover. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31)의 제 2,3회전 플레이트 암들(35,37)의 설치 높이에 따라 조정될 수 있다. The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. Here, the lift height of the lift pins 72 can be adjusted according to the installation height of the second and third rotary plate arms 35, 37 of the substrate transfer device 31.

제 4,5이송 챔버(38,40)는 제 3공정 챔버(56)에서 공정이 완료된 피처리 기판을 이송이 용이하도록 정위치 시킨다. 제 2 로드락 챔버(14)는 대기압 또는 진공 상태에서 동작되는 제 2반송 로봇(17)을 사용하여 제 4이송 챔버(38)에 대기중인 피처리 기판을 인계 받아 제 5이송 챔버(40)로 이송한다. The fourth and fifth transfer chambers 38 and 40 position the processed substrate in the third process chamber 56 to facilitate transfer. The second load lock chamber 14 takes over the substrate to be processed waiting in the fourth transfer chamber 38 by using the second transfer robot 17 operated in an atmospheric pressure or a vacuum state and transfers it to the fifth transfer chamber 40 Transfer.

제 5,6,7공정 챔버(58,60,62)는 기판 반송 장치(31)의 제 5,6,7회전 플레이트(41,43,45) 암들의 회전 경로상에 위치되는 2개의 서셉터(70)를 갖는다. 제 5,6,7 이송 챔버(40,42,44)의 접촉 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The fifth, sixth, and seventh process chambers 58, 60, and 62 are two susceptors positioned on the rotation path of the fifth, sixth, and seventh rotation plates 41, (70). A slit valve 18 is installed on the contact side surfaces of the fifth, sixth, and seventh transfer chambers 40, 42, and 44 for inputting and outputting the substrates W, and the other side of the transfer chambers 40, Another slit valve is provided and has a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구()에서 가장 가까운 제 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 갖는다. The susceptor 70 has lift pins 72 for taking in / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entrance () then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여 기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31)의 제 ,5,6,7회전 플레이트 암(41,43,45)들의 설치 높이에 따라 조정될 수 있다. The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. In this case, the lift height of the lift pins 72 can be adjusted according to the installation height of the fifth, sixth, and seventh rotary plate arms 41, 43, 45 of the substrate transfer device 31.

제 6,7이송 챔버(42,44)는 제 5,6,7 공정 챔버(58,60,62)들 사이에서 2매의 기판(w)을 반송하기 위한 것이다. 제 6, 7 이송 챔버(43,45)는 내부에 반송장치(31)가 구비되어 한번에 2장의 피처리 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31)는 2개의 제 6,7 회전 플레이트 암(43,45)들로 구성된다. 여기서 제 6,7이송 챔버(42,44)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 5,6,7 공정 챔버(58,60,62)의 공정 시간을 단축하기 위하여 진공상태인 체로 기판을 이송한다.The sixth, seventh transfer chambers 42, 44 are for transferring two substrates w between the fifth, sixth and seventh process chambers 58, 60, 62. The sixth and seventh transfer chambers 43 and 45 are provided with a transfer device 31 to load and unload the two wafers W to be processed at a time with the susceptor 70. The transfer device 31 is composed of two sixth and seventh rotary plate arms 43 and 45. Wherein the sixth and seventh transfer chambers 42,44 may be configured to perform various substrate processing operations. For example, in order to shorten the processing time of the fifth, sixth, and seventh process chambers 58, 60, 62, the substrate is transferred to a vacuum state sieve.

제 8이송챔버(46)는 제 7공정 챔버(62)의 완료된 피처리 기판을 인계받아 제 8회전 플레이트가(47) 정 위치에 도착하면, 제 1로드락 챔버(12)의 대기압 제 1반송로봇(16)가 피처리 기판을 인수하여 캐리어에 적재한다. The eighth transfer chamber 46 takes over the completed substrate of the seventh process chamber 62 and reaches the first rotary lock plate 12 at the atmospheric pressure first transferring position of the first load lock chamber 12, The robot 16 takes over the substrate to be processed and loads it on the carrier.

도 8을 참조하면, 본 발명의 바람직한 변형예에 따른 인라인 기판 처리 시스템은 상술한 바람직한 실시 예와 기본적으로 동일한 구조를 갖는다. 다만 캐리어에서 로딩 및 언 로딩 하는 제 1,2 로드락 챔버가 양측에 위치된다. Referring to FIG. 8, the inline substrate processing system according to a preferred modification of the present invention has basically the same structure as the above-described preferred embodiment. However, the first and second load lock chambers for loading and unloading from the carrier are located on both sides.

제 1이송 챔버(32)는 제 1로드락 챔버(12)와 2매의 기판(w)을 각각 처리하기 위한 제 1 공정 챔버(62) 사이에서 2매의 기판(w)을 반송하기 위한 것이다.The first transfer chamber 32 is for transferring two substrates w between the first load lock chamber 12 and the first process chamber 62 for processing two substrates w respectively .

제 1이송 챔버(32)는 내부에 반송장치(31)가 구비되어 한번에 2장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31)는 2개의 제 1회전 플레이트 암(33)들로 구성된다. 여기서 제 1이송 챔버(32)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 1공정 챔버(62)의 공정 시간을 단축하기 위하여 대기압을 진공상태로 만들거나 진공상태를 대기압상태로 만드는 작업을 수행할 수도 있다.The first transfer chamber 32 is provided with a transfer device 31 therein to load and unload two substrates w with the susceptor 70 at a time. The transfer device 31 is constituted by two first rotating plate arms 33. [ Wherein the first transfer chamber 32 may be configured to perform various substrate processing operations. For example, in order to shorten the process time of the first process chamber 62, the atmospheric pressure may be set to a vacuum state or the vacuum state may be set at atmospheric pressure.

제 1공정 챔버(62)는 기판 반송 장치(31)의 제 1회전 플레이트 암(33)들의 회전 경로 상에 위치되는 2개의 서셉터(70)를 갖는다. 제 1이송 챔버(32)의 일 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다. The first process chamber 62 has two susceptors 70 positioned on the rotation path of the first rotating plate arms 33 of the substrate transfer device 31. A slit valve 18 is installed on one side of the first transfer chamber 32 for inputting / outputting the substrates W and another slit valve is provided on the other side so as to transfer the substrate to the next process. And has a substrate entrance.

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 제 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 갖는다.  The susceptor 70 has lift pins 72 for taking in / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31)의 제 1회전 플레이트 암들(33)의 설치 높이에 따라 조정될 수 있다. The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. Here, the lift height of the lift pins 72 can be adjusted in accordance with the installation height of the first rotation plate arms 33 of the substrate transfer device 31.

제 2,3 이송 챔버(34,36)는 제 1,2,3 공정 챔버(62,64,66)들 사이에서 2매의 기판(w)을 반송하기 위한 것이다. 제 2, 3 이송 챔버(34,36)는 내부에 반송장치(31)가 구비되어 한번에 2장의 기판(w)을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31)는 2개의 제 2,3 회전 플레이트 암(35,37)들로 구성된다. 여기 서 제 2,3 이송 챔버(34,36)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 제 2,3 공정 챔버(64,66)의 시간을 단축하기 위하여 진공상태인 체로 기판을 이송한다.The second and third transfer chambers 34 and 36 are for transferring two substrates w between the first, second and third process chambers 62, 64 and 66. The second and third transfer chambers 34 and 36 are provided with a transfer device 31 therein to load and unload the two wafers w at a time with the susceptor 70. The conveying device 31 is composed of two second and third rotary plate arms 35 and 37. Here, the second and third transfer chambers 34 and 36 may be configured to perform various substrate processing operations. For example, in order to shorten the time of the second and third process chambers 64 and 66, the substrate is transferred to a vacuum state sieve.

제 2,3 공정 챔버(64,66)는 기판 반송 장치(31)의 제 2,3회전 플레이트 암(35,37)들의 회전 경로 상에 위치되는 2개의 서셉터(70)를 갖는다. 제 2,3이송 챔버(34,36)의 접촉 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브(18)가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다.The second and third process chambers 64 and 66 have two susceptors 70 positioned on the rotation path of the second and third rotary plate arms 35 and 37 of the substrate transfer device 31. A slit valve 18 is provided on the contact side surfaces of the second and third transfer chambers 34 and 36 for inputting and outputting the substrates W and another slit valve 18 is provided on the other side, And has a substrate entry / exit port on both sides.

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계 하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 제 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 갖는다.  The susceptor 70 has lift pins 72 for taking in / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치(31)의 제 2,3회전 플레이트 암들(35,37)의 설치 높이에 따라 조정될 수 있다. The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. Here, the lift height of the lift pins 72 can be adjusted according to the installation height of the second and third rotary plate arms 35, 37 of the substrate transfer device 31.

제 4이송 챔버(38)는 제 3공정 챔버(56)에서 공정이 완료된 피처리 기판을 이송이 용이하도록 정위치 시킨다. 제 2 로드락 챔버(14)는 대기압에서 동작되는 대기압 제 2반송 로봇(17)을 사용하여 제 4이송 챔버(38)에 각각 대기중인 피처리 기판을 인계 받아 캐리어로 반출한다. The fourth transfer chamber 38 positions the processed substrate in the third process chamber 56 so that the processed substrate is easily transferred. The second load lock chamber 14 takes over the substrates to be processed waiting in the fourth transfer chamber 38 by using the atmospheric pressure second transfer robot 17 operating at atmospheric pressure and takes them out as carriers.

도 9는 버퍼링 챔버가 추가된 또 다른 변형을 보여 주는 인라인 기판처리 시스템 을 나타낸 도면이다. Figure 9 shows an in-line substrate processing system showing a further variant with a buffering chamber added.

도 9을 참조하면, 본 발명의 바람직한 변형예에 따른 인라인 기판 처리 시스템은 상술한 바람직한 실시 예와 기본적으로 동일한 구조를 갖는다. 다만 버퍼링 챔버가 추가되어 구성된다. Referring to FIG. 9, the inline substrate processing system according to a preferred modification of the present invention has basically the same structure as the above-described preferred embodiment. Only a buffering chamber is added.

대기압 제 1반송 로봇(16)은 캐리어로부터 일회 동작으로 2장의 피처리 기판(W)을 반출하여 버퍼링 챔버(80)로 반입한다. 이를 위하여 대기압 제 1반송 로봇(16)은 2장의 처리 전 기판과 2장의 처리 후 기판을 동시에 교환할 수 있도록 총 2개의 앤드 이펙터를 각각 구비한 더블 암 구조를 갖는 로봇으로 구성될 수 있다. 또는, 적어도 한 개의 엔드 이펙터(end effector)를 구비한 싱글 암 구조의 로봇을 사용할 수도 있다. 대기압 반송 로봇은 좌우로 이동 가능한 트랙을 구비할 수도 있다. 이와 같이 대기압 반송 로봇은 싱글 암 또는 더블 암 구조의 방식 이외에도 통상적인 반도체 제조 공정에서 사용되는 다양한 로봇들이 사용될 수 있다. The atmospheric pressure first transfer robot 16 takes out the two wafers W to be processed from the carrier in a single operation and transfers them to the buffering chamber 80. For this purpose, the atmospheric first transfer robot 16 may be configured as a robot having a double arm structure, each of which has a total of two end effectors so that two pre-processed substrates and two processed substrates can be exchanged at the same time. Alternatively, a robot having a single arm structure having at least one end effector may be used. The atmospheric pressure conveying robot may have a track that can be moved laterally. As described above, the atmospheric pressure conveying robot can use various robots used in a conventional semiconductor manufacturing process in addition to the single arm or double arm structure.

버퍼링 챔버(80)는 상기 대기압 제 1반송 로봇(16)으로부터 한 개 이상의 피처리 기판을 받는다. 버퍼링 챔버(80)는 대기압을 진공상태로 바꾸는 작업을 진행함으로써, 공정 작업시간을 줄이는 효과가 있다. 또한 제 1 이송챔버(32)로 피처리 기판을 인계한다.The buffering chamber 80 receives one or more substrates to be processed from the atmospheric first transfer robot 16. The buffering chamber 80 is operated to change the atmospheric pressure to a vacuum state, thereby reducing the processing time. And the substrate to be processed is handed over to the first transfer chamber 32.

제 1이송 챔버(32)는 버퍼링 챔버(80)와 2매의 기판(w)을 각각 처리하기 위한 제 1 공정 챔버(52) 사이에서 2매의 기판(w)을 반송하기 위한 것이다.The first transfer chamber 32 is for transferring two substrates w between the buffering chamber 80 and the first process chamber 52 for processing two substrates w respectively.

제 1이송 챔버(52)는 내부에 반송장치(31)가 구비되어 한번에 2장의 기판(w) 을 서셉터(70)로 로딩 및 언 로딩 할 수 있다. 반송 장치(31)는 2개의 제 1 회전 플레이트 암(33)들로 구성된다. 여기서 제 1이송 챔버(32)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어 공정 챔버의 공정 시간을 단축하기 위하여 대기압을 진공상태로 만들거나 진공상태를 대기압상태로 만드는 작업 등을 수행할 수도 있다.The first transfer chamber 52 is provided with a transfer device 31 therein to load and unload two substrates w with the susceptor 70 at a time. The transfer device 31 is constituted by two first rotating plate arms 33. [ Wherein the first transfer chamber 32 may be configured to perform various substrate processing operations. For example, in order to shorten the processing time of the process chamber, the atmospheric pressure may be set to a vacuum state, or a vacuum state may be set at atmospheric pressure.

제 1공정 챔버(52)는 기판 반송 장치(31)의 제 1회전 플레이트 암(33)들의 회전 경로상에 위치되는 2개의 서셉터(70)를 갖는다. 제 1이송 챔버(32)의 일 측면에는 피처리 기판(w)들의 입출력을 위해 슬릿 밸브가 설치고 타 측면은 다음 공정으로 피처리 기판을 인계할 수 있도록 또 다른 슬릿 밸브가 설치되어 양측으로 기판 출입구를 갖는다. The first process chamber 52 has two susceptors 70 positioned on the rotation path of the first rotating plate arms 33 of the substrate transfer device 31. A slit valve is provided on one side of the first transfer chamber 32 for inputting / outputting the substrates W and another slit valve is provided on the other side so as to transfer the substrate to the next process. .

서셉터(70)는 기판 반송 장치(31)로부터 기판(w)을 인수/인계하기 위한 리프트핀(72)들을 갖으며, 서셉터(70)의 리프트핀(72)들은 기판(w)의 인수/인계를 위하여 높이가 서로 다르게 설정된다. 예컨대, 기판 출입구에서 가장 가까운 제 리프트 핀(72)는 그다음 리프트 핀보다 낮은 높이를 갖는다.  The susceptor 70 has lift pins 72 for taking in / transferring the substrate w from the substrate transfer device 31 and the lift pins 72 of the susceptor 70 are connected to the substrate w / Height is set to be different for taking over. For example, the lift pin 72 closest to the substrate entry port then has a lower height than the lift pin.

이러한 리프트 핀(72)들의 상승 높이가 상이하게 설정된 것은 기판 반송 장치(31)와의 기판 인수/인계과정에서의 간섭 및 충돌을 예방하기 위한 것이다. 여기서, 리프트 핀(72)들의 상승 높이는 기판 반송 장치()의 제 1회전 플레이트 암들(33)의 설치 높이에 따라 조정될 수 있다. 이런 구조가 교대로 반복되는 인라인 기파처리 시스템은 본 발명의 기술적 사상의 범위 내에서 또 다른 형태로 변형이 가능할 것이다.The elevation height of the lift pins 72 is set to be different to prevent interference and collision in the substrate transfer / take-in process with the substrate transfer apparatus 31. Here, the lift height of the lift pins 72 can be adjusted in accordance with the installation height of the first rotary plate arms 33 of the substrate transfer apparatus. An inline wave processing system in which such a structure is repeated alternately may be modified into another form within the technical scope of the present invention.

이상에서 설명된 본 발명의 인라인 기판처리 시스템의 실시예는 예시적인 것에 불과하며, 본 발명이 속한 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 잘 알 수 있을 것이다. 그럼으로 본 발명은 상기의 상세한 설명에서 언급되는 형태로만 한정되는 것은 아님을 잘 이해할 수 있을 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다. 또한, 본 발명은 첨부된 청구범위에 의해 정의되는 본 발명의 정신과 그 범위 내에 있는 모든 변형물과 균등물 및 대체물을 포함하는 것으로 이해되어야 한다.The embodiments of the inline substrate processing system of the present invention described above are merely illustrative and those skilled in the art will appreciate that various modifications and equivalent embodiments are possible without departing from the scope of the present invention. You will know. Accordingly, it is to be understood that the present invention is not limited to the above-described embodiments. Accordingly, the true scope of the present invention should be determined by the technical idea of the appended claims. It is also to be understood that the invention includes all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.

본 발명의 인라인 기판처리 시스템은 반도체 장치의 제조를 위한 다양한 제조 공정에서 유용하게 사용될 수 있다. 특히, 복수의 기판을 처리하는 멀티 프로세싱 시스템으로 장비의 효율성을 향상 하고자 하는 기판 처리 시스템 에 매우 적합하다.The in-line substrate processing system of the present invention can be usefully used in various manufacturing processes for manufacturing semiconductor devices. In particular, it is well suited for substrate processing systems that seek to improve the efficiency of equipment with multi-processing systems that process multiple substrates.

도 1은 본 발명의 바람직한 실시예에 따른 인라인 기판처리 시스템의 평면도이다. 1 is a top view of an inline substrate processing system according to a preferred embodiment of the present invention.

도 2내지 도 5는 서셉터의 위치와 반송 장치에 따른 다양한 변형들을 간략히 보여 주는 도면이다. FIGS. 2 to 5 are views schematically showing various positions of the susceptor and various modifications according to the transporting apparatus.

도6은 도 5의 시간당 피처리 기판의 공정 프로세스를 나타낸 도면 이다.6 is a view showing a process process of the substrate to be processed per hour in Fig.

도 7내지 도 8는 로드락 챔버의 위치에 따른 다양한 변형들을 보여 주는 인라인 기판처리 시스템 도면이다.7 to 8 are diagrams of an inline substrate processing system showing various modifications according to the position of the load lock chamber.

도 9는 버퍼링 챔버가 추가된 또 다른 변형을 보여 주는 인라인 기판처리 시스템 도면이다.Figure 9 is a diagram of an inline substrate processing system showing another variant in which a buffering chamber is added.

*도면의 주요 부분에 대한 부호의 설명*Description of the Related Art [0002]

10: 로드락 챔버 12: 제 1로드락 챔버10: load lock chamber 12: first load lock chamber

14: 제 2로드락 챔버 15: 반송로봇14: second load lock chamber 15: conveying robot

16: 제1 반송 로봇 17: 제2 반송 로봇 16: first conveying robot 17: second conveying robot

18: 슬릿 밸브 20: 피처리 기판18: slit valve 20: substrate to be processed

30: 이송 챔버 31: 반송장치30: transfer chamber 31: transfer device

32: 제 1이송 챔버 33: 제 1회전 플레이트 암32: first transfer chamber 33: first rotating plate arm

34: 제 2이송 챔버 35: 제 2 회전 플레이트 암34: second transfer chamber 35: second rotating plate arm

36: 제 3이송 챔버 37: 제 3 회전 플레이트 암36: third transfer chamber 37: third rotating plate arm

38: 제 4이송 챔버 39: 제 4 회전 플레이트 암38: Fourth transfer chamber 39: Fourth rotary plate arm

40: 제 5이송 챔버 41: 제 5 회전 플레이트 암40: fifth transfer chamber 41: fifth rotating plate arm

42: 제 6이송 챔버 43: 제 6 회전 플레이트 암42: Sixth transfer chamber 43: Sixth rotary plate arm

44: 제 7이송 챔버 45: 제 7 회전 플레이트 암44: seventh transfer chamber 45: seventh rotary plate arm

46: 제 8이송 챔버 47: 제 8 회전 플레이트 암46: eighth transfer chamber 47: eighth rotary plate arm

50: 공정 챔버 52: 제 1공정 챔버50: process chamber 52: first process chamber

54: 제 2공정 챔버 56: 제 3공정 챔버54: second process chamber 56: third process chamber

58: 제 4공정 챔버 60: 제 5공정 챔버58: fourth process chamber 60: fifth process chamber

62: 제 6공정 챔버 64: 제 7공정 챔버62: sixth process chamber 64: seventh process chamber

70: 서셉터 72: 리프트 핀70: susceptor 72: lift pin

80: 버퍼링 챔버 100: 인라인 기판처리 시스템80: buffering chamber 100: inline substrate processing system

Claims (8)

하나 이상의 개폐 출입구를 갖고 피처리 기판을 지지하는 기판 지지대가 설치된 공정 챔버;A process chamber having a substrate support having at least one opening and closing port and supporting a substrate to be processed; 일 측이 개폐 출입구와 접하고 상기 피처리 기판을 이송하기 위한 반송 장치가 구비되는 이송 챔버;를 포함하되,And a transfer chamber in which one side contacts the opening and closing port and is equipped with a transfer device for transferring the substrate to be processed, 상기 반송 장치는 축을 중심으로 회전하는 회전 플레이트가 하나 이상 설치되고, The transfer device may include at least one rotation plate that rotates about an axis, 상기 이송 챔버는 반송 장치의 축이 서로 사선으로 위치되며 상기 회전 플레이트가 피처리 기판을 이송하도록 위치되고, Wherein the transfer chamber is positioned such that axes of the transfer device are positioned diagonally with respect to each other and the rotary plate is positioned to transfer the substrate to be processed, 상기 공정 챔버와 이송 챔버가 공정 순서에 따라서 교대로 반복되어 구성되는 것을 특징으로 하는 인라인 기판처리 시스템.Wherein the process chamber and the transfer chamber are alternately repeated in accordance with a process order. 제 1항에 있어서 The method of claim 1, wherein 상기 기판 지지대는 서셉터이고, 공정 시간에 맞추어 하나 이상 설치되는 것을 특징으로 하는 인라인 기판처리 시스템 .Wherein the substrate support is a susceptor, and at least one of the susceptors is installed in accordance with a process time. 삭제delete 삭제delete 제 1항 또는 제 2항에 있어서, 3. The method according to claim 1 or 2, 상기 이송 챔버의 일단 또는 양 끝단에 위치되며, 상기 피처리 기판을 로딩 및 언 로딩하는 로드락 챔버가 더 포함되는 것을 특징으로 하는 인라인 기판처리 시스템Further comprising a load lock chamber located at one or both ends of the transfer chamber for loading and unloading the substrate to be processed. 제 5항에 있어서,6. The method of claim 5, 상기 로드락 챔버와 이송챔버 사이에 트랜스퍼 챔버가 더 포함되는 것을 특징으로 하는 인라인 기판처리 시스템.Further comprising a transfer chamber between the load lock chamber and the transfer chamber. 제 6항에 있어서,The method according to claim 6, 상기 트랜스퍼 챔버는 진공 또는 대기압의 상태로 반복되는 것을 특징으로 하는 인라인 기판처리 시스템.Wherein the transfer chamber is repeated at a vacuum or an atmospheric pressure. 제 7항에 있어서 The method of claim 7, wherein 상기 로드락 챔버와 접촉 되고, 대기압을 진공 상태로 만들거나 진공상태를 대기압으로 만드는 진공 펌프가 구비되는 이송 챔버가 더 포함되는 것을 특징으로 하는 인라인 기판처리 시스템.Further comprising a transfer chamber in contact with the load lock chamber and having a vacuum pump to bring the atmospheric pressure to a vacuum or to bring the vacuum to atmospheric pressure.
KR20080073790A 2008-07-28 2008-07-28 In-line substrate processing system KR101492258B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR20080073790A KR101492258B1 (en) 2008-07-28 2008-07-28 In-line substrate processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20080073790A KR101492258B1 (en) 2008-07-28 2008-07-28 In-line substrate processing system

Publications (2)

Publication Number Publication Date
KR20100012419A KR20100012419A (en) 2010-02-08
KR101492258B1 true KR101492258B1 (en) 2015-02-13

Family

ID=42086649

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20080073790A KR101492258B1 (en) 2008-07-28 2008-07-28 In-line substrate processing system

Country Status (1)

Country Link
KR (1) KR101492258B1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284570A (en) * 1997-04-09 1998-10-23 Hitachi Ltd Semiconductor manufacturing device and manufacture of semiconductor integrated circuit device using it
KR20050094735A (en) * 2004-03-24 2005-09-28 주성엔지니어링(주) Apparutus for manufacturing substrate
KR20070063930A (en) * 2005-12-16 2007-06-20 엘지.필립스 엘시디 주식회사 Process apparatus
KR20070120556A (en) * 2005-06-10 2007-12-24 어플라이드 머티어리얼스, 인코포레이티드 Linear vacuum deposition system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284570A (en) * 1997-04-09 1998-10-23 Hitachi Ltd Semiconductor manufacturing device and manufacture of semiconductor integrated circuit device using it
KR20050094735A (en) * 2004-03-24 2005-09-28 주성엔지니어링(주) Apparutus for manufacturing substrate
KR20070120556A (en) * 2005-06-10 2007-12-24 어플라이드 머티어리얼스, 인코포레이티드 Linear vacuum deposition system
KR20070063930A (en) * 2005-12-16 2007-06-20 엘지.필립스 엘시디 주식회사 Process apparatus

Also Published As

Publication number Publication date
KR20100012419A (en) 2010-02-08

Similar Documents

Publication Publication Date Title
US10679879B2 (en) Substrate processing apparatus
KR100814238B1 (en) Substrate transfer equipment and substrate processing system using the same
KR101331288B1 (en) Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element
KR101024530B1 (en) Substrate processing apparatus, substrate processing method, and computer-readable storage medium
KR101015228B1 (en) Multi-chamber system for manufacturing semiconductor device and method for substrate processing in the system
KR100818044B1 (en) Substrate pedestal and substrate transfer equipment and substrate processing system and method using the same
KR100578134B1 (en) Multi chamber system
KR101461339B1 (en) Substrate processing apparatus and substrate processing method
US20200384636A1 (en) Dual pitch end effector robot apparatus, dual pitch load locks, systems, and methods
KR100781816B1 (en) Substrate transfer equipment and substrate processing system using the same
KR100665658B1 (en) Substrate processing apparatus
KR101413762B1 (en) Substrate processing system
KR101492258B1 (en) In-line substrate processing system
KR20100135626A (en) Substrate transfering apparatus and substrate processing system having the same
KR101383248B1 (en) High speed substrate processing system
KR100896472B1 (en) Multi-chamber system for manufacturing semiconductor device and method for treating substrate
KR100916141B1 (en) Aligner chamber and substrate processing equipment of multi chamber type having the same
KR100976193B1 (en) Substrate transfer apparatus
KR101486243B1 (en) Substrate transfer apparatus
KR101477034B1 (en) Substrate transfer apparatus
KR100980276B1 (en) High speed substrate processing system having buffer chamber
KR100919828B1 (en) Multi substrate processing system and substrate transfer equipment therefor
KR101578078B1 (en) Substrate processing system
KR20220108248A (en) Apparatus for transferring wafer
KR100859784B1 (en) Apparatus and method of treating a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200205

Year of fee payment: 6