KR20220108248A - Apparatus for transferring wafer - Google Patents

Apparatus for transferring wafer Download PDF

Info

Publication number
KR20220108248A
KR20220108248A KR1020210010260A KR20210010260A KR20220108248A KR 20220108248 A KR20220108248 A KR 20220108248A KR 1020210010260 A KR1020210010260 A KR 1020210010260A KR 20210010260 A KR20210010260 A KR 20210010260A KR 20220108248 A KR20220108248 A KR 20220108248A
Authority
KR
South Korea
Prior art keywords
wafer
load lock
transfer module
circular
wafer transfer
Prior art date
Application number
KR1020210010260A
Other languages
Korean (ko)
Other versions
KR102438612B1 (en
Inventor
오진호
변혜원
양희웅
Original Assignee
주식회사 라온테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 라온테크 filed Critical 주식회사 라온테크
Priority to KR1020210010260A priority Critical patent/KR102438612B1/en
Publication of KR20220108248A publication Critical patent/KR20220108248A/en
Application granted granted Critical
Publication of KR102438612B1 publication Critical patent/KR102438612B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Control And Other Processes For Unpacking Of Materials (AREA)

Abstract

A wafer transferring device comprises: a circulation type wafer transferring module; a wafer processing robot for a load lock; and a wafer processing robot for a process chamber. The circulation type wafer transferring module arranges the load lock in an outer direction of a first side, arranges a plurality of process chambers in outer directions of a second side and a third side, includes an opening area inside, and rotationally transfers a wafer. The wafer processing robot for a load lock is arranged in a firs position adjacent to the load lock in the opening area, and loads or unloads the wafer in the circulation type wafer transferring module from the load lock. The wafer processing robot for a process chamber is arranged in a second position which is continuously connected to the first position in the opening area, moves the wafer on the circulation type wafer transferring module to a corresponding process chamber, or moves a substrate on the circulation type wafer transferring module from the corresponding process chamber. The present invention can improve productivity.

Description

웨이퍼 이송 장치{APPARATUS FOR TRANSFERRING WAFER}Wafer transfer device {APPARATUS FOR TRANSFERRING WAFER}

본 발명은 웨이퍼 이송 기술에 관한 것으로, 보다 상세하게는 웨이퍼를 순환적으로 이송할 수 있고 프로세스 챔버를 추가 확장할 수 있는 웨이퍼 이송 장치에 관한 것이다.The present invention relates to a wafer transfer technology, and more particularly, to a wafer transfer apparatus capable of cyclically transferring a wafer and further expanding a process chamber.

반도체 제조 공정에서 대상물인 웨이퍼(wafer)를 처리하기 위해 웨이퍼를이송하는 웨이퍼 이송 장치가 이용된다. 통상, 반도체 소자는 기판인 웨이퍼 상에 여러 가지 물질을 박막형태로 증착하고 패터닝하여 구현한다. 이를 위해 웨이퍼에 증착, 식각, 세정 및 건조 등의 여러 공정 단계를 거친다.In a semiconductor manufacturing process, a wafer transfer device for transferring a wafer is used to process a wafer, which is an object. In general, semiconductor devices are implemented by depositing and patterning various materials in the form of thin films on a wafer, which is a substrate. To this end, the wafer undergoes several process steps such as deposition, etching, cleaning, and drying.

이때, 상기와 같은 공정을 수행하기 위해, 웨이퍼가 최적의 환경에서 공정이 수행될 수 있도록 공정이 수행되는 프로세스 챔버로 이송되거나 회송될 필요가 있다.In this case, in order to perform the above process, the wafer needs to be transferred or returned to the process chamber where the process is performed so that the process can be performed in an optimal environment.

이러한 웨이퍼 이송 장치는 상기와 같이, 공정이 수행되는 프로세스 챔버로 이송하기 위해, 로드 포트, 장비 전단부 모듈(EFEM), 로드락 모듈, 트랜스퍼 모듈 및 프로세스 챔버를 포함할 수 있다.As described above, the wafer transfer apparatus may include a load port, an equipment front end module (EFEM), a load lock module, a transfer module, and a process chamber to transfer the process chamber to the process chamber.

종래의 웨이퍼 이송 장치는 하나의 트랜스퍼 모듈을 둘러싸도록 복수의 프로세서 챔버가 결합되어, 복수의 프로세서 챔버에서 동시에 웨이퍼 처리 공정이 이루어질 수 있도록 한다. 즉, 트랜스퍼 모듈 내에 포함된 로봇이 로드락 모듈에서 복수의 프로세서 챔버 중 하나로 웨이퍼를 전달하는 방식으로 각 프로세스 챔버에 웨이퍼를 이송한다.In a conventional wafer transfer apparatus, a plurality of processor chambers are coupled to surround one transfer module, so that a wafer processing process can be simultaneously performed in the plurality of processor chambers. That is, the robot included in the transfer module transfers the wafer to each process chamber in a manner that transfers the wafer from the load lock module to one of the plurality of processor chambers.

그런데, 이렇게 트랜스퍼 모듈을 둘러싸도록 복수의 프로세스 챔버를 설치하는 경우, 트랜스퍼 모듈의 주변에 설치할 수 있는 프로세스 챔버의 수가 한정될 수 밖에 없다.However, when a plurality of process chambers are installed to surround the transfer module, the number of process chambers that can be installed around the transfer module is inevitably limited.

이렇게 하나의 트랜스퍼 모듈에 설치될 수 있는 프로세스 챔버의 개수가 한정됨에 따라 추가로 프로세스 챔버를 설치하고자 할 경우, 추가로 웨이퍼 이송 장치를 설치해야 하는 문제가 있다.As the number of process chambers that can be installed in one transfer module is limited in this way, when additional process chambers are to be installed, there is a problem in that a wafer transfer device needs to be additionally installed.

한국 등록특허공보 제10-0935537(2009.12.29.)호Korean Patent Publication No. 10-0935537 (2009.12.29.)

본 발명의 일 실시예에 따르면, 웨이퍼를 순환적으로 이송할 수 있고 프로세스 챔버를 추가 확장할 수 있는 웨이퍼 이송 장치를 제공하고자 한다.According to an embodiment of the present invention, it is an object of the present invention to provide a wafer transfer apparatus capable of cyclically transferring a wafer and further expanding a process chamber.

본 발명의 일 실시예에 따르면, 웨이퍼 이송 모듈 내에 복수의 로봇들을 배치하여 해당 위치를 기준으로 각 로봇이 담당하는 영역에 있는 로드락 또는 해당 프로세스 챔버로 웨이퍼를 이송할 수 있으며 이에 따라 프로세서 챔버를 용이하게 추가 배치할 수 있는 웨이퍼 이송 장치를 제공하고자 한다.According to an embodiment of the present invention, a plurality of robots are disposed in the wafer transfer module to transfer wafers to a load lock or a corresponding process chamber in the area each robot is responsible for based on the corresponding position, and thus the processor chamber is moved. An object of the present invention is to provide a wafer transfer device that can be easily additionally disposed.

본 발명의 일 실시예에 따르면, 이송 모듈 상에 웨이퍼를 한바퀴 이동하는 시간을 단축시켜 공정에 소요되는 시간을 줄일 수 있으며, 생산성을 향상시킬 수 있는 웨이퍼 이송 장치를 제공하고자 한다.According to an embodiment of the present invention, it is an object of the present invention to provide a wafer transfer apparatus capable of reducing the time required for a process by shortening the time for moving a wafer on a transfer module once, and improving productivity.

실시예들 중에서, 웨이퍼 이송 장치는 제1측 바깥 방향에 로드락이 배치되고 제2측 및 제3측 바깥 방향들에 복수의 프로세스 챔버들이 배치되며, 내부에 개구 영역을 포함하고, 웨이퍼를 순환적으로 이송하는 순환형 웨이퍼 이송 모듈, 상기 개구 영역에서 상기 로드락과 인접한 제1 위치에 배치되고 상기 로드락으로부터 상기 순환형 웨이퍼 이송 모듈에 상기 웨이퍼를 로딩 또는 언로딩하는 로드락용 웨이퍼 처리 로봇, 및 상기 개구 영역에서 상기 제1 위치에 연속적으로 이어진 제2 위치에 배치되고 상기 순환형 웨이퍼 이송 모듈 상에 있는 웨이퍼를 해당 프로세스 챔버에 이동시키거나 또는 상기 해당 프로세스 챔버로부터 상기 순환형 웨이퍼 이송 모듈 상에 상기 웨이퍼를 이동시키는 프로세스 챔버용 웨이퍼 처리 로봇을 포함한다.In embodiments, the wafer transfer apparatus has a load lock disposed in a first side outward direction and a plurality of process chambers disposed in second and third side outward directions, and includes an opening area therein, and cyclically transfers the wafer. a cyclic wafer transfer module for transferring the wafer to or from a wafer processing robot for a load lock disposed at a first position adjacent to the load lock in the opening region and for loading or unloading the wafer from the load lock to the cyclic wafer transfer module; and In the opening region, a wafer disposed at a second position successively following the first position and placed on the circular wafer transfer module is moved to a corresponding process chamber or from the corresponding process chamber onto the circular wafer transfer module. and a wafer handling robot for a process chamber that moves the wafer.

상기 순환형 웨이퍼 이송 모듈은 상기 제1측 반대 방향에서 직렬로 연결된 제1 및 제2 순환형 웨이퍼 이송 부재들과 그 사이에 배치되는 버퍼 부재로 구성될 수 있다.The circular wafer transfer module may include first and second circular wafer transfer members connected in series in a direction opposite to the first side and a buffer member disposed therebetween.

상기 로드락용 웨이퍼 처리 로봇은 상기 로드락에 있는 로드락 웨이퍼를 반출하고 상기 제1 위치에 인접한 프로세스 챔버를 우선하여 상기 로드락 웨이퍼를 제공할 수 있다.The load lock wafer processing robot may unload the load lock wafer in the load lock and provide the load lock wafer with priority to a process chamber adjacent to the first position.

상기 로드락용 웨이퍼 처리 로봇은 상기 제1 위치에 인접한 프로세스 챔버에 있는 챔버 웨이퍼를 반출하고 상기 로드락에 상기 챔버 웨이퍼를 적접 제공할 수 있다.The load lock wafer processing robot may unload a chamber wafer in a process chamber adjacent to the first position and directly provide the chamber wafer to the load lock.

상기 로드락용 웨이퍼 처리 로봇은 해당 위치를 기준으로 상기 순환형 웨이퍼 이송 모듈에서 서로 대향하는 프로세스 챔버들을 담당할 수 있다.The load lock wafer processing robot may be in charge of process chambers facing each other in the circular wafer transfer module based on a corresponding position.

상기 로드락용 웨이퍼 처리 로봇은 상기 서로 대향하는 프로세스 챔버들 중 하나로부터 반출된 챔버 웨이퍼를 상기 순환형 웨이퍼 이송 모듈의 해당 영역에 언로딩할 수 있다.The load lock wafer processing robot may unload a chamber wafer unloaded from one of the process chambers facing each other to a corresponding area of the circular wafer transfer module.

상기 로드락용 웨이퍼 처리 로봇은 상기 서로 대향하는 프로세스 챔버들 중 하나로부터 반출된 챔버 웨이퍼를 상기 순환형 웨이퍼 이송 모듈의 해당 반대 영역에 언로딩할 수 있다.The load lock wafer processing robot may unload a chamber wafer unloaded from one of the process chambers facing each other to a corresponding area opposite to the circular wafer transfer module.

상기 프로세스 챔버용 웨이퍼 처리 로봇은 상기 로드락용 웨이퍼 처리 로봇과 동일한 로봇으로 구현되고 상기 순환형 웨이퍼 이송 모듈에서 서로 대향하는 복수의 프로세스 챔버들을 담당할 수 있다.The wafer processing robot for the process chamber may be implemented as the same robot as the wafer processing robot for the load lock and may be in charge of a plurality of process chambers facing each other in the circular wafer transfer module.

개시된 기술은 다음의 효과를 가질 수 있다. 다만, 특정 실시예가 다음의 효과를 전부 포함하여야 한다거나 다음의 효과만을 포함하여야 한다는 의미는 아니므로, 개시된 기술의 권리범위는 이에 의하여 제한되는 것으로 이해되어서는 아니 될 것이다.The disclosed technology may have the following effects. However, this does not mean that a specific embodiment should include all of the following effects or only the following effects, so the scope of the disclosed technology should not be construed as being limited thereby.

본 발명의 일 실시예에 따른 웨이퍼 이송 장치는 웨이퍼를 순환적으로 이송할 수 있고 프로세스 챔버를 추가 확장할 수 있다.A wafer transfer apparatus according to an embodiment of the present invention may transfer wafers cyclically and further expand a process chamber.

본 발명의 일 실시예에 따른 웨이퍼 이송 장치는 웨이퍼 이송 모듈 내에 복수의 로봇들을 배치하여 해당 위치를 기준으로 각 로봇이 담당하는 영역에 있는 로드락 또는 해당 프로세스 챔버로 웨이퍼를 이송할 수 있으며 이에 따라 프로세서 챔버를 용이하게 추가 배치할 수 있다.A wafer transfer apparatus according to an embodiment of the present invention can transfer a wafer to a load lock or a corresponding process chamber in an area in charge of each robot based on a corresponding position by disposing a plurality of robots in the wafer transfer module. Additional processor chambers can be easily placed.

본 발명의 일 실시예에 따른 웨이퍼 이송 장치는 이송 모듈 상에 웨이퍼를 한바퀴 이동하는 시간을 단축시켜 공정에 소요되는 시간을 줄일 수 있으며, 생산성을 향상시킬 수 있다.The wafer transfer apparatus according to an embodiment of the present invention can reduce the time required for the process by shortening the time for moving the wafer once on the transfer module, thereby improving productivity.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 이송 장치를 설명하기 위한 도면이다.
도 2는 도 1에 있는 웨이퍼 이송 장치의 제1 확장예를 설명하기 위한 도면이다.
도 3은 도 1에 있는 웨이퍼 이송 장치의 제2 확장예를 설명하기 위한 도면이다.
도 4는 도 1에 있는 웨이퍼 이송 장치의 제3 확장예를 설명하기 위한 도면이다.
1 is a view for explaining a wafer transfer apparatus according to an embodiment of the present invention.
FIG. 2 is a view for explaining a first extension example of the wafer transfer apparatus shown in FIG. 1 .
FIG. 3 is a view for explaining a second extended example of the wafer transfer apparatus shown in FIG. 1 .
FIG. 4 is a view for explaining a third extended example of the wafer transfer apparatus shown in FIG. 1 .

본 발명에 관한 설명은 구조적 내지 기능적 설명을 위한 실시예에 불과하므로, 본 발명의 권리범위는 본문에 설명된 실시예에 의하여 제한되는 것으로 해석되어서는 아니 된다. 즉, 실시예는 다양한 변경이 가능하고 여러 가지 형태를 가질 수 있으므로 본 발명의 권리범위는 기술적 사상을 실현할 수 있는 균등물들을 포함하는 것으로 이해되어야 한다. 또한, 본 발명에서 제시된 목적 또는 효과는 특정 실시예가 이를 전부 포함하여야 한다거나 그러한 효과만을 포함하여야 한다는 의미는 아니므로, 본 발명의 권리범위는 이에 의하여 제한되는 것으로 이해되어서는 아니 될 것이다.Since the description of the present invention is merely an embodiment for structural or functional description, the scope of the present invention should not be construed as being limited by the embodiment described in the text. That is, since the embodiment is capable of various changes and may have various forms, it should be understood that the scope of the present invention includes equivalents capable of realizing the technical idea. In addition, since the object or effect presented in the present invention does not mean that a specific embodiment should include all of them or only such effects, it should not be understood that the scope of the present invention is limited thereby.

한편, 본 출원에서 서술되는 용어의 의미는 다음과 같이 이해되어야 할 것이다.On the other hand, the meaning of the terms described in the present application should be understood as follows.

"제1", "제2" 등의 용어는 하나의 구성요소를 다른 구성요소로부터 구별하기 위한 것으로, 이들 용어들에 의해 권리범위가 한정되어서는 아니 된다. 예를 들어, 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.Terms such as “first” and “second” are for distinguishing one component from another, and the scope of rights should not be limited by these terms. For example, a first component may be termed a second component, and similarly, a second component may also be termed a first component.

어떤 구성요소가 다른 구성요소에 "연결되어"있다고 언급된 때에는, 그다른 구성요소에 직접적으로 연결될 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다고 언급된 때에는 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 한편, 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.When a component is referred to as being “connected” to another component, it may be directly connected to the other component, but it should be understood that other components may exist in between. On the other hand, when it is mentioned that a certain element is "directly connected" to another element, it should be understood that the other element does not exist in the middle. On the other hand, other expressions describing the relationship between elements, that is, "between" and "between" or "neighboring to" and "directly adjacent to", etc., should be interpreted similarly.

단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한 복수의 표현을 포함하는 것으로 이해되어야 하고, "포함하다" 또는 "가지다" 등의 용어는 실시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The singular expression is to be understood to include the plural expression unless the context clearly dictates otherwise, and terms such as "comprises" or "have" refer to the embodied feature, number, step, action, component, part or these It is to be understood that this is intended to indicate that a combination exists, and does not preclude the possibility of the presence or addition of one or more other features or numbers, steps, operations, components, parts, or combinations thereof.

각 단계들에 있어 식별부호(예를 들어, a, b, c 등)는 설명의 편의를 위하여 사용되는 것으로 식별부호는 각 단계들의 순서를 설명하는 것이 아니며, 각 단계들은 문맥상 명백하게 특정 순서를 기재하지 않은 이상 명기된 순서와 다르게 일어날 수 있다. 즉, 각 단계들은 명기된 순서와 동일하게 일어날 수도 있고 실질적으로 동시에 수행될 수도 있으며 반대의 순서대로 수행될 수도 있다.Identifiers (eg, a, b, c, etc.) in each step are used for convenience of description, and the identification code does not describe the order of each step, and each step clearly indicates a specific order in context. Unless otherwise specified, it may occur in a different order from the specified order. That is, each step may occur in the same order as specified, may be performed substantially simultaneously, or may be performed in the reverse order.

여기서 사용되는 모든 용어들은 다르게 정의되지 않는 한, 본 발명이 속하는 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가진다. 일반적으로 사용되는 사전에 정의되어 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한 이상적이거나 과도하게 형식적인 의미를 지니는 것으로 해석될 수 없다.All terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs, unless otherwise defined. Terms defined in commonly used dictionaries should be interpreted as being consistent with the meanings in the context of the related art, and cannot be interpreted as having ideal or excessively formal meanings unless explicitly defined in the present application.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 이송 장치를 설명하기 위한 도면이다.1 is a view for explaining a wafer transfer apparatus according to an embodiment of the present invention.

도 1을 참조하면, 웨이퍼 이송 장치(100)는 순환형 웨이퍼 이송 모듈(110), 로드락용 웨이퍼 처리 로봇(130) 및 프로세스 챔버용 웨이퍼 처리 로봇(150)을 포함할 수 있다.Referring to FIG. 1 , the wafer transfer apparatus 100 may include a circular wafer transfer module 110 , a wafer processing robot 130 for a load lock, and a wafer processing robot 150 for a process chamber.

순환형 웨이퍼 이송 모듈(110)은 제1측 바깥 방향에 로드락(200)이 배치되고 제2측 및 제3측 바깥 방향들에 복수의 프로세스 챔버들(300)이 배치되며, 내부에 개구 영역을 포함하고, 웨이퍼(400)를 순환적으로 이송할 수 있다. In the circular wafer transfer module 110 , the load lock 200 is disposed on the first side outward, and the plurality of process chambers 300 are disposed on the second side and the third side outward directions, and an opening area therein Including, it is possible to transfer the wafer 400 cyclically.

일 실시예에서, 순환형 웨이퍼 이송 모듈(110)은 로드락(200)에 적재된 웨이퍼(400)를 복수의 프로세스 챔버들(300)로 각각 이송하는 역할을 수행할 수 있다. 이를 위해, 순환형 웨이퍼 이송 모듈(110)은 컨베이어 벨트(Conveyor Belt)처럼 순환형 형상을 가질 수 있다. 순환형 웨이퍼 이송 모듈(110)은 이송 레일을 통해 웨이퍼(400)를 이송할 수 있으며 이때 지정된 위치마다 정지 및 이동을 반복 수행하여 지정된 위치마다 해당 프로세스 챔버에 웨이퍼(400)를 전달해줄 수 있다. 순환형 웨이퍼 이송 모듈(110)은 짧은 면 측에 로드락(200)이 배치될 수 있고, 긴 면 측에 각각 복수의 프로세스 챔버들(300)이 서로 대향하여 배치될 수 있다. 여기에서, 순환형 웨이퍼 이송 모듈(110)의 각 측면의 길이는 배치되는 로드락(200) 및 복수의 프로세스 챔버들(300)의 크기 및 개수에 따라 달라질 수 있다.In an embodiment, the circular wafer transfer module 110 may serve to transfer the wafer 400 loaded in the load lock 200 to the plurality of process chambers 300 , respectively. To this end, the circular wafer transfer module 110 may have a circular shape like a conveyor belt. The circular wafer transfer module 110 may transfer the wafer 400 through the transfer rail, and may transfer the wafer 400 to the corresponding process chamber at each designated position by repeatedly performing stopping and moving at each designated position. In the circular wafer transfer module 110 , the load lock 200 may be disposed on a short side side, and a plurality of process chambers 300 may be disposed on a long side side to face each other. Here, the length of each side of the circular wafer transfer module 110 may vary depending on the size and number of the load lock 200 and the plurality of process chambers 300 to be disposed.

순환형 웨이퍼 이송 모듈(110)의 내부 공간은 외부에 대하여 밀봉된 상태로 유지되며, 필요에 따라 진공 상태로 유지되거나 불활성 기체로 채워질 수 있다. 이에 따라, 순환형 웨이퍼 이송 모듈(110) 내부로 웨이퍼(400)가 제공되거나 그로부터 웨이퍼(400)가 배출되는 경우에는 로드락(200)을 경유한다. 순환형 웨이퍼 이송 모듈(110) 내부 공간은 제조 공정이 수행되는 웨이퍼(400)가 이송되기 때문에 소정 수준 이상의 청정도가 유지된다.The inner space of the circulating wafer transfer module 110 is maintained in a sealed state with respect to the outside, and may be maintained in a vacuum state or filled with an inert gas as necessary. Accordingly, when the wafer 400 is provided into the circular wafer transfer module 110 or the wafer 400 is discharged therefrom, it passes through the load lock 200 . Since the wafer 400 on which the manufacturing process is performed is transferred, the internal space of the circular wafer transfer module 110 maintains a level of cleanliness above a predetermined level.

로드락(200)은 장비 전단부 모듈(Equipment Front End Module; EFEM)(미도시)과 순환형 웨이퍼 이송 모듈(110) 사이에 배치되며, 장비 전단부 모듈(EFEM)에서 전달된 웨이퍼(400)를 적재한다. 또한, 로드락(200)은 순환형 웨이퍼 이송 모듈(110)에서 전달된 웨이퍼(400)를 적재한다. 이를 위해, 로드락(200)은 적어도 2개의 챔버를 구비하여 하나의 챔버에는 처리될 웨이퍼, 즉 순환형 웨이퍼 이송 모듈(110)로 제공되는 웨이퍼가 대기하고, 다른 하나의 챔버에는 처리된 기판, 즉 제조 공정을 마친 웨이퍼가 대기할 수 있다. 이때, 로드락(200)은 대기 상태의 장비 전단부 모듈(EFEM)과 진공 상태의 순환형 웨이퍼 이송 모듈(110) 사이에 배치되므로, 대기압 상태와 진공 상태를 전환하는 역할을 한다. 즉, 로드락(200)은 대기압 상태의 장비 전단부 모듈(EFEM)에서 웨이퍼(400)가 전달되면, 대기압 상태를 진공 상태로 전환한다. 그리고 로드락(200)은 진공 상태에서 순환형 웨이퍼 이송 모듈(110)로 웨이퍼(400)를 전달하거나 전달받고, 순환형 웨이퍼 이송 모듈(110)에서 전달된 웨이퍼(400)를 장비 전단부 모듈(EFEM)로 전달하기 위해 진공 상태를 대기압 상태로 전환한다.The load lock 200 is disposed between the Equipment Front End Module (EFEM) (not shown) and the circular wafer transfer module 110, and the wafer 400 transferred from the Equipment Front End Module (EFEM). load the In addition, the load lock 200 loads the wafer 400 transferred from the circular wafer transfer module 110 . To this end, the load lock 200 includes at least two chambers, in one chamber a wafer to be processed, that is, a wafer provided to the circular wafer transfer module 110, and a processed substrate in the other chamber; That is, the wafer that has completed the manufacturing process may stand by. At this time, since the load lock 200 is disposed between the equipment front end module EFEM in the standby state and the circulating wafer transfer module 110 in the vacuum state, it serves to switch between the atmospheric pressure state and the vacuum state. That is, when the wafer 400 is transferred from the equipment front end module EFEM in the atmospheric pressure state, the load lock 200 converts the atmospheric pressure state to a vacuum state. And the load lock 200 transfers or receives the wafer 400 to the cyclic wafer transfer module 110 in a vacuum state, and transfers the wafer 400 transferred from the cyclic wafer transfer module 110 to the equipment front end module ( EFEM) to convert the vacuum to atmospheric pressure.

복수의 프로세스 챔버들(300)은 웨이퍼(wafer)(400)에 대한 증착 공정 등을 수행할 수 있다. 복수의 프로세스 챔버들(300) 각각은 독립적으로 구동될 수 있다. 각 프로세스 챔버(300) 내에서 웨이퍼(400)에 대한 처리가 이루어진다. 이때, 각 프로세스 챔버(300)에서 이루어지는 웨이퍼(400)의 처리는 동일한 공정이 이루어질 수 있으며, 필요에 따라 각기 다른 공정이 이루어질 수도 있다.The plurality of process chambers 300 may perform a deposition process on a wafer 400 , and the like. Each of the plurality of process chambers 300 may be driven independently. Processing of the wafer 400 is performed in each process chamber 300 . In this case, the same process may be performed for the processing of the wafer 400 performed in each process chamber 300 , and different processes may be performed as needed.

로드락용 웨이퍼 처리 로봇(130)은 순환형 웨이퍼 이송 모듈(110) 내부의개구 영역에서 로드락(200)과 인접한 제1 위치에 배치되고 로드락(200)으로부터 순환형 웨이퍼 이송 모듈(110)에 웨이퍼(400)를 로딩 또는 언로딩할 수 있다. 일 실시예에서, 로드락용 웨이퍼 처리 로봇(130)은 로드락(200)에 있는 로드락 웨이퍼를 반출하고 제1 위치에 인접한 프로세스 챔버(300a,300b)를 우선하여 로드락 웨이퍼를 제공할 수 있다. 로드락용 웨이퍼 처리 로봇(130)은 제1 위치에 인접한 프로세스 챔버(300a',300b')에 있는 챔버 웨이퍼를 반출하고 로드락(200)에 챔버 웨이퍼를 직접 제공할 수 있다. 여기에서, 로드락용 웨이퍼 처리 로봇(130)은 해당 위치를 기준으로 순환형 웨이퍼 이송 모듈(110)에서 서로 대향하는 프로세스 챔버들(300a,300a´)(300b,300b´)을 담당할 수 있다(일점쇄선으로 표시된 "A" 부분).The load lock wafer processing robot 130 is disposed at a first position adjacent to the load lock 200 in the opening area inside the circular wafer transfer module 110 and is transferred from the load lock 200 to the circular wafer transfer module 110 . The wafer 400 may be loaded or unloaded. In one embodiment, the load lock wafer processing robot 130 may unload the load lock wafer in the load lock 200 and provide the load lock wafer with priority to the process chambers 300a and 300b adjacent to the first position. . The load lock wafer processing robot 130 may unload the chamber wafers from the process chambers 300a ′ and 300b ′ adjacent to the first position and directly provide the chamber wafers to the load lock 200 . Here, the load lock wafer processing robot 130 may be in charge of the process chambers 300a, 300a', 300b, and 300b' facing each other in the circular wafer transfer module 110 based on the corresponding position ( part "A" indicated by the dashed-dotted line).

일 실시예에서, 로드락용 웨이퍼 처리 로봇(130)은 본 발명의 출원인에 의해 선출원되어 등록받은 특허 제1534524호 및 특허 제1535068호에 개시된 이송 로봇이 이용될 수 있다. 해당 특허문헌들에 개시된 이송 로봇은 수직 방향의 회전축에 대하여 회전 가능한 몸체부 및 몸체부의 회전축에 대하여 대칭으로 배치되며 수평 방향을 따라 직선으로 또한 몸체부의 회전축과 평행한 수직방향으로 웨이퍼를 이송시킬 수 있는 한쌍의 아암부를 구비한다.In one embodiment, the wafer processing robot 130 for a load lock may be a transfer robot disclosed in Patent Nos. 1534524 and 1535068, which were previously applied and registered by the applicant of the present invention. The transfer robot disclosed in the patent documents has a body rotatable with respect to a vertical axis of rotation and is symmetrically disposed with respect to the axis of rotation of the body, and can transfer wafers in a straight line along the horizontal direction and in a vertical direction parallel to the axis of rotation of the body. and a pair of arm portions.

프로세스 챔버용 웨이퍼 처리 로봇(150)은 순환형 웨이퍼 이송 모듈(110)내부에 개구 영역에서 제1 위치에 연속적으로 이어진 제2 위치에 배치되고 순환형 웨이퍼 이송 모듈(110) 상에 있는 웨이퍼(400)를 해당 프로세스 챔버에 이동시키거나 또는 해당 프로세스 챔버로부터 순환형 웨이퍼 이송 모듈(110) 상에 웨이퍼(400)을 이동시킬 수 있다. 일 실시예에서, 프로세스 챔버용 웨이퍼 처리 로봇(150)은 해당 위치를 기준으로 순환형 웨이퍼 이송 모듈(110)에서 서로 대향하는 프로세서 챔버들을 담당할 수 있다. 여기에서, 프로세스 챔버용 웨이퍼 처리 로봇(150)은 서로 대향하는 프로세스 챔버들 중 하나로부터 반출된 챔버 웨이퍼를 순환형 웨이퍼 이송 모듈(110)의 해당 영역에 언로딩하거나 또는 해당 반대 영역에 언로딩할 수 있다. 프로세스 챔버용 웨이퍼 처리 로봇(150)은 반출된 챔버 웨이퍼가 순환형 웨이퍼 이송 모듈(110)의 해당 영역에서 로드락(200)의 영역까지의 이송거리를 고려하여 언로딩 영역을 결정할 수 있다. 예컨대, 프로세스 챔버용 웨이퍼 처리 로봇(150)은 반출된 챔버 웨이퍼가 순환형 웨이퍼 이송 모듈(110)의 해당 영역에서 로드락(200)의 영역까지 반바퀴 이상 이동해야 하는 경우 반출된 챔버 웨이퍼를 해당 반대영역에 언로딩하여 이동하는 시간을 단축시킬 수 있다.The wafer processing robot 150 for a process chamber is disposed at a second position continuously connected to the first position in the opening area inside the circular wafer transport module 110 and is disposed on the wafer 400 on the circular wafer transport module 110 . ) may be moved to the corresponding process chamber or the wafer 400 may be moved from the corresponding process chamber onto the circular wafer transfer module 110 . In an embodiment, the wafer processing robot 150 for a process chamber may be in charge of processor chambers facing each other in the circular wafer transfer module 110 based on a corresponding position. Here, the wafer processing robot 150 for a process chamber unloads a chamber wafer taken out from one of the process chambers facing each other to a corresponding area of the circular wafer transfer module 110 or unloads it to an opposite area. can The wafer processing robot 150 for a process chamber may determine an unloading area in consideration of a transfer distance of the unloaded chamber wafer from the corresponding area of the circular wafer transfer module 110 to the area of the load lock 200 . For example, when the wafer processing robot 150 for a process chamber needs to move more than half a turn from the area of the circular wafer transfer module 110 to the area of the load lock 200, the wafer processing robot 150 handles the unloaded chamber wafer correspondingly. By unloading in the opposite area, the moving time can be shortened.

프로세스 챔버용 웨이퍼 처리 로봇(150)은 로드락용 웨이퍼 처리 로봇(130)과 동일한 로봇으로 구현될 수 있고 순환형 웨이퍼 이송 모듈(110)에서 서로 대향하는 복수의 프로세스 챔버들(300)을 담당할 수 있다.The wafer processing robot 150 for a process chamber may be implemented as the same robot as the wafer processing robot 130 for a load lock and may be in charge of a plurality of process chambers 300 facing each other in the circular wafer transfer module 110 . have.

도 2는 도 1에 있는 웨이퍼 이송 장치의 제1 확장예를 설명하기 위한 도면이다.FIG. 2 is a view for explaining a first extension example of the wafer transfer apparatus shown in FIG. 1 .

도 2를 참조하면, 웨이퍼 이송 장치(100)는 도 1과 동일하게 구성되되 복수의 로드락(200)과 로드락용 웨이퍼 처리 로봇(130)을 포함할 수 있다.Referring to FIG. 2 , the wafer transfer apparatus 100 may have the same configuration as that of FIG. 1 , but may include a plurality of load locks 200 and a wafer processing robot 130 for load locks.

로드락(load lock)(200)은 순환형 웨이퍼 이송 모듈(110)의 제1측 바깥 방향에 제1 및 제2 로드락(200a,200b)을 포함할 수 있다. 제1 및 제2 로드락(200a,200b)은 상하로 배치되거나 또는 좌우로 배치될 수 있다. 제1 및 제2 로드락(200a,200b)은 각각 2개의 웨이퍼(400)가 수평방향으로 이격하여 적재될 수 있는 길이를 가지며 웨이퍼(400)의 반입 및 반출이 이루어질 수 있다. 일 실시예에서, 제1 및 제2 로드락(200a,200b) 중 하나의 로드락에는 처리될 웨이퍼가 놓일 수 있고, 다른 하나의 로드락에는 처리된 웨이퍼가 놓일 수 있다.The load lock 200 may include first and second load locks 200a and 200b in an outer direction of the first side of the circular wafer transfer module 110 . The first and second load locks 200a and 200b may be disposed vertically or horizontally. Each of the first and second load locks 200a and 200b has a length in which two wafers 400 can be horizontally spaced apart and loaded, and the wafers 400 can be loaded and unloaded. In one embodiment, a wafer to be processed may be placed in one of the first and second load locks 200a and 200b, and a processed wafer may be placed in the other load lock.

로드락용 웨이퍼 처리 로봇(130)은 로드락(200)이 배치되는 순환형 웨이퍼 이송 모듈(110)의 제1측 바깥 방향 및 제1측 안쪽 방향에 각각 제1 및 제2 로드락용 웨이퍼 처리 로봇(130a,130b)을 포함할 수 있다. 제1 로드락용 웨이퍼 처리 로봇(130a)은 순환형 웨이퍼 이송 모듈(110)의 제1측 바깥 방향 즉, 순환형 웨이퍼 이송 모듈(110)의 외부에 배치되어 제1 및 제2 로드락(200a,200b)으로부터 순환형 웨이퍼 이송 모듈(110)에 웨이퍼(400)를 로딩 또는 언로딩할 수 있다. 여기에서, 제1 로드락용 웨이퍼 처리 로봇(130a)은 제1 및 제2 로드락(200a,200b) 중 하나의 로드락에 있는 처리할 웨이퍼를 반출하여 순환형 웨이퍼 이송 모듈(110)의 해당 영역에 언로딩할 수 있고, 순환형 웨이퍼 이송 모듈(110)의 해당 영역으로 이송되어 온 처리 완료된 웨이퍼를 다른 하나의 로드락에 제공할 수 있다. 제2 로드락용 웨이퍼 처리 로봇(130b)은 순환형 웨이퍼 이송 모듈(110)의 제1측 안쪽 방향 즉, 순환형 웨이퍼 이송 모듈(110)의 내부에 배치되어 순황형 웨이퍼 이송 모듈(110) 상에 있는 웨이퍼를 로드락과 인접한 프로세스 챔버(300a,300b)(300a´,300b´)에 이동시키거나 또는 해당 프로세스 챔버(300a,300b)(300a´,300b´)로부터 순환형 웨이퍼 이송 모듈(110) 상에 웨이퍼를 이동시킬 수 있다. 여기에서, 제1 로드락용 웨이퍼 처리 로봇(130a)은 해당 위치를 기준으로 순환형 웨이퍼 이송 모듈(110)에서 제1 및 제2 로드락(200a,200b)을 담당할 수 있고(A1), 제2 로드락용 웨이퍼 처리 로봇(130b)은 해당 위치를 기준으로 순환형 웨이퍼 이송 모듈(110)에서 서로 대향하는 프로세스 챔버들(330a,330a')(330b,330b')을 담당할 수 있다(A2).The load lock wafer processing robot 130 includes first and second load lock wafer processing robots ( 130a, 130b) may be included. The wafer processing robot 130a for the first load lock is disposed outside the first side of the circular wafer transfer module 110, that is, outside the circular wafer transfer module 110, and the first and second load locks 200a, The wafer 400 may be loaded or unloaded from the cyclic wafer transfer module 110 from 200b). Here, the wafer processing robot 130a for the first load lock unloads the wafer to be processed in one of the first and second load locks 200a and 200b to the corresponding area of the circular wafer transfer module 110 . can be unloaded, and the processed wafer that has been transferred to the corresponding area of the circular wafer transfer module 110 can be provided to another load lock. The second load lock wafer processing robot 130b is disposed in the first inward direction of the circular wafer transport module 110 , that is, inside the circular wafer transport module 110 , and is disposed on the circular wafer transport module 110 . The wafer is moved to the process chambers 300a, 300b (300a', 300b') adjacent to the load lock or from the process chambers 300a, 300b (300a', 300b') in the circular wafer transfer module 110 The wafer can be moved on the Here, the first load lock wafer processing robot 130a may be in charge of the first and second load locks 200a and 200b in the circular wafer transfer module 110 based on the corresponding position (A1), and 2 The wafer processing robot 130b for the load lock may be in charge of the process chambers 330a, 330a', 330b, and 330b' facing each other in the circular wafer transfer module 110 based on a corresponding position (A2) .

이에 따라, 웨이퍼 이송 장치(100)는 순환형 웨이퍼 이송 모듈(110)의 제1측 바깥 방향에 로드락의 개수를 추가 배치할 수 있다.Accordingly, the wafer transfer apparatus 100 may additionally arrange the number of load locks in the outer direction of the first side of the circular wafer transfer module 110 .

도 3은 도 1에 있는 웨이퍼 이송 장치의 제2 확장예를 설명하기 위한 도면이다.FIG. 3 is a view for explaining a second extended example of the wafer transfer apparatus shown in FIG. 1 .

도 3을 참조하면, 웨이퍼 이송 장치(100)는 도 1과 동일하게 구성되되 순환형 웨이퍼 이송 모듈(110)의 폭을 확장하여 복수의 로드락(200), 로드락용 웨이퍼 처리 로봇(130) 및 프로세스 챔버용 처리 로봇(150)을 포함할 수 있다.Referring to FIG. 3 , the wafer transfer apparatus 100 is configured the same as in FIG. 1 , but by extending the width of the circular wafer transfer module 110 , a plurality of load locks 200 , a wafer processing robot 130 for load locks, and A processing robot 150 for the process chamber may be included.

로드락(load lock)(200)은 순환형 웨이퍼 이송 모듈(110)의 제1측 바깥 방향에 제1 및 제2 로드락(200a,200b)을 포함할 수 있다. 제1 및 제2 로드락(200a,200b)은 상하로 배치되거나 또는 좌우로 배치될 수 있다. 제1 및 제2 로드락(200a,200b)은 각각 2개의 웨이퍼(400)가 수평방향으로 이격하여 적재될 수 있는 길이를 가지며 웨이퍼(400)의 반입 및 반출이 이루어질 수 있다. 일 실시예에서, 제1 및 제2 로드락(200a,200b) 중 하나의 로드락에는 처리될 웨이퍼가 놓일 수 있고, 다른 하나의 로드락에는 처리된 웨이퍼가 놓일 수 있다.The load lock 200 may include first and second load locks 200a and 200b in an outer direction of the first side of the circular wafer transfer module 110 . The first and second load locks 200a and 200b may be disposed vertically or horizontally. Each of the first and second load locks 200a and 200b has a length in which two wafers 400 can be horizontally spaced apart and loaded, and the wafers 400 can be loaded and unloaded. In one embodiment, a wafer to be processed may be placed in one of the first and second load locks 200a and 200b, and a processed wafer may be placed in the other load lock.

로드락용 웨이퍼 처리 로봇(130)은 순환형 웨이퍼 이송 모듈(110) 내부에개구영역에서 제1 및 제2 로드락(200a,200b)과 인접한 제1 위치에 제1 및 제2 로드락용 웨이퍼 처리 로봇(130a',130b')을 포함할 수 있다. 제1 및 제2 로드락용 웨이퍼 처리 로봇(130a',130b')은 각각 제1 및 제2 로드락(200a,200b)에 대향하여 배치될 수 있다. 이때, 제1 로드락용 웨이퍼 처리 로봇(130a')은 제1 로드락(200a)에 있는 처리할 웨이퍼를 반출하고 제1 위치에 인접한 프로세스 챔버(300a,300b)에 반출한 웨이퍼를 제공할 수 있다. 제2 로드락용 웨이퍼 처리 로봇(130b')은 제1 위치에 인접한 프로세스 챔버(300a',300b')에 있는 처리된 웨이퍼를 반출하고 제2 로드락(200b')에 웨이퍼를 제공할 수 있다.The wafer processing robot for load lock 130 is a wafer processing robot for first and second load locks at first positions adjacent to the first and second load locks 200a and 200b in the opening area inside the circular wafer transfer module 110 . (130a', 130b') may be included. The wafer processing robots 130a ′ and 130b ′ for the first and second load locks may be disposed to face the first and second load locks 200a and 200b , respectively. In this case, the wafer processing robot 130a ′ for the first load lock may unload the wafer to be processed in the first load lock 200a and provide the unloaded wafer to the process chambers 300a and 300b adjacent to the first position. . The wafer processing robot 130b ′ for the second load lock may unload the processed wafers from the process chambers 300a ′ and 300b ′ adjacent to the first position and provide the wafers to the second load lock 200b ′.

프로세스 챔버용 웨이퍼 처리 로봇(150)은 순환형 웨이퍼 이송 모듈(110) 내부에 개구 영역에서 제1 및 제2 로드락용 웨이퍼 처리 로봇(130a',130b') 각각과 인접한 제2 위치에 배치되는 제1 및 제2 프로세스 챔버용 웨이퍼 처리 로봇들(150a,150b)을 포함할 수 있다. 제1 및 제2 프로세스 챔버용 웨이퍼 처리 로봇들(150a,150b)은 서로 대향하여 배치되고 순환형 웨이퍼 이송 모듈(110) 상에 있는 웨이퍼(400)를 해당 프로세스 챔버(300)에 이동시키거나 또는 해당 프로세스 챔버(300)로부터 순환형 웨이퍼 이송 모듈(110) 상에 웨이퍼(400)를 이동시킬 수 있다. 여기에서, 제1 및 제2 프로세스 챔버용 웨이퍼 처리 로봇들(150a,150b)은 로드락용 웨이퍼 처리 로봇(130)과 동일한 로봇으로 구현되고 순환형 웨이퍼 이송 모듈(110)에서 서로 대향하는 복수의 프로세스 챔버들을 담당할 수 있다. The wafer processing robot 150 for the process chamber is a second position disposed adjacent to each of the first and second load lock wafer processing robots 130a ′ and 130b ′ in the opening area inside the circular wafer transfer module 110 . and wafer processing robots 150a and 150b for the first and second process chambers. The wafer processing robots 150a and 150b for the first and second process chambers are disposed to face each other and move the wafer 400 on the circular wafer transfer module 110 to the corresponding process chamber 300 or The wafer 400 may be moved from the process chamber 300 onto the circular wafer transfer module 110 . Here, the wafer processing robots 150a and 150b for the first and second process chambers are implemented as the same robot as the wafer processing robot 130 for a load lock, and a plurality of processes opposed to each other in the circular wafer transfer module 110 . Chambers can be in charge.

일 실시예에 따른 웨이퍼 이송 장치(100)는 순환형 웨이퍼 이송 모듈(110)의 폭을 확장시킬 수 있고 이에 따라 순환형 웨이퍼 이송 모듈(110)의 제1측 바깥 방향에 로드락의 개수를 추가 배치할 수 있고, 내부에 개구 영역에 로봇들을 추가 배치할 수 있어 단위 시간 처리하는 기판의 수를 늘려 생산성을 향상시킬 수 있다.The wafer transfer apparatus 100 according to an embodiment may extend the width of the circular wafer transfer module 110 and, accordingly, add the number of load locks to the first side outward direction of the circular wafer transfer module 110 It can be arranged, and since robots can be additionally arranged inside the opening area, productivity can be improved by increasing the number of substrates processed per unit time.

도 4는 도 1에 있는 웨이퍼 이송 장치의 제3 확장예를 설명하기 위한 도면이다.FIG. 4 is a view for explaining a third extended example of the wafer transfer apparatus shown in FIG. 1 .

도 4를 참조하면, 웨이퍼 이송 장치(100)는 도 1과 동일하게 구성되되 순환형 웨이퍼 이송 모듈(110)을 복수로 연결하여 복수의 프로세스 챔버들(300)의 개수를 증대시킬 수 있다. Referring to FIG. 4 , the wafer transfer apparatus 100 has the same configuration as that of FIG. 1 , but the number of the plurality of process chambers 300 may be increased by connecting a plurality of cyclic wafer transfer modules 110 .

이를 위해, 순환형 웨이퍼 이송 모듈(110)은 제1측 반대 방향에서 직렬로 연결된 제1 및 제2 순환형 웨이퍼 이송 부재들(410,420)과 그 사이에 배치되는 버퍼 부재(430)로 구성될 수 있다.To this end, the circular wafer transfer module 110 may include first and second circular wafer transfer members 410 and 420 connected in series in the opposite direction to the first side and a buffer member 430 disposed therebetween. have.

제1 순환형 웨이퍼 이송 부재(410)는 제1측 바깥 방향에 로드락(200)이 배치되고 제2측 및 제3측 바깥 방향들에 복수의 프로세서 챔버들이 배치되며 내부에 개구 영역을 포함하고, 웨이퍼를 순환적으로 이송할 수 있다.The first circular wafer transfer member 410 includes a load lock 200 disposed on a first side outward direction, a plurality of processor chambers disposed on a second side and a third side outward direction, and an opening area therein, , the wafer can be transferred cyclically.

제2 순환형 웨이퍼 이송 부재(420)는 제1 순환형 웨이퍼 이송 부재들(410)의 제1측 반대 방향에서 직렬로 연결되고 제2측 및 제3측 바깥 방향들에 복수의 프로세서 챔버들이 추가 배치되며 내부에 개구 영역을 포함하고, 웨이퍼를 순환적으로 이송할 수 있다.The second circular wafer transport member 420 is connected in series in a direction opposite to the first side of the first circular wafer transport members 410 and a plurality of processor chambers are added to the second side and the third side outward directions. It is disposed and includes an opening area therein, and can transfer wafers cyclically.

버퍼부재(430)는 웨이퍼를 수용하는 공간을 구비하고 제1 및 제2 순환형 웨이퍼 이송 부재들(410,420) 간에 전달한 웨이퍼가 놓일 수 있다. 버퍼부재(430)와 인접한 위치에는 웨이퍼 처리 로봇이 배치되어 버퍼부재(430)로부터 해당 순환형 웨이퍼 이송 부재에 웨이퍼를 로딩 또는 언로딩할 수 있다. The buffer member 430 has a space for accommodating the wafer, and the wafer transferred between the first and second circular wafer transfer members 410 and 420 may be placed therein. A wafer processing robot is disposed at a position adjacent to the buffer member 430 to load or unload wafers from the buffer member 430 to the corresponding circular wafer transfer member.

일 실시예에 따른 웨이퍼 이송 장치는 다수의 웨이퍼를 이송하여 웨이퍼의 생산 속도를 향상시킬 수 있다. 웨이퍼 이송 장치는 컨베이어 벨트처럼 순환형 형상을 통해 웨이퍼를 순환적으로 이송시켜 동시에 다수의 웨이퍼를 이송시킬 수 있다. A wafer transfer apparatus according to an embodiment may transfer a plurality of wafers to improve the production speed of wafers. The wafer transfer apparatus may transfer a plurality of wafers at the same time by cyclically transferring wafers through a circular shape like a conveyor belt.

일 실시예에 따른 웨이퍼 이송 장치는 동시에 다수의 웨이퍼를 처리하여 웨이퍼 처리 속도를 향상시킬 수 있고 웨이퍼 생산율을 높일 수 있다.The wafer transfer apparatus according to an exemplary embodiment may process a plurality of wafers at the same time to improve the wafer processing speed and increase the wafer production rate.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.Although the above has been described with reference to preferred embodiments of the present invention, those skilled in the art can variously modify and change the present invention within the scope without departing from the spirit and scope of the present invention as set forth in the claims below. You will understand that it can be done.

100: 웨이퍼 이송 장치
110: 순환형 웨이퍼 이송 모듈
130: 로드락용 웨이퍼 처리 로봇
130a: 제1 로드락용 웨이퍼 처리 로봇
130b: 제2 로드락용 웨이퍼 처리 로봇
150: 프로세스 챔버용 웨이퍼 처리 로봇
200: 로드락
200a,200b: 제1 및 제2 로드락
300: 복수의 프로세스 챔버들
400: 웨이퍼
410,420: 제1 및 제2 순환형 웨이퍼 이송부재들
430: 버퍼 부재
100: wafer transfer device
110: circular wafer transfer module
130: wafer processing robot for load lock
130a: wafer processing robot for first load lock
130b: wafer processing robot for second load lock
150: wafer handling robot for process chamber
200: load lock
200a, 200b: first and second load locks
300: a plurality of process chambers
400: wafer
410 and 420: first and second circular wafer transfer members
430: no buffer

Claims (8)

제1측 바깥 방향에 로드락이 배치되고 제2측 및 제3측 바깥 방향들에 복수의 프로세스 챔버들이 배치되며, 내부에 개구 영역을 포함하고, 웨이퍼를 순환적으로 이송하는 순환형 웨이퍼 이송 모듈;
상기 개구 영역에서 상기 로드락과 인접한 제1 위치에 배치되고 상기 로드락으로부터 상기 순환형 웨이퍼 이송 모듈에 상기 웨이퍼를 로딩 또는 언로딩하는 로드락용 웨이퍼 처리 로봇; 및
상기 개구 영역에서 상기 제1 위치에 연속적으로 이어진 제2 위치에 배치되고 상기 순환형 웨이퍼 이송 모듈 상에 있는 웨이퍼를 해당 프로세스 챔버에 이동시키거나 또는 상기 해당 프로세스 챔버로부터 상기 순환형 웨이퍼 이송 모듈 상에 상기 웨이퍼를 이동시키는 프로세스 챔버용 웨이퍼 처리 로봇을 포함하는 웨이퍼 이송 장치.
a circular wafer transfer module having a load lock disposed in a first side outward direction and a plurality of process chambers disposed in second and third outward directions, including an opening area therein, and cyclically transferring a wafer;
a wafer processing robot for a load lock disposed at a first position adjacent to the load lock in the opening area and for loading or unloading the wafer from the load lock to the circular wafer transfer module; and
In the opening region, a wafer disposed at a second position successively following the first position and placed on the circular wafer transfer module is moved to a corresponding process chamber or from the corresponding process chamber onto the circular wafer transfer module. and a wafer handling robot for a process chamber that moves the wafer.
제1항에 있어서, 상기 순환형 웨이퍼 이송 모듈은
상기 제1측 반대 방향에서 직렬로 연결된 제1 및 제2 순환형 웨이퍼 이송 부재들과 그 사이에 배치되는 버퍼 부재로 구성되는 것을 특징으로 하는 웨이퍼 이송 장치.
According to claim 1, wherein the circular wafer transfer module is
and first and second circular wafer transfer members connected in series in a direction opposite to the first side and a buffer member disposed therebetween.
제1항에 있어서, 상기 로드락용 웨이퍼 처리 로봇은
상기 로드락에 있는 로드락 웨이퍼를 반출하고 상기 제1 위치에 인접한 프로세스 챔버를 우선하여 상기 로드락 웨이퍼를 제공하는 것을 특징으로 하는 웨이퍼 이송 장치.
According to claim 1, wherein the load lock wafer processing robot
and discharging the load lock wafer from the load lock and providing the load lock wafer with priority to a process chamber adjacent to the first position.
제3항에 있어서, 상기 로드락용 웨이퍼 처리 로봇은
상기 제1 위치에 인접한 프로세스 챔버에 있는 챔버 웨이퍼를 반출하고 상기 로드락에 상기 챔버 웨이퍼를 직접 제공하는 것을 특징으로 하는 웨이퍼 이송 장치.
The method of claim 3, wherein the load lock wafer processing robot is
and unloading a chamber wafer in a process chamber adjacent to the first position and providing the chamber wafer directly to the load lock.
제1항에 있어서, 상기 로드락용 웨이퍼 처리 로봇은
해당 위치를 기준으로 상기 순환형 웨이퍼 이송 모듈에서 서로 대향하는 프로세스 챔버들을 담당하는 것을 특징으로 하는 웨이퍼 이송 장치.
According to claim 1, wherein the load lock wafer processing robot
Wafer transfer apparatus, characterized in that in charge of the process chambers facing each other in the circular wafer transfer module based on the corresponding position.
제5항에 있어서, 상기 로드락용 웨이퍼 처리 로봇은
상기 서로 대향하는 프로세스 챔버들 중 하나로부터 반출된 챔버 웨이퍼를 상기 순환형 웨이퍼 이송 모듈의 해당 영역에 언로딩하는 것을 특징으로 하는 웨이퍼 이송 장치.
The method of claim 5, wherein the load lock wafer processing robot is
and unloading a chamber wafer unloaded from one of the process chambers facing each other to a corresponding area of the circular wafer transfer module.
제5항에 있어서, 상기 로드락용 웨이퍼 처리 로봇은
상기 서로 대향하는 프로세스 챔버들 중 하나로부터 반출된 챔버 웨이퍼를 상기 순환형 웨이퍼 이송 모듈의 해당 반대 영역에 언로딩하는 것을 특징으로 하는 웨이퍼 이송 장치.
The method of claim 5, wherein the load lock wafer processing robot is
and unloading a chamber wafer unloaded from one of the opposing process chambers to a corresponding area of the circular wafer transfer module.
제1항에 있어서, 상기 프로세스 챔버용 웨이퍼 처리 로봇은
상기 로드락용 웨이퍼 처리 로봇과 동일한 로봇으로 구현되고 상기 순환형 웨이퍼 이송 모듈에서 서로 대향하는 복수의 프로세스 챔버들을 담당하는 것을 특징으로 하는 웨이퍼 이송 장치.
According to claim 1, wherein the wafer processing robot for the process chamber
A wafer transfer apparatus, which is implemented as the same robot as the load lock wafer handling robot and is in charge of a plurality of process chambers facing each other in the circular wafer transfer module.
KR1020210010260A 2021-01-25 2021-01-25 Apparatus for transferring wafer KR102438612B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210010260A KR102438612B1 (en) 2021-01-25 2021-01-25 Apparatus for transferring wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210010260A KR102438612B1 (en) 2021-01-25 2021-01-25 Apparatus for transferring wafer

Publications (2)

Publication Number Publication Date
KR20220108248A true KR20220108248A (en) 2022-08-03
KR102438612B1 KR102438612B1 (en) 2022-09-01

Family

ID=82847154

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210010260A KR102438612B1 (en) 2021-01-25 2021-01-25 Apparatus for transferring wafer

Country Status (1)

Country Link
KR (1) KR102438612B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100248561B1 (en) * 1992-08-17 2000-03-15 히가시 데쓰로 Probe system
KR100935537B1 (en) 2006-11-01 2010-01-07 주식회사 아이피에스 Wafer transferring robot, Wafer processing system and Wafer treatment method using the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100248561B1 (en) * 1992-08-17 2000-03-15 히가시 데쓰로 Probe system
KR100935537B1 (en) 2006-11-01 2010-01-07 주식회사 아이피에스 Wafer transferring robot, Wafer processing system and Wafer treatment method using the same

Also Published As

Publication number Publication date
KR102438612B1 (en) 2022-09-01

Similar Documents

Publication Publication Date Title
US10403523B2 (en) Substrate processing apparatus
KR100373803B1 (en) Device and Method for Load Locking for Semiconductor Processing
KR102385670B1 (en) Substrate transfer method and substrate processing apparatus
JP6577944B2 (en) Processing equipment including on-the-fly substrate centering
JP5872153B2 (en) Vacuum processing apparatus and operating method of vacuum processing apparatus
KR20040044624A (en) Multi cluster module
KR20180111592A (en) Substrate processing apparatus
US20150303083A1 (en) Substrate processing device and substrate transfer method
KR102433472B1 (en) Vacuum transfer module and substrate processing apparatus
JP2011124565A (en) System and method for vacuum processing of semiconductor substrate to be processed
JP4494523B2 (en) Inline type wafer transfer apparatus and substrate transfer method
KR20210138505A (en) Semiconductor processing system
KR102438612B1 (en) Apparatus for transferring wafer
US20230282492A1 (en) Substrate processing system and substrate transfer apparatus and method
JP7154986B2 (en) Substrate transfer device and substrate transfer system
KR100896472B1 (en) Multi-chamber system for manufacturing semiconductor device and method for treating substrate
KR100916141B1 (en) Aligner chamber and substrate processing equipment of multi chamber type having the same
KR102227066B1 (en) Transferring apparatus of wafer
US11139185B2 (en) Substrate processing device and substrate transfer method
KR100740805B1 (en) Multistage transfer equipment and workpiece processing system using the same
KR100274308B1 (en) Multi Chamber Processing System
KR102681573B1 (en) In-chamber wafer transfer robot
KR100781082B1 (en) Substrate transfer equipment and substrate processing system using the same
JP2009071214A (en) Device for treating substrate
KR20040080024A (en) Semiconductor apparatus having a buffer chamber

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right