KR101126850B1 - 이중 라이너 캡핑층 상호접속 구조물 - Google Patents

이중 라이너 캡핑층 상호접속 구조물 Download PDF

Info

Publication number
KR101126850B1
KR101126850B1 KR1020097010293A KR20097010293A KR101126850B1 KR 101126850 B1 KR101126850 B1 KR 101126850B1 KR 1020097010293 A KR1020097010293 A KR 1020097010293A KR 20097010293 A KR20097010293 A KR 20097010293A KR 101126850 B1 KR101126850 B1 KR 101126850B1
Authority
KR
South Korea
Prior art keywords
capping layer
dielectric
layer
tensile
conductive interconnect
Prior art date
Application number
KR1020097010293A
Other languages
English (en)
Other versions
KR20090085066A (ko
Inventor
키이스 광 혼 왕
친-차오 양
하이닝 양
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20090085066A publication Critical patent/KR20090085066A/ko
Application granted granted Critical
Publication of KR101126850B1 publication Critical patent/KR101126850B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/927Electromigration resistant metallization

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 Cu/유전체 계면에서 Cu 수송 및 원자 틈을 감소시키기 위하여 Cu 상호접속 상에 있는 고 인장 스트레스 캡핑층에 관한 것이다. 상기 고 인장 유전체 필름은 얇은 유전체 물질의 다중층을 증착하는 것으로 형성되고, 각각의 층은 대략 50Å(5㎚) 이하의 두께이다. 각각의 유전체 층은 유전체 캡이 내부 인장 스트레스를 갖도록 각각의 후속 유전체층을 증착하기 전에 플라즈마 처리된다.
유전체, 인장, 구리 상호접속

Description

이중 라이너 캡핑층 상호접속 구조물{DUAL LINER CAPPING LAYER INTERCONNECT STRUCTURE}
본 발명은 반도체 집적 회로(IC's)에 관한 것이며, 보다 상세하게는 백-엔드-오브-더-라인(BEOL) 상호접속 구조에 관한 것이다.
구리 상호접속에서 계속적으로 보다 작은 크기로의 감소 및 저-k-유전체의 도입은 공정의 복잡성을 증가시키는 것에 부가하여 더 큰 관심을 받는 신뢰성 문제의 원인이 된다. 반도체 상호접속 구조물에서, 전기적 이동(Electromigration, EM)은 금속 파손 메카니즘(metal failure mechanism)으로 동일시되고 있다. EM은 매우 큰 규모의 집적(VLSI) 회로에 대한 심각한 신뢰성 문제이다.
Cu/유전체 계면을 따라 발생하는 전기적 이동 파손은 VLSI 회로 응용에서 주된 신뢰성 문제로서 동일시되고 있다. Cu 라인에서 대량 수송에 따라 금속 라인에서의 틈이 유전체, 예를 들면 Si3N4, SiC 및 SiC(N,H), 캡핑층 및 Cu의 계면을 따라 발생하는 것이 관찰되었다. Cu/유전체 계면에서 Cu 수송 및 원자 틈(atomic voiding)을 감소시키는 것은 신뢰성을 강화시키기 위한 반도체 산업에서 주된 목적이다.
도 1은 통상적인 상호접속 구조물의 단면도이다. 구리 상호접속(10)은 인터 레벨 유전체(inter level dielectric, ILD) 물질(20)로 형성된다. 이어서, 압축력이 있는 캡핑층(30)은 상호접속 구조물(10)과 ILD(20)의 노출된 표면 위에 형성된다. 도 1에서 보여지는 통상적인 상호접속 구조물에서, 단일 압축성 캡핑층(30)은 단일 CVD 증착 단계로 제공된다. 압축성 캡핑층은 대략 250Å(25㎚)의 전형적인 두께로 증착된다. 이 경우에서, 압축성 캡핑층은 Cu 상호접속 내에 인장 스트레스(tensile stress)를 초래한다. CVD 증착 파라미터는 전형적으로 대략 2.4 Torr(320 Pa)의 압력, 대략 400℃의 온도, 및 대략 1,170 Watts의 HF 파워, 대략 130 Watts의 LF 파워이다. 가스 조성 및 유속은 전형적으로 SiH4 대략 250 분당 스탠다드 큐빅 센티미터(sccm), N2 대략 19,000 sccm, 및 NH3 대략 1,300 sccm이다.
Cu/계면에서의 Cu 수송 및 원자 틈을 감소시키는 것은 Cu 상호접속에서 압축성 스트레스를 창출하는 것으로 본 발명에 개시된다. 인장 스트레스를 갖는 종래 기술에서의 Cu 상호접속에 비하여, 높은 압축성 스트레스를 갖는 Cu 상호접속은 보다 우수한 전기적 이동 저항 효과를 초래한다. Cu 상호접속의 상부에 인장 필름을 증착하고/하거나 Cu 상호접속 주변에 압축성 필름을 증착하는 것은 Cu 상호접속에서 높은 압축성 스트레스를 발생시키고, 이것은 Cu 상호접속에서 EM 저항을 개선시키는데 이롭다는 것이 본 명세서에 또한 개시된다.
따라서, 본 발명의 목적은 Cu/유전체 계면에서 Cu 수송 및 원자 틈을 감소시키기 위하여 Cu 상호접속의 상부에 높은 인장 스트레스 캡핍층을 제공하는 것이다. 높은 인장 유전체 필름은 다층의 얇은 유전체 물질을 증착하는 것으로 형성되고, 각각의 층은 대략 50Å(5㎚) 이하의 두께이다. 각각의 유전체 층은 유전체 캡이 내부 인장 스트레스를 갖도록 각각의 이어지는 유전체층을 증착하기 전에 플라즈마 처리된다.
본 발명은 전도성 상호접속이 부분적으로 내재된 유전체층; 및 전도성 상호접속과 접촉하는 인장 캡핑층을 포함하는 반도체 소자를 제공한다. 본 발명은 유전체층과 접촉하는 압축성 캡핑층을 더 포함한다. 반도체 소자는 전도성 상호접속을 부분적으로 둘러싸는 확산장벽층(diffusion barrier layer)을 더 포함할 수도 있다. 인장 캡핑층은 순차적으로 증착된 층들로 구성되는 것이 바람직하다.
인장 캡핑층은 이산화규소(SiO2), 질화규소(Si3N4), 및 규소 화합물, 예를 들면, SiCxNyHz (여기서, x, y 및 z는 가변적인 백분율이다)로 이루어진 군에서 선택된 물질로 구성되는 것이 바람직하다. 전도성 상호접속은 알루미늄, 구리, 텅스텐, 실버, 골드, 알루미늄-구리 및 니켈로 이루어진 군에서 선택된 물질로 구성되는 것이 바람직하다. 확산장벽층은 Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, W, 및 WN으로 이루어진 군에서 선택된 물질로 구성되는 것이 바람직하다.
본 발명은 또한 전도성 상호접속이 부분적으로 내재된 유전체층을 제공하는 단계; 상기 유전체층 및 상기 전도성 상호접속 상에 인장 캡핑층을 증착하는 단계; 상기 인장 캡핑층 상에 플라즈마 처리를 수행하는 단계; 상기 유전체층 상에 상기 인장 캡핑층의 일부를 제거하는 단계; 상기 인장 캡핑층 및 상기 유전체층 상에 압축성 캡핑층을 증착하는 단계; 및 상기 압축성 캡핑층을 상기 인장 캡핑층으로부터 제거하는 단계를 포함하는 반도체 소자의 제조방법을 제공한다.
인장 캡핑층은 대략 7 Torr(933 Pa)의 압력, 대략 400℃의 온도, 및 대략 600 Watts의 고주파 파워 및 대략 0 Watts의 저주파 파워에서 화학 증기 증착법에 의해 증착되는 것이 바람직하다. 상기 화학 증기 증착법은 대략 150sccm의 SiH4, 대략 8,000 sccm의 N2, 및 대략 2,500 sccm의 NH3의 가스 조성 및 유속을 포함한다.
상기 인장 캡핑층은 이산화규소, 질화규소 및 SiCxNyHz 형태의 규소, 탄소, 질소 및 수소의 화합물(여기서, x, y 및 z는 가변 백분율이다)로 이루어진 군에서 선택된 유전체 물질이 바람직하다.
상기 플라즈마 처리는 대략 5 Torr(667 Pa)의 압력, 대략 400℃의 온도, 및 대략 500 Watts의 고주파 파워 및 대략 0 Watts의 저주파 파워에서 이다. 상기 플라즈마 처리는 대략 40 초 동안 대략 8,000 sccm의 N2의 가스 조성 및 유속을 포함한다. 상기 인장 캡핑층과 플라즈마 처리는 제자리(in situ)에서 수행되는 것이 바람직하다.
상기 압축성 캡핑층은 상기 인장 캡핑층으로부터 화학기계적 폴리싱으로 제거되는 것이 바람직하다. 상기 인장 캡핑층은 상기 유전체층과 상기 전도성 상호접속 상에 2이상의 후속 증착 및 플라즈마 처리 단계에 의한 증착된 다중 증착 캡핑층인 것이 바람직하다.
본 발명은 또한 전도성 상호접속이 부분적으로 내재된 유전체층을 제공하는 단계; 상기 유전체층 및 상기 전도성 상호접속 상에 압축성 캡핑층을 증착하는 단계; 상기 전도성 상호접속 상에서 상기 압축성 캡핑층의 일부를 제거하는 단계; 상기 압축성 캡핑층 및 상기 상호접속 상에 인장 캡핑층을 증착하는 단계; 및 상기 인장 캡핑층을 상기 압축성 캡핑층으로부터 제거하는 단계를 포함하는 반도체 소자의 제조방법을 제공한다.
상기 인장 캡핑층은 대략 7 Torr(933 Pa)의 압력, 대략 400℃의 온도, 및 대략 600 Watts의 고주파 파워 및 대략 0 Watts의 저주파 파워에서 화학 증기 증착법에 의해 증착되는 것이 바람직하다. 상기 화학 증기 증착법은 대략 150sccm의 SiH4, 대략 8,000 sccm의 N2, 및 대략 2,500 sccm의 NH3의 가스 조성 및 유속을 포함한다.
상기 인장 캡핑층은 이산화규소, 질화규소 및 SiCxNyHz 형태의 규소, 탄소, 질소 및 수소의 화합물(여기서, x, y 및 z는 가변 백분율이다)로 이루어진 군에서 선택된 유전체 물질이 바람직하다. 상기 플라즈마 처리는 대략 5 Torr(667 Pa)의 압력, 대략 400℃의 온도, 및 대략 500 Watts의 고주파 파워 및 대략 0 Watts의 저주파 파워에서 이다. 상기 플라즈마 처리는 대략 40 초 동안 대략 8,000 sccm의 N2의 가스 조성 및 유속을 포함한다. 상기 인장 캡핑층과 상기 플라즈마 처리는 제자리(in situ)에서 수행되는 것이 바람직하다.
상기 압축성 캡핑층은 상기 인장 캡핑층으로부터 화학기계적 폴리싱으로 제거되는 것이 바람직하다. 상기 인장 캡핑층은 상기 유전체층과 상기 전도성 상호접속 상에 2이상의 후속 증착 및 플라즈마 처리 단계에 의한 증착된 다중 증착 캡핑층인 것이 바람직하다.
본 발명은 첨부하는 도면을 예시로서만 참조하여 더욱 상세히 설명된다.
도 1은 통상적인 상호접속 구조를 보여주는 개략적인 단면도이다.
도 2는 본 발명의 바람직한 구현예를 보여주는 개략적인 단면도이다.
도 3 내지 12는 본 발명에 따른 바람직한 구조를 보여주는 개략적인 단면도이다.
이어지는 설명에서, 다양한 특정 항목, 예를 들면, 특정 구조, 성분, 물질, 크기, 공정 단계 및 기술이 본 발명의 철저한 이해를 제공하기 위해 설명된다. 그러나, 당업자들에 의해 이들 특정 항목 없이 본 발명을 실시할 수 있다고 이해될 것이다. 다른 예에서, 잘 알려진 구조 또는 공정 단계는 발명을 애매하게 하는 것 을 피하기 위하여 상세히 설명되지 않는다.
층, 영역 또는 기판과 같은 부재가 다른 부재 "상에(on)" 또는 "위에(over)"로 언급되는 경우, 이것은 다른 부재상에 직접적으로 있을 수 있거나 또는 개재 부재(intervening elements)가 존재될 수도 있다고 이해되어질 것이다. 반면에, 부재가 다른 부재 "상에 직접적으로(directly on)" 또는 "위에 직접적으로(directly over)"로 언급되는 경우, 개재 부재가 존재하지 않는다. 또한, 부재가 다른 부재 "밑에(beneath)" 또는 "아래에(under)"로 언급되는 경우, 이것은 다른 부재 밑에 또는 아래에 직접적으로 있을 수 있거나 또는 개재 부재가 존재될 수 있다고 이해되어질 것이다. 반면에서, 부재가 다른 부재 "밑에 직접적으로(directly beneath)" 또는 "아래에 직접적으로(directly under)"로 언급되는 경우, 개재 부재가 존재하지 않는다.
본 발명의 바람직한 구현예는 도 2에 나타내어진다. 다중 증착 인장 캡핑층(40)은 구리 상호접속(10) 상에만 형성된다. 단일 증착 압축성 캡핑층(41)은 ILD(20) 표면에서만 형성된다. 본 발명에서, 특정적으로 맞추어진 CVD 증착 파라미터는 상호접속 상에 인장 스트레스 캡핑 층을 제공하기 위해 캡핑층 증착 사이에 후반 증착 표면 처리와 조합해서 사용된다. 바람직한 구현예에서, 단일 증착은 대략 50Å(5㎚) 미만으로 증착될 것이다. 바람직한 구현예에서, 다중층 인장 캡핑층(40)은 3번 이상의 후속적으로 증착되고 처리된 층들로 구성된다.
도 3을 참조하여, 본 발명의 바람직한 구현예를 보다 상세히 설명한다. 도 3은 다수의 금속 상호접속이 패턴화된 개구부에 형성된 전도성 상호접속 물질(101) 에 의해 제공되는 미소전자(microelectronic) 부재 또는 칩의 일부의 개략적인 단면도이다. 도 3은 인터레벨(interlevel) 유전체층 또는 "ILD"(102)가 형성되고 있는 제작 단계를 보여준다.
상기 ILD(102) 물질은 SiCOH 또는 SiLK와 같은 저-k 물질이 바람직하다. 상기 ILD(102) 물질은 전형적으로 대략 4.0 또는 그 미만의 유전 상수, 보다 전형적으로 대략 2.8 또는 그 미만의 유전 상수를 갖는다. 본 명세서에서 언급된 모든 유전 상수는 다르게 표시되지 않는 이상 진공에 관한 것이다. 이들 유전체들은 일반적으로 4.0 이상의 높은 유전 상수를 갖는 유전체 물질과 비교하여 보나 낮은 기생 크로스 톡(lower parasitic cross talk)을 갖는다.
ILD(102) 내에, 다수의 패턴화된 개구부가 전형적으로 유전체층(102)의 상부 표면에 의해 한정된 주표면(105)의 방향으로 수직으로 연장하는 또는 이런 표면에 수평으로 연장하는 라인 패턴의 형태로 제공된다. 각각의 패턴화된 개구부 내에, 확산 장벽 물질(103)이 각각의 개구부의 벽과 바닥을 따라서 증착되고, 이 후에 전도성 상호접속 물질(101)이 각각의 개구부 내의 남겨진 공간을 채우기 위해 증착된다. 이들 단계는 전형적으로 평면화 공정, 예를 들면, 화학기계적 폴리싱("CMP") 공정으로 이어지고, 이것은 패턴화된 개구부의 ILD(102) 외부면의 노출된 상부 표면(105)를 접촉하는 임의의 과량의 금속을 제거한다.
유전체층(102)에서 각각의 개구부를 채우는 전도성 상호접속 물질(101)은 바람직하게 금속, 예를 들면, 파괴-부식(destructive corrosion)이 잘되지 않고 우수한 전도성 특성을 갖는 귀금속이다. 그러나, 몇몇의 금속과 금속 합금은 집적된 회로 또는 칩의 BEOL 제작에서 전도성 상호접속 라인을 형성하기에 특히 적합한 것으로 두드러진다. 이런 금속은 알루미늄, 구리, 텅스텐, 실버, 골드, 알루미늄-구리 및 니켈을 포함한다. 특정 구현예에서, 유전체층(102)에서 패턴화된 개구부를 채우는 전도성 상호접속 물질(101)은 필수적으로 구리로 구성된다.
전도성 상호접속 물질(101)이 유전체 물질을 통해 확산하기 쉬운 구리 또는 다른 금속을 포함하는 경우, 즉, 금속이 "높은 확산계수"를 갖는 경우, 전도성 상호접속 물질(101)은 확산 장벽체로서 기능하는 금속 또는 금속 화합물의 층 위에 형성되는 것이 바람직하다. 확산 장벽 물질(103)은 구리가 전도성 상호접속 물질(101)로부터 금속 라인의 벽 및 바닥에 근접한 ILD(102)로 확산하는 것을 방지한다.
확산 장벽 물질(103)은 바람직하게 Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, W, WN 및 전도성 물질이 그것을 통과해 확산하는 것을 방지하는 장벽으로서 제공할 수 있는 임의의 다른 물질이다. 상기 확산 장벽 물질(103)은 장벽층(103)이 전도성 상호접속 물질(101)의 전도성 특성에 영향을 미치지 않거나, 또는 그의 유전체 특성에 영향을 미치는 ILD(102)의 유전체 물질과 상호작용하도록 전도성 상호접속 물질(101)과 상호작용하지 않는다. 증착은 증착 방법, 예를 들면, 원자층 증착법(ALD), 화학 증착법(CVD), 플라즈마 강화된 화학 증착법(PECVD), 스퍼터링, 화학적 용액 증착 또는 플레이팅(plating)으로 형성된다.
미도시된 기판은 반도체성 물질, 절연성 물질, 전도성 물질 또는 이들의 임의의 조합물을 포함할 수도 있다. 기판이 반도체성 물질로 구성되는 경우, 임의 의 반도체, 예를 들면, Si, SiGe, SiGeC, SiC, Ge 합금, GaAs, InAs, InP 및 기타 III/V 또는 II/VI 화합물 반도체가 사용될 수 있다. 이들 나열된 유형의 반도체성 물질 이외에도, 본 발명은 반도체 기판이 층을 이룬 반도체, 예를 들면, Si/SiGe, Si/SiC, 실리콘-온-인슐레이터(SOIs) 또는 실리콘 게르마늄-온-인슐레이터(SGOIs)인 경우도 포함한다.
기판이 절연 물질인 경우, 절연 물질은 유기 절연체, 무기 절연체 또는 이들의 다층을 포함하는 조합물이 될 수 있다. 기판이 전도성 물질인 경우, 기판은 예를 들면, 폴리Si, 원소 금속, 원소 금속들의 합금, 금속 규화물, 금속 질화물 이들의 다층을 포함하는 조합물을 포함할 수 있다. 기판이 반도체성 물질을 포함하는 경우, 하나 이상의 반도체 소자, 예를 들면, 상보성 금속 산화물 반도체(CMOS) 소자가 그 위에 제작될 수 있다. 기판이 절연체 물질과 전도성 물질의 조합물을 포함하는 경우, 기판은 다층을 이룬 상호접속 구조의 보다 낮은 상호접속 레벨을 나타낼 수 있다.
도 4를 참조하여 제 1 유전체 캡핑층(215)의 증착을 설명한다. 바람직한 구현예에서, CVD 증착 파라미터는 대략 7 Torr(933 Pa)의 압력, 대략 400℃의 온도, 및 대략 600 Watts의 HF 파워 및 대략 0 Watts의 LF 파워이다. 가스 조성과 유속 대략 150sccm의 SiH4, 대략 8,000 sccm의 N2, 및 대략 2,500 sccm의 NH3이다.
제 1 유전체 캡핑층(215)는 금속 채워진 라인 패턴에 상대적인 스트레스를 유지할 수 있는 임의의 유전체 물질 또는 유전체 물질의 조합물을 포함할 수 있다. 바람직하게, 제 1 유전체 캡핑층(215)는 일종 이상의 유전체 물질 또는 이산화규소(SiO2), 질화규소(Si3N4), 다른 유전체 규소 화합물, 예를 들면, SiCxYyNz(여기서, x, y 및 z는 가변적인 백분율이다)로 이루어진 군에서 선택된 유전체 물질들의 조합물로 필수적으로 구성된다.
증착 후, 제 1 유전체 캡핑층(215)은 연장된 시간, 고온 및 고주파 플라즈마 처리를 바람직하게 암모니아 및 질소 종(NH3 및 N2) 또는 선택적으로 수소(H2)을 사용하여 겪게 된다. 바람직한 구현예에서, 플라즈마 처리 파라미터는 대략 5 Torr(667 Pa)의 압력, 대략 400℃의 온도, 및 대략 500 Watts의 HF 파워 및 대략 0 Watts의 LF 파워이다. 상기 가스 조성과 유속은 대략 8,000 sccm의 N2이다. 상기 플라즈마 처리는 대략 40초 동안이다.
유전체 캡 증착 및 후속 플라즈마 처리는 제자리에서, 즉 동일한 공정 챔버에서 또는 하나 이상의 연결된 챔버들을 갖는 동일한 툴안에서 수행되는 것이 바람직하다. 이런 방식에서, ILD의 표면을 플라즈마 처리 후에 유전체 캡을 증착하기 위해 챔버로부터 기판을 수동적으로 제거할 필요없이 수행된다. 바람직한 구현예에서, 제 1 유전체 캡 층(215)는 ILD(102)의 노출된 상부 표면 및 전도성 상호접속 을 덮기위해 대략 50Å(5㎚) 미만의 두께로 증착된다. 증착후, 제 1 절연체 캡 층(215)의 노출된 상부 표면(225)는 제 2 플라즈마 처리를 겪는다. 제 2 플라즈마 처리는 인장 스트레스를 제 1 유전체 캡 층(215)에 나누어주는 효과를 갖는다.
도 5(a) 내지 도 5(b)를 참조하면, 제 2 유전체 캡핑 층(226)은 제 1 유전체 캡핑층(215)의 노출된 상부 표면(225)를 덮기 위해 증착된다. 제 1 유전체 캡핑층(215)과 마찬가지로, 제 2 유전체 캡핑층(226)은 바람직하게 약 50Å(5㎚) 미만의 두께로 증착된다. 제 1 유전체 캡핑층(215)와 마찬가지로, 제 2 유전체 캡핑층(226)은 스트레스를 유지할 수 있는 임의의 유전체 물질 또는 유전체 물질들의 조합물을 포함할 수 있다. 바람직하게, 제 2 유전체 캡핑층(226)은 일종 이상의 유전체 물질 또는 이산화규소, 질화규소 및 SiCxNyHz의 형태로 규소, 탄소, 질소 및 수소의 화합물(여기서, x, y 및 z는 가변적인 백분율이다)로 이루어진 군에서 선택된 물질들의 조합물로 필수적으로 구성된다.
바람직하게, 제 2 유전체 캡핑층(226)은 제 1 유전체 캡 층과 동일한 유전체 물질로 필수적으로 구성된다. 제 1 유전체 캡핑층(215)와 마찬가지로, 제 2 유전체 캡핑층(226)의 노출된 상부 표면(230)은 또한 증착 후에 바람직하게 제 1 유전체 캡핑층(215)의 플라즈마 처리 동안 사용된 동일한 파라미터와 종(species)를 사용하여 증착된 제 2 유전체 캡핑층(226)의 인장 스트레스를 제어하기 위해 플라즈마 처리가 진행된다. 예를 들면, 플라즈마 처리는 반응성 종으로써 암모니아와 질소의 혼합물 또는 선택적으로 수소를 포함할 수 있다. 제 1 유전체 캡핑층(215)의 경우에서와 같이, 이들 증착 및 플라즈마 처리 공정은 제자리에서 수행되는 것이 바람직하다.
추가로, 도 5(a) 내지 도 5(b)에 관하여, 제 2 유전체 캡핑층(226)의 증착 및 플라즈마 처리에 이어서, 제 3 유전체 캡핑층(236)이 제 2 유전체 캡핑층(226) 의 노출된 상부 표면(230)을 덮기 위해 증착된다. 제 1 유전체 캡핑층(215) 및 제 2 유전체 캡핑층(226)과 마찬가지로, 제 3 유전체 캡핑층(236)은 약 50Å 미만의 두꼐로 형성된다. 제 1 및 제 2 유전체 캡핑층(215, 226)과 마찬가지로, 제 3 유전체 캡핑층(236)은 스트레스를 유지할 수 있는 임의의 유전체 물질 또는 유전체 물질들의 조합물을 포함할 수 있다. 바람직하게, 제 3 유전체 캡핑층(236)은 하나 이상의 유전체 물질들 또는 이산화규소, 질화규소 및 SiCxNyHz의 형태로 규소, 탄소, 질소 및 수소의 화합물로 이루어진 군에서 선택된 물질들의 조합물로 필수적으로 구성된다.
바람직하게, 제 3 유전체 캡핑층(236)은 제 1 및 제 2 유전체 캡핑층과 동일한 유전체 물질로 필수적으로 구성된다. 제 1 및 제 2 유전체 캡핑층에 수행된 플라즈마 처리에서와 같이, 제 3 유전체 캡핑층(236)의 노출된 상부 표면(240)은 증착 후에, 제 3 유전체 캡핑층(236)에서 인장 스트레스를 제어 또는 생성하기 위하여, 바람직하게 제 1 및 제2 유전체 캡핑층의 플라즈마 처리 동안에 사용된 동일한 파라미터와 종들을 사용하여 플라즈마 처리가 진행된다. 예를 들어, 플라즈마 처리는 반응성 종으로써 암모니아 및 질소의 혼합물 또는 선택적으로 수소를 포함할 수 있다. 제 1 및 제 2 유전체 캡핑층의 경우에서와 같이, 이들 증착 및 플라즈마 처리 공정은 제자리에서 수행되는 것이 바람직하다.
유전체 캡핑층의 연속적인 증착 및 플라즈마 처리의 목적은 내부 인장 스트레스를 갖는 인장 유전체 캡핑층(200)을 얻기 위해서 이다. 내부적으로 인장 스트 레스된 유전체 캡핑층(200)은 이것과 접촉하는 전도성 상호접속 물질(101)의 표면에 압축성 스트레스를 작용한다. 결과적으로, 전도성 상호접속 물질(101), 예를 들면, 구리는 상기 설명된 문제가 될 수 있는 이것과 접촉하는 금속 피쳐(features) 상에 인장 스트레스를 덜 작용시키는 경향이 있다.
사용된 유전체 캡핑층의 수와 이들의 제작과 관련된 다른 파라미터는 주된 중요성을 갖지 않는다. 오히려, 유전체 캡핑층의 내부 인장 스트레스의 크기 및 안정성이 보다 더 중요하다. 따라서, 유전체 캡핑 물질의 단일층이 요구된 크기와 특성의 요구된 내부 인장 스트레스를 갖도록 증착되는 경우, 단일 유전체 캡핑층은 충분하다. 선택적으로 세개 이상의 이런 유전체 캡핑층이 이들 특성을 얻기 위해 요구되어 진다면, 세번 이상의 유전체 캡핑층이 상기 설명된 방법에 따라서 증착되고 플라즈마 처리될 필요가 있다. 도 5(a) 및 도 5(b)에서 설명된 바람직한 구현예에서, 인장층(200)은 세번 연속적으로 증착되고 플라즈마 처리가 수행된 층으로 구성된다.
도 6을 참조하여 통상적인 리소그래피 및 식각 공정에 의해 ILD(102) 표면에 인장 캡핑층(200)의 일부가 제거되는 것을 보여준다. 상기 리소그래피 공정은 포토레지스트를 적용하는 단계, 포토레지스트를 요구된 패턴으로 방사 노출시키는 단계 및 노출된 레지스트를 통상적인 레지스트 현상제로 현상시키는 단계를 포함한다. 상기 식각 단계는 건조 식각 공정, 습식 화학 식각 공정 또는 이들의 조합을 포함할 수 있다. "건조 식각"의 용어는 본 명세서에서 반응성 이온 식각, 이온 빔 식각, 플라즈마 식각 또는 레이저 제거(laser ablation)와 같은 식각 기술을 나타 낸다.
도 7을 참조하여, 통상적인 공정에 의한 단일 압축성 유전체층(416)의 증착을 보여준다. 블랭킷(blanket) 증착은 인장 유전체 캡핑층(200) 및 ILD(102)의 재-노출된 상부 표면(105) 위에 압축성 유전체층(416)을 증착한다.
도 8을 참조하여, CMP 후의 구조를 설명한다. 인장층(200) 상에 증착된 압축성 층 물질의 제거는 전도성 상호접속 물질(101) 상에만 형성된 다중 증착 인장 캡핑층(200)을 초래한다. 단일 증착 압축성 유전체층(416)은 ILD(102) 표면에만 형성된다. 바람직한 본 구현예에서, 다중 증착 인장 캡핑층(200)은 세번의 연속적으로 증착 및 처리된 층으로 구성된다.
도 9를 참조하여, 본 발명의 다른 구현예를 설명한다. 상기 설명된 바와 같이, 전도성 상호접속 물질(101)은 인터 레벨 유전체(ILD) 물질(102)로 형성된다. 전도성 상호접속 물질(101)과 ILD(102) 사이에 또한 확산 장벽 물질(103)이 있을 것이다. 증착된 단일 압축성 유전체층(416)이 있다.
도 10을 참조하여, 통상적인 리소그래피 및 식각 공정에 의한 전도성 상호접속 물질(101) 표면 상에 단일 압축성 유전체층(416)의 일부의 제거를 설명한다.
도 11을 참조하여, 인장 유전체 캡핑층(200)의 증착을 설명한다. 블랭킷 증착은 압축성 유전체층(416) 및 전도성 상호접속 물질(101) 위에 인장 유전체 층(200)을 증착할 것이다. 바람직한 본 구현예에서, 인장층(200)은 종래 구현예에서 설명되고 및 도 4와 5에서 설명된 바와 같이 다중의 연속적으로 증착되고 플라즈마 처리가 수반된 층으로 구성된다.
도 12를 참조하여, CMP 후의 구조를 설명한다. 압축성 층(416) 상에 증착된 인장층 물질의 제거는 전도성 상호접속 물질(101) 상에만 형성된 다중 증착 인장 캡핑층(200)을 초래한다. 단일 증착 압축성 유전체층(416)은 ILD(102) 표면에만 형성된다.

Claims (10)

  1. 반도체 소자에 있어서,
    적어도 하나의 전도성 상호접속이 부분적으로 내재된 유전체층;
    상기 적어도 하나의 전도성 상호접속과 접촉하는 다중 증착 인장 캡핑층; 및
    상기 유전체층과 접촉하고 상기 인장 캡핑층과 동일 평면 상에 있는 압축성 캡핑층을 포함하는 반도체 소자.
  2. 제1항에 있어서, 상기 적어도 하나의 전도성 상호접속을 부분적으로 둘러싸는 확산장벽층을 더 포함하는 반도체 소자
  3. 제1항에 있어서, 상기 인장 캡핑층은 순차적으로 증착된 층들로 구성되는 것인 반도체 소자.
  4. 제1항에 있어서, 상기 인장 캡핑층은 이산화규소(SiO2), 질화규소(Si3N4), 및 SiCxNyHz의 규소 화합물(여기서, x, y 및 z는 가변적인 백분율이다)로 이루어진 군에서 선택된 물질로 구성되는 것인 반도체 소자.
  5. 제1항에 있어서, 상기 적어도 하나의 전도성 상호접속은 알루미늄, 구리, 텅스텐, 실버, 골드, 알루미늄-구리 및 니켈로 이루어진 군에서 선택된 물질로 구성되는 반도체 소자.
  6. 반도체 소자의 제조방법에 있어서,
    적어도 하나의 전도성 상호접속이 부분적으로 내재된 유전체층을 제공하는 단계 ? 상기 적어도 하나의 전도성 상호접속의 상부 표면은 상기 유전체층의 표면과 실질적으로 동일 평면임 ? ;
    상기 유전체층 및 상기 적어도 하나의 전도성 상호접속 상에 인장 캡핑층을 증착하는 단계 ? 상기 인장 캡핑층은 상기 적어도 하나의 전도성 상호접속과 접촉함 ? ;
    상기 인장 캡핑층 상에 플라즈마 처리를 수행하는 단계;
    상기 유전체층 상에 상기 인장 캡핑층의 일부를 제거하는 단계 ? 상기 인장 캡핑층은 상기 적어도 하나의 전도성 상호접속과 접촉하여 남아 있음 ? ;
    상기 인장 캡핑층 및 유전체층 상에 압축성 캡핑층을 증착하는 단계; 및
    상기 압축성 캡핑층을 상기 인장 캡핑층으로부터 제거하는 단계 ? 상기 압축성 캡핑층은 상기 유전체층 상에 형성되고 상기 인장 캡핑층 근처에 있음 ? 를 포함하고,
    상기 인장 캡핑층은 상기 유전체 층 및 상기 적어도 하나의 전도성 상호접속 상에 적어도 2번의 순차적 증착 및 플라즈마 처리 단계에 의해 증착된 다중 증착 캡핑층이고,
    상기 인장 캡핑층은 상기 전도성 상호접속의 표면에서 압축성 스트레스를 가하는 반도체 소자의 제조방법.
  7. 반도체 소자의 제조방법에 있어서,
    적어도 하나의 전도성 상호접속이 부분적으로 내재된 유전체층을 제공하는 단계;
    상기 유전체층 및 상기 적어도 하나의 전도성 상호접속 상에 압축성 캡핑층을 증착하는 단계;
    상기 적어도 하나의 전도성 상호접속 상에서 상기 압축성 캡핑층의 일부를 제거하는 단계;
    상기 압축성 캡핑층 및 상기 적어도 하나의 전도성 상호접속 상에 인장 캡핑층을 증착하는 단계; 및
    상기 인장 캡핑층을 상기 압축성 캡핑층으로부터 제거하는 단계를 포함하고,
    여기서, 상기 인장 캡핑층은 상기 압축성 캡핑층 및 상기 적어도 하나의 전도성 상호접속 상에 적어도 2번의 순차적 증착 및 플라즈마 처리 단계에 의해 증착된 다중 증착 캡핑층인 반도체 소자의 제조방법.
  8. 제6항 또는 제7항에 있어서, 상기 인장 캡핑층은 7 Torr(933 Pa)의 압력, 400℃의 온도, 및 600 Watts의 고주파 파워 및 0 Watts의 저주파 파워에서 화학 증기 증착법에 의해 증착되는 반도체 소자의 제조방법.
  9. 제6항 또는 제7항에 있어서, 상기 인장 캡핑층은 이산화규소, 질화규소 및 SiCxNyHz 형태의 규소, 탄소, 질소 및 수소의 화합물(여기서, x, y 및 z는 가변 백분율이다)로 이루어진 군에서 선택된 유전체 물질인 반도체 소자의 제조방법.
  10. 제6항에 있어서, 상기 플라즈마 처리는 5 Torr(667 Pa)의 압력, 400℃의 온도, 및 500 Watts의 고주파 파워 및 0 Watts의 저주파 파워에서 처리되는 반도체 소자의 제조방법.
KR1020097010293A 2006-11-29 2007-10-25 이중 라이너 캡핑층 상호접속 구조물 KR101126850B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/564,314 2006-11-29
US11/564,314 US7576003B2 (en) 2006-11-29 2006-11-29 Dual liner capping layer interconnect structure and method
PCT/EP2007/061481 WO2008064963A1 (en) 2006-11-29 2007-10-25 Dual liner capping layer interconnect structure

Publications (2)

Publication Number Publication Date
KR20090085066A KR20090085066A (ko) 2009-08-06
KR101126850B1 true KR101126850B1 (ko) 2012-03-23

Family

ID=38846827

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097010293A KR101126850B1 (ko) 2006-11-29 2007-10-25 이중 라이너 캡핑층 상호접속 구조물

Country Status (5)

Country Link
US (3) US7576003B2 (ko)
EP (1) EP2092559A1 (ko)
JP (1) JP5325113B2 (ko)
KR (1) KR101126850B1 (ko)
WO (1) WO2008064963A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7625815B2 (en) * 2006-10-31 2009-12-01 International Business Machines Corporation Reduced leakage interconnect structure
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US7687877B2 (en) * 2008-05-06 2010-03-30 International Business Machines Corporation Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8299365B2 (en) * 2010-01-07 2012-10-30 International Business Machines Corporation Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures
KR20180015767A (ko) 2011-11-04 2018-02-13 인텔 코포레이션 자기 정렬 캡의 형성 방법 및 장치
US9431235B1 (en) 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
JP2016207945A (ja) * 2015-04-27 2016-12-08 株式会社東芝 半導体装置および半導体装置の製造方法
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11037799B2 (en) * 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd Metal heterojunction structure with capping metal layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040016388A (ko) * 2002-08-14 2004-02-21 인터내셔널 비지네스 머신즈 코포레이션 응력 조정 캡층을 포함한 상호 접속 구조
US20050282404A1 (en) * 2004-06-21 2005-12-22 Applied Materials, Inc., A Delaware Corporation Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3895156A (en) * 1966-01-28 1975-07-15 Gen Atomic Co High strength composite
US4062720A (en) * 1976-08-23 1977-12-13 International Business Machines Corporation Process for forming a ledge-free aluminum-copper-silicon conductor structure
JPS63164344A (ja) * 1986-12-26 1988-07-07 Toshiba Corp 半導体装置
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5668055A (en) * 1995-05-05 1997-09-16 Applied Materials, Inc. Method of filling of contact openings and vias by self-extrusion of overlying compressively stressed matal layer
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6319819B1 (en) * 2000-01-18 2001-11-20 Advanced Micro Devices, Inc. Process for passivating top interface of damascene-type Cu interconnect lines
US6383925B1 (en) * 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
US6506677B1 (en) * 2001-05-02 2003-01-14 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance
US6429128B1 (en) * 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
US6764951B1 (en) * 2002-02-28 2004-07-20 Advanced Micro Devices, Inc. Method for forming nitride capped Cu lines with reduced hillock formation
US6797652B1 (en) * 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
JP4099340B2 (ja) * 2002-03-20 2008-06-11 Tdk株式会社 コイル封入圧粉磁芯の製造方法
JP4606713B2 (ja) * 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6818557B1 (en) * 2002-12-12 2004-11-16 Advanced Micro Devices, Inc. Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance
JP4647184B2 (ja) * 2002-12-27 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US20060027924A1 (en) * 2004-08-03 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Metallization layers for crack prevention and reduced capacitance
US7779782B2 (en) * 2004-08-09 2010-08-24 Lam Research Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
US20060046502A1 (en) * 2004-08-27 2006-03-02 Ngo Minh V Deposition of hard-mask with minimized hillocks and bubbles
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
DE102005004384A1 (de) * 2005-01-31 2006-08-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur
DE102005057057B4 (de) * 2005-11-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
JP2007214403A (ja) * 2006-02-10 2007-08-23 Renesas Technology Corp 半導体装置の製造方法
US20070246830A1 (en) * 2006-04-21 2007-10-25 Toshiba America Electronic Components, Inc. Long-lifetime interconnect structure and method for making

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040016388A (ko) * 2002-08-14 2004-02-21 인터내셔널 비지네스 머신즈 코포레이션 응력 조정 캡층을 포함한 상호 접속 구조
US20050282404A1 (en) * 2004-06-21 2005-12-22 Applied Materials, Inc., A Delaware Corporation Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
ISHIKAWA K et al. Interconnect Technology Conference, 2005, pages 39-41*

Also Published As

Publication number Publication date
US20080293257A1 (en) 2008-11-27
US7576003B2 (en) 2009-08-18
JP2010511299A (ja) 2010-04-08
EP2092559A1 (en) 2009-08-26
US20080290519A1 (en) 2008-11-27
US7709960B2 (en) 2010-05-04
US7772119B2 (en) 2010-08-10
US20080122045A1 (en) 2008-05-29
WO2008064963A1 (en) 2008-06-05
KR20090085066A (ko) 2009-08-06
JP5325113B2 (ja) 2013-10-23

Similar Documents

Publication Publication Date Title
KR101126850B1 (ko) 이중 라이너 캡핑층 상호접속 구조물
US11177167B2 (en) Ultrathin multilayer metal alloy liner for nano Cu interconnects
US10854508B2 (en) Interconnection structure and manufacturing method thereof
EP1943675B1 (en) Metal interconnect structure for a microelectronic element
US8278205B2 (en) Semiconductor device and method for manufacturing the same
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
KR20110001894A (ko) 비아 가우징 구성요소를 갖는 인터커넥트 구조 및 그 제조방법
KR20080059559A (ko) 배리어 향상을 위한 산소/질소 전이 영역을 포함하는 도금시드층
US8957519B2 (en) Structure and metallization process for advanced technology nodes
WO2006046487A1 (ja) 半導体装置および半導体装置の製造方法
US20140216342A1 (en) Processing system for combined metal deposition and reflow anneal for forming interconnect structures
EP2342743A1 (en) Discontinuous/non-uniform metal cap structure and process for interconnect integration
WO2007149720A2 (en) Semiconductive device having resist poison aluminum oxide barrier and method of manufacture
US8110498B2 (en) Method for passivating exposed copper surfaces in a metallization layer of a semiconductor device
US7871935B2 (en) Non-plasma capping layer for interconnect applications

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee