KR101109902B1 - Method of manufacturing photomask, pattern transfer method, processing device for photomask substate , and thin film patterning method - Google Patents

Method of manufacturing photomask, pattern transfer method, processing device for photomask substate , and thin film patterning method Download PDF

Info

Publication number
KR101109902B1
KR101109902B1 KR1020100000415A KR20100000415A KR101109902B1 KR 101109902 B1 KR101109902 B1 KR 101109902B1 KR 1020100000415 A KR1020100000415 A KR 1020100000415A KR 20100000415 A KR20100000415 A KR 20100000415A KR 101109902 B1 KR101109902 B1 KR 101109902B1
Authority
KR
South Korea
Prior art keywords
photomask
resist
pattern
film
resist film
Prior art date
Application number
KR1020100000415A
Other languages
Korean (ko)
Other versions
KR20100081937A (en
Inventor
다까시 아이자와
Original Assignee
호야 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 호야 가부시키가이샤 filed Critical 호야 가부시키가이샤
Publication of KR20100081937A publication Critical patent/KR20100081937A/en
Application granted granted Critical
Publication of KR101109902B1 publication Critical patent/KR101109902B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition

Abstract

포토마스크를 제조하기 위해서, 기판 위에, 차광성의 박막과, 레지스트막을 형성한 포토마스크 블랭크를 준비한다. 그 포토마스크 블랭크의 레지스트막 위에 묘화기를 이용하여 원하는 전사 패턴을 묘화한다. 묘화 후, 레지스트막을 현상하여 레지스트 패턴을 형성한다. 다음으로, 레지스트 패턴을 마스크로 하여, 박막을에칭한다. 또한, 묘화 시에 레지스트에 재입사하는 불필요한 에너지에 의한 노광의 영향을 없애기 위해서, 상기 묘화 후로서, 레지스트막의 현상 전에, 레지스트막의 표면에, 적어도 산소를 함유하는 산화 물질을 접촉시키는 소정의 표면 처리를 행한다.In order to manufacture a photomask, the light shielding thin film and the photomask blank in which the resist film was formed on the board | substrate are prepared. A desired transfer pattern is drawn on the resist film of the photomask blank by using a drawer. After drawing, the resist film is developed to form a resist pattern. Next, the thin film is etched using the resist pattern as a mask. In addition, in order to eliminate the influence of exposure due to unnecessary energy reentering the resist at the time of drawing, after the drawing, a predetermined surface treatment for contacting the surface of the resist film with an oxidizing substance containing at least oxygen before developing the resist film. Is done.

Description

포토마스크의 제조 방법, 패턴 전사 방법, 포토마스크 기판용 처리 장치, 및 박막 패터닝 방법{METHOD OF MANUFACTURING PHOTOMASK, PATTERN TRANSFER METHOD, PROCESSING DEVICE FOR PHOTOMASK SUBSTATE , AND THIN FILM PATTERNING METHOD}Photomask manufacturing method, pattern transfer method, photomask substrate processing apparatus, and thin film patterning method TECHNICAL FIELD [0001]

본 발명은, 반도체 장치 제조 등에 사용되는 노광용 포토마스크에 관한 것으로, 특히 그 포토마스크 패턴을 형성하기 위한 포토마스크의 제조 방법, 그 포토마스크를 이용한 패턴 전사 방법, 포토마스크 기판용 처리 장치, 및 박막 패터닝 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an exposure photomask used in semiconductor device manufacture and the like, and in particular, a method of manufacturing a photomask for forming the photomask pattern, a pattern transfer method using the photomask, a processing apparatus for a photomask substrate, and a thin film. It relates to a patterning method.

일반적으로, 반도체 장치 등의 전자 디바이스의 제조 공정에서는, 포토리소그래피법을 이용하여 미세 패턴의 전사가 행하여지고 있다. 또한,이 미세 패턴의 전사에는 통상 수매의 포토마스크라고 불리고 있는 기판이 사용된다. 이 포토마스크는, 일반적으로 투광성의 글래스 기판 위에, 금속 박막 등으로 이루어지는 미세 포토마스크 패턴을 형성한 것이며, 이 포토마스크의 제조에서도, 리소그래피법이 이용되고 있다.Generally, in the manufacturing process of electronic devices, such as a semiconductor device, fine pattern transfer is performed using the photolithographic method. In addition, the board | substrate normally called the photomask of several purchases is used for transfer of this fine pattern. This photomask generally forms a fine photomask pattern made of a metal thin film or the like on a light-transmissive glass substrate, and a lithography method is also used in the production of this photomask.

리소그래피법에 의한 포토마스크의 제조에는, 글래스 기판 등의 투광성 기판 위에 전사 패턴(마스크 패턴)을 형성하기 위한 박막(예를 들면 차광막 등)을 갖는 포토마스크 블랭크가 이용된다. 이 포토마스크 블랭크를 이용한 포토마스크의 제조는, 포토마스크 블랭크 위에 형성된 레지스트막에 대하여, 원하는 전사 패턴을 묘화하는 묘화 공정과, 상기 레지스트막을 현상하여 레지스트 패턴을 형성하는 현상 공정과, 이 레지스트 패턴을 마스크로 하여 상기 박막을 에칭하는 에칭 공정과, 잔존한 레지스트 패턴을 박리 제거하는 공정을 갖고 행하여지고 있다. 상기 현상 공정에서는, 포토마스크 블랭크 위에 형성된 레지스트막에 대하여 원하는 포토마스크 패턴 묘화를 실시한 후에 현상액을 공급하여, 현상액에 가용한 레지스트막의 부위를 용해 제거하여, 레지스트 패턴을 형성한다. 또한, 상기 에칭 공정에서는, 이 레지스트 패턴을 마스크로 하여, 통상은 드라이 에칭에 의해, 레지스트 패턴이 형성되어 있지 않은 박막이 노출된 부위를 제거하고, 이에 의해 원하는 포토마스크 패턴을 투광성 기판 위에 형성한다. 이와 같이 하여, 포토마스크가 완성된다.In the production of a photomask by the lithography method, a photomask blank having a thin film (for example, a light shielding film) for forming a transfer pattern (mask pattern) on a light transmissive substrate such as a glass substrate is used. The photomask manufacturing using the photomask blank includes a drawing step of drawing a desired transfer pattern with respect to a resist film formed on the photomask blank, a developing step of developing the resist film to form a resist pattern, and using the resist pattern It is performed with the etching process of etching the said thin film as a mask, and the process of peeling and removing the remaining resist pattern. In the above development step, after the desired photomask pattern drawing is performed on the resist film formed on the photomask blank, a developer is supplied to dissolve and remove a portion of the resist film available in the developer to form a resist pattern. In addition, in the said etching process, using this resist pattern as a mask, the site | part which the thin film in which the resist pattern is not formed is normally removed by dry etching, and a desired photomask pattern is formed on a light transmissive substrate by this. . In this way, a photomask is completed.

최근, 고집적도의 반도체 장치를 비롯한 전자 디바이스를 제조할 필요성이 높아져 가고 있다. 반도체 장치 등의 전자 디바이스 패턴의 미세화의 요구에 수반하여, 전자 디바이스를 제조하기 위해서 이용하는 포토마스크에 형성되는 포토마스크 패턴에 대해서도 미세화의 필요성이 높아지고 있다.In recent years, the necessity of manufacturing an electronic device including a highly integrated semiconductor device is increasing. With the demand for miniaturization of electronic device patterns such as semiconductor devices, the necessity of miniaturization also increases with respect to photomask patterns formed on photomasks used for manufacturing electronic devices.

예를 들면, 일본 특개평 11-204415호 공보(특허 문헌 1)에는, 전자 빔의 조사량을 조사 위치마다 구하여, 흐려짐 즉 불필요한 노광의 영향에 의한 조사량 변동을 억제하는 묘화 방법이 개시되어 있다. 전자 빔을 이용하여 레지스트막에 패턴을 묘화할 때, 근접 효과의 영향이나, 시료 기판에 조사된 전자 빔의 반사, 시료실 내벽에서의 다중 반사 등에 의해, 원하는 전자 빔 이외의 조사(흐려짐)가 생겨, 시료면 내의 조사량에 분포가 생기게 된다. 이에 대하여, 이들 근접 효과 및 흐려짐의 영향에 의한 조사량을 연산하고, 전자 빔의 각 조사 위치에서, 시료 기판에 대하여 공급되는 실조사량이 소정 조사량으로 되도록, 상기 연산된 조사량에 따라서 광학계로부터 조사되는 조사량을 구하는 것이 개시되어 있다.For example, Japanese Unexamined Patent Application Publication No. 11-204415 (Patent Document 1) discloses a drawing method for obtaining an irradiation amount of an electron beam for each irradiation position and suppressing fluctuations in irradiation amount due to blur, i.e., unnecessary exposure. When drawing a pattern on a resist film using an electron beam, irradiation (blurring) other than the desired electron beam occurs due to the effect of the proximity effect, reflection of the electron beam irradiated onto the sample substrate, multiple reflections on the inner wall of the sample chamber, and the like. Occurs, and distribution occurs in the dose in the sample surface. On the other hand, the irradiation amount irradiated from the optical system in accordance with the calculated irradiation amount is calculated so that the irradiation amount due to the influence of these proximity effects and blurring is calculated and the actual irradiation amount supplied to the sample substrate at each irradiation position of the electron beam becomes a predetermined irradiation amount. Obtaining is disclosed.

또한, 일본 특개평 11-288105호 공보(특허 문헌 2)에는, 포지티브형 레지스트를 이용하여 패턴을 형성할 때, 레지스트막의 표면에 난용화층이 형성되는 것을 억제하기 위해서, 포지티브형 레지스트막에 패터닝 노광하고 나서 노광 후 베이크를 실시하고, 다음으로 그 레지스트막을 산성 용액(예를 들면 질산)과 접촉시킨 후, 현상 처리하는 레지스트 패턴 형성 방법이 개시되어 있다. 이 레지스트막의 표면에 난용화층이 형성되는 원인에 대해서는, 광의 작용에 의한 산발생제를 이용한 화학 증폭형의 포지티브형 레지스트에서는, 공기 중의 아민 때문에 산의 실활이 일어나기 때문인 것이 기재되어 있다.In addition, Japanese Patent Laid-Open No. 11-288105 (Patent Document 2) discloses patterning on a positive resist film in order to suppress formation of a poorly soluble layer on the surface of the resist film when a pattern is formed using a positive resist. After the exposure, a post exposure bake is performed, and then a resist pattern formation method is disclosed in which the resist film is brought into contact with an acidic solution (for example, nitric acid) and then developed. The reason why the poorly soluble layer is formed on the surface of the resist film is described in the chemically amplified positive resist using an acid generator by the action of light, because acid deactivation occurs due to amine in the air.

예를 들면, 하프 피치 45㎚, 32㎚ 등의 초미세 LSI 디바이스에 이용하는 포토마스크 패턴을 포토마스크 위에 형성하기 위해서는, 가능한 한 고감도, 고해상도의 레지스트를 사용하여, 전자 빔에 의해 정밀한 미세 레지스트 패턴을 형성하는 것이 일반적으로는 유리하고, 예를 들면 화학 증폭형 EB(전자선) 레지스트가 바람직하다. 그러나, 이와 같은 고감도, 고해상도의 EB 레지스트를 사용하면, 특히 레지스트의 표층부에서는, 묘화기 내에서 생기는 약간의 미광(전자)에 의해서도, 레지스트의 감광(반응) 에너지의 임계값에 도달하여, 레지스트 패턴을 형성하게 되는 경향이 있는 것이 본 발명자에 의해 발견되었다.For example, in order to form a photomask pattern used for ultrafine LSI devices such as half pitch 45 nm and 32 nm on the photomask, a fine resist pattern as precise as possible by an electron beam is used by using a highly sensitive and high resolution resist as much as possible. It is generally advantageous to form, for example, chemically amplified EB (electron beam) resists are preferred. However, when such a highly sensitive, high-resolution EB resist is used, in particular at the surface layer portion of the resist, even a slight stray light (electron) generated in the drawing machine reaches a threshold value of the photosensitive (reaction) energy of the resist, thereby causing a resist pattern. It has been found by the inventors that there is a tendency to form.

이와 같은 본 발명자에 의해 발견된 상기 과제에 대하여, 예를 들면 특허 문헌 1에 개시된 방법은, 흐려짐(즉, 불필요한 노광)의 영향을 줄이기 위해서 묘화에 사용되는 전자 빔의 조사량을 조정하는 방법이기 때문에, 흐려짐의 영향이 지나치게 강한 경우에는, 포토마스크 패턴이 없는 영역에 생기는 흐려짐을 보정할 수는 없고, 따라서, 상기 과제를 해결하기 위해서는, 특허 문헌 1의 방법만으로는 불충분하다.As for the above-described problems discovered by the present inventors, for example, the method disclosed in Patent Document 1 is a method of adjusting the irradiation amount of the electron beam used for drawing in order to reduce the effect of blur (that is, unnecessary exposure). In the case where the influence of the blur is too strong, the blur generated in the region without the photomask pattern cannot be corrected. Therefore, in order to solve the above problem, only the method of Patent Document 1 is insufficient.

또한, 특허 문헌 2에 개시된 방법에 의하면, 노광 후 베이크 후, 현상 처리 전의 레지스트막에 예를 들면 산성 용액으로서 질산을 접촉시키면, 표면에 잔류하는 질산이, 그 후, 포토마스크 기판 표면에 제공된 현상액과 반응하거나, 또는, 분위기 속의 암모니아 가스 등의 물질과 반응하여, 질산 암모늄 등의 이물을 생성시킨다고 하는 문제가 생긴다. 이와 같은 이물은, 에칭 공정에서의 불량을 발생시키거나, 포토마스크 위에 형성된 전사 패턴과 함께 피전사체 위에도 전사되거나 하여, 불량 디바이스가 제조되는 요인으로 되기 때문에, 이물의 발생은 방지할 필요가 있다. 즉, 상기 과제를 해결하기 위해서, 특허 문헌 2의 방법을 채용할 수는 없다.In addition, according to the method disclosed in Patent Document 2, when nitric acid is brought into contact with the resist film after exposure baking and before development, for example, as an acidic solution, the nitric acid remaining on the surface is then developed on the surface of the photomask substrate. Or a substance such as ammonium nitrate is generated by reacting with a substance such as ammonia gas in the atmosphere. Such foreign matters are caused to cause defects in the etching process, or are also transferred onto the transfer body together with the transfer pattern formed on the photomask, resulting in the manufacture of defective devices. Therefore, foreign matters need to be prevented. That is, in order to solve the said subject, the method of patent document 2 cannot be employ | adopted.

또한, 본 발명자의 검토에 의하면, 상기한 바와 같은 초미세 패턴 형성에 유리한 고감도, 고해상도의 레지스트를 사용하였을 때에, 묘화 후의 현상 공정 후에 원하는 레지스트 패턴이 형성되지 않는 경우가 있는 것을 밝혀냈다. 구체적으로는, 예를 들면 네가티브형 레지스트를 사용하면, 현상 후에 용해 제거되어야 할, 미묘화 영역에서, 레지스트 최표면에 용해 제거되지 않은 레지스트가 잔류하거나, 때로는 레지스트 조각이 포토마스크 기판 위에 부착되거나 하는 등의 현상이 보였다. 본래이면, 이들 레지스트는 현상에 의해 완전하게 용해되어야 할 것이다. 또한, 이와 같은 현상 시의 용해 불량의 현상은, 전사 패턴 중에서도, 보다 미세한 디바이스 패턴만이 배열된 영역에서는 발생하기 어렵지만, 비교적 대면적의 노광 영역의 근방에서는, 미세 패턴이 배열된 영역이 있든 없든 상관없이 이와 같은 문제점이 발생하기 쉬운 것인 것이 확인되었다.Moreover, according to the examination of the present inventors, when using the highly sensitive and high resolution resist which is advantageous for the ultrafine pattern formation mentioned above, it turned out that the desired resist pattern may not be formed after the image development process after drawing. Specifically, using a negative resist, for example, in the unfinished region, which must be dissolved away after development, unresisted resist remains on the outermost surface of the resist, or sometimes a piece of resist adheres onto the photomask substrate. This phenomenon was seen. Inherently, these resists would have to be completely dissolved by development. In addition, the phenomenon of the poor melt | dissolution at the time of this development is hard to produce in the area | region where only a finer device pattern is arranged among the transfer patterns, but in the vicinity of the exposure area of a comparatively large area, with or without the area | region in which a fine pattern is arrange | positioned. It was confirmed that such a problem is likely to occur regardless.

따라서 본 발명의 목적은, 초미세 LSI 디바이스에 이용하는 포토마스크 패턴을 형성하는 데에 적합한 포토마스크의 제조 방법을 제공하는 것이다.It is therefore an object of the present invention to provide a method for producing a photomask suitable for forming a photomask pattern for use in an ultrafine LSI device.

본 발명의 다른 목적은, 그 포토마스크를 이용한 패턴 전사 방법을 제공하는 것이다.Another object of the present invention is to provide a pattern transfer method using the photomask.

본 발명의 또 다른 목적은, 그 포토마스크를 제조하는 데에 바람직하게 이용되는 포토마스크 기판용 처리 장치를 제공하는 것이다.Still another object of the present invention is to provide a processing apparatus for a photomask substrate, which is preferably used for producing the photomask.

본 발명의 또 다른 목적은, 기판 위에 형성한 박막을 패터닝하는 박막 패터닝 방법을 제공하는 것이다.Still another object of the present invention is to provide a thin film patterning method for patterning a thin film formed on a substrate.

본 발명자는, 전술한 바와 같이, 비교적 대면적의 노광 영역의 근방에서 현상 시의 용해 불량의 현상이 발생하기 쉬운 것인 것으로부터, 묘화 에너지의 면내의 분포와, 상기 현상의 발생 사이에 상관이 있는 것으로 추찰하였다. 또한, 상기한 바와 같은 초미세 패턴 형성에 유리한 레지스트는, 고해상도로 되도록 설계되는 한편, 레지스트 패턴의 구형성(矩刑性)을 높이기 위해서, 고가속 전압의 빔을 사용하기 때문에, 묘화기 내의 미광으로 되어 레지스트에 재입사하는 에너지의 양도 크다. 이 때문에, 불필요한 노광(흐려짐)의 원인으로 되는 에너지가 증가하게 된다고 생각된다. 그리고, 의도한 원하는 전사 패턴을 레지스트막 위에 정확하게 형성하는 것을 방해하고 있는 것으로 추찰하였다. 또한, 상기의 묘화 시에 생기는 흐려짐이란, 에너지 빔(전자 빔)이, 레지스트막을 갖는 포토마스크 블랭크에 조사된 후, 레지스트면이나 기판면 등에서 반사되고, 또한 묘화기 내의 다양한 면에서 다중 반사되고 나서, 레지스트를 감광시키는 경우나, 포토마스크 블랭크의 표면이나 내부에서 2차 전자나 전자파를 발생시키고, 이 2차 전자 등의 에너지가 묘화기 내에서 다중 반사 되어, 레지스트를 감광시키는 경우 등의, 불필요한 에너지의 조사에 의한 레지스트의 감광을 나타낸다.As described above, the inventors of the present invention tend to easily cause dissolution at the time of development in the vicinity of the relatively large area of the exposure area, so that there is a correlation between the in-plane distribution of the drawing energy and the occurrence of the phenomenon. Was inferred. In addition, the resist, which is advantageous for forming the ultrafine pattern as described above, is designed to have a high resolution, and in order to increase the spherical shape of the resist pattern, a beam of high acceleration voltage is used, so that stray light in the drawing machine is used. The amount of energy reincident to the resist is also large. For this reason, it is thought that the energy which causes unnecessary exposure (cloudiness) increases. Then, it was inferred that the desired desired transfer pattern was prevented from being accurately formed on the resist film. In addition, the blur caused at the time of drawing means that the energy beam (electron beam) is irradiated onto the photomask blank having the resist film, then is reflected on the resist surface, the substrate surface, or the like, and is then multi-reflected on various surfaces in the drawing machine. This is unnecessary when the photoresist is exposed to photoresist, or when secondary electrons or electromagnetic waves are generated on the surface or inside of the photomask blank, and the energy of the secondary electrons is reflected back in the drawing machine to expose the resist. The photosensitive of the resist by the irradiation of energy is shown.

따라서, 본 발명자는, 더욱 예의 검토한 결과, 레지스트의 표층보다 깊은 부분으로부터, 레지스트의 표층 부분에, 묘화기 내에서 생기는 미광 등 레지스트에 재입사하는 불필요한 에너지에 의한 노광(흐려짐)의 에너지가 중첩되는 결과, 레지스트의 감광 에너지 임계값에 도달하게 되어, 레지스트 표층부의 현상액에 대한 용해성이 변하여, 정확한 레지스트 패턴 형성에 나쁜 영향을 주게 된다라는 지견이 얻어지고, 이와 같은 지견에 기초하여, 본 발명을 완성하기에 이른 것이다.Therefore, as a result of more intensive investigation, the inventors of the present invention superimposed the energy of exposure (blur) due to unnecessary energy reentering into the resist, such as stray light generated in the drawing machine, from a portion deeper than the surface layer of the resist. As a result, the photosensitive energy threshold value of the resist is reached, solubility in the developing solution of the resist surface layer portion is changed, which leads to a bad effect on the formation of an accurate resist pattern. Thus, the present invention is based on such knowledge. It is finished.

이하에 본 발명의 다양한 양태를 열거한다.Various aspects of the present invention are listed below.

<양태 1><Aspect 1>

기판 위에, 박막과, 레지스트막을 형성한 포토마스크 블랭크를 준비하는 것, 그 포토마스크 블랭크의 레지스트막 위에 묘화기를 이용하여 원하는 전사 패턴을 묘화하는 것, 묘화 후, 그 레지스트막을 현상하여 레지스트 패턴을 형성하는 것, 및 그 레지스트 패턴을 마스크로 하여, 상기 박막을 에칭하는 것을 포함하는 포토마스크의 제조 방법으로서, 상기 묘화 후로서, 상기 레지스트막의 현상 전에, 그 레지스트막의 표면에, 산소, 또는 산소와 수소로 이루어지는 산화 물질을 접촉시키는 표면 처리를 행하는 것을 특징으로 하는 포토마스크의 제조 방법.Preparing a photomask blank on which a thin film and a resist film are formed on a substrate; drawing a desired transfer pattern on a resist film of the photomask blank using a drawing machine; and after drawing, the resist film is developed to form a resist pattern. A method of manufacturing a photomask comprising etching the thin film using the resist pattern as a mask, wherein after drawing, before development of the resist film, on the surface of the resist film, oxygen, or oxygen and hydrogen A method of producing a photomask, comprising performing a surface treatment for bringing an oxidizing substance into contact with each other.

<양태 2><Aspect 2>

상기 박막이 차광성의 박막인 것을 특징으로 하는 양태 1에 기재된 포토마스크의 제조 방법.The said thin film is a light-shielding thin film, The manufacturing method of the photomask of aspect 1 characterized by the above-mentioned.

<양태 3><Aspect 3>

상기 산화 물질은, 오존 가스, 오존수, 과산화수소 가스, 과산화수소수 중으로부터 선택된 적어도 1개를 함유하는 것인 것을 특징으로 하는 양태 1에 기재된 포토마스크의 제조 방법.The said oxidizing substance contains at least 1 selected from ozone gas, ozone water, hydrogen peroxide gas, and hydrogen peroxide water, The manufacturing method of the photomask as described in aspect 1 characterized by the above-mentioned.

<양태 4><Aspect 4>

양태 1~3 중 어느 한 항에 기재된 포토마스크의 제조 방법으로 제조된 것을 특징으로 하는 포토마스크.It was manufactured by the manufacturing method of the photomask in any one of aspect 1-3, The photomask characterized by the above-mentioned.

<양태 5><Aspect 5>

상기 표면 처리에 의해, 상기 레지스트막의 표면으로부터 막 두께 방향의 100Å 이내의 표층 부분을 제거하는 것을 특징으로 하는 양태 1 내지 3 중 어느 한 항에 기재된 포토마스크의 제조 방법.The said surface treatment removes the surface layer part within 100 micrometers of a film thickness direction from the surface of the said resist film, The manufacturing method of the photomask in any one of aspect 1 to 3 characterized by the above-mentioned.

<양태 6><Aspect 6>

상기 레지스트막은, 화학 증폭형 레지스트인 것을 특징으로 하는 양태 1 내지 3 중 어느 한 항에 기재된 포토마스크의 제조 방법.The said resist film is a chemically amplified resist, The manufacturing method of the photomask in any one of aspect 1 to 3 characterized by the above-mentioned.

<양태 7><Aspect 7>

상기 레지스트막은, 네가티브형 레지스트인 것을 특징으로 하는 양태 1 내지 3 중 어느 한 항에 기재된 포토마스크의 제조 방법.The said resist film is a negative resist, The manufacturing method of the photomask in any one of aspect 1 to 3 characterized by the above-mentioned.

<양태 8><Aspect 8>

상기 포토마스크는, 파장 200㎚ 이하의 레이저광을 노광 광원으로 하는 노광기에 이용하는 것을 특징으로 하는 양태 1 내지 3 중 어느 한 항에 기재된 포토마스크의 제조 방법.The said photomask is used for the exposure machine which uses a laser beam with a wavelength of 200 nm or less as an exposure light source, The manufacturing method of the photomask in any one of aspect 1 to 3 characterized by the above-mentioned.

<양태 9><Aspect 9>

양태 1 내지 3 중 어느 한 항에 기재된 제조 방법에 의한 포토마스크를 이용하여, 노광기에 의해 피전사체 위에 패턴을 전사하는 것을 특징으로 하는 패턴 전사 방법.The pattern transfer method characterized by transferring a pattern on a to-be-transferred body by an exposure machine using the photomask by the manufacturing method in any one of the aspects 1-3.

<양태 10><Aspect 10>

원하는 전사 패턴을 묘화한 레지스트막을 갖는 포토마스크 기판의 처리에 이용되는 포토마스크 기판용 처리 장치로서, 상기 포토마스크 기판을 재치하는 스테이지와, 그 스테이지를 회전 구동하는 회전 수단과, 상기 스테이지 위에 재치된 상기 포토마스크 기판의 표면에, 오존 가스, 오존수, 과산화수소 가스, 과산화수소수 중으로부터 선택된 적어도 1개를 함유하는 산화 물질을 접촉시키는 산화 물질 공급 수단과, 린스액 공급 수단과, 상기 회전 수단, 상기 산화 물질 공급 수단 및 상기 린스액 공급 수단을 각각 제어하는 제어 수단을 구비하는 것을 특징으로 하는 포토마스크 기판용 처리 장치.A photomask substrate processing apparatus for use in processing a photomask substrate having a resist film on which a desired transfer pattern is drawn, comprising: a stage on which the photomask substrate is placed, a rotating means for rotationally driving the stage, and a substrate placed on the stage. Oxidizing substance supply means for bringing an oxidizing substance containing at least one selected from ozone gas, ozone water, hydrogen peroxide gas, and hydrogen peroxide water into a surface of the photomask substrate, rinse liquid supply means, the rotation means, and the oxidation And a control means for controlling the substance supply means and the rinse liquid supply means, respectively.

<양태 11><Aspect 11>

상기 포토마스크 기판의 표면에 현상액을 접촉시키는 현상액 공급 수단을 더 구비하는 것을 특징으로 하는 양태 10에 기재된 포토마스크 기판용 처리 장치.The processing apparatus for photomask substrates of aspect 10 characterized by further including the developing solution supply means which makes a developing solution contact the surface of the said photomask substrate.

<양태 12><Aspect 12>

투명 기판 위에, 피가공 박막과 레지스트막을 형성한 기판을 준비하는 것, 그 기판의 레지스트막 위에 묘화기를 이용하여 원하는 전사 패턴을 묘화하는 것, 묘화 후, 그 레지스트막을 현상하여 레지스트 패턴을 형성하는 것, 및 그 레지스트 패턴을 마스크로 하여, 상기 박막을 에칭하는 것을 포함하는 박막 패터닝 방법으로서, 상기 묘화 후로서, 레지스트막의 현상 전에, 그 레지스트막의 표면에, 적어도 산소를 함유하는 산화 물질을 접촉시키는 표면 처리를 행하는 것을 특징으로 하는 박막 패터닝 방법.Preparing a substrate on which a thin film to be processed and a resist film are formed on a transparent substrate, drawing a desired transfer pattern on the resist film of the substrate using a drawing machine, and developing the resist film after drawing to form a resist pattern And a thin film patterning method comprising etching the thin film using the resist pattern as a mask, comprising: a surface for contacting at least an oxygen-containing oxidizing substance with the surface of the resist film after the drawing and before development of the resist film; The thin film patterning method characterized by performing a process.

본 발명에 의하면, 묘화 시에 레지스트에 재입사하는 불필요한 에너지에 의한 노광의 영향을 없애, 하프 피치 45㎚, 32㎚ 등의 초미세 LSI 디바이스에 이용하는 포토마스크 패턴을 전사하는 것이 가능한 포토마스크의 제조 방법, 또한 그 포토마스크를 이용한 패턴 전사 방법을 제공할 수 있다.Industrial Applicability According to the present invention, a photomask is manufactured that can transfer a photomask pattern used for an ultrafine LSI device such as half pitch 45 nm or 32 nm by eliminating the influence of exposure due to unnecessary energy reentering the resist during drawing. The method and the pattern transfer method using the photomask can also be provided.

또한, 본 발명에 의하면, 이와 같은 본 발명에 따른 포토마스크를 제조하는 데에 바람직하게 이용되는 포토마스크 기판용 처리 장치를 제공할 수 있다.Moreover, according to this invention, the processing apparatus for photomask substrates used suitably for manufacturing such a photomask which concerns on this invention can be provided.

도 1은 묘화 시에 생기는 흐려짐에 의한 레지스트 표층 부분과 레지스트 내부에의 영향의 차이를 설명하기 위한 모식도.
도 2는 표면 처리 후의 레지스트 표면의 접촉각의 경시 변화를 도시하는 그래프.
도 3은 본 발명의 일 실시예에 따른 포토마스크 기판용 처리 장치를 도시하는 구성도.
BRIEF DESCRIPTION OF THE DRAWINGS The schematic diagram for demonstrating the difference of the influence on the resist surface layer part and the inside of a resist by the blur which arises at the time of drawing.
2 is a graph showing changes over time of the contact angle of a resist surface after surface treatment.
3 is a block diagram showing a processing apparatus for a photomask substrate according to an embodiment of the present invention.

이하, 본 발명의 실시 형태에 대하여 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, embodiment of this invention is described.

우선 본 발명의 일 실시 형태에 따른 포토마스크의 제조 방법을 설명한다. 이 포토마스크의 제조 방법은, 투명 기판 위에, 차광성의 박막과, 레지스트막을 형성한 포토마스크 블랭크를 준비하는 것, 그 포토마스크 블랭크의 레지스트막 위에 묘화기를 이용하여 원하는 전사 패턴을 묘화하는 것, 묘화 후, 그 레지스트막을 현상하여 레지스트 패턴을 형성하는 것, 및 그 레지스트 패턴을 마스크로 하여, 상기 박막을 에칭하는 것을 포함한다. 그리고, 이 포토마스크의 제조 방법은, 상기 묘화 후로서, 레지스트막의 현상 전에, 그 레지스트막의 표면에, 산소, 또는 산소와 수소로 이루어지는 산화 물질을 접촉시키는 표면 처리(이하, 「소정의 표면 처리」라고 함)를 행하는 것을 특징으로 한다. 여기서, 차광성의 박막이란, 단층막, 다층막을 막론하고, 투명 기판의 노광광 투과율을 100%로 할 때, 적어도 그 1층 이상이 노광광의 일부분을 차광하는 것으로서, 반투과성의 막을 포함한다.First, the manufacturing method of the photomask which concerns on one Embodiment of this invention is demonstrated. The photomask manufacturing method includes preparing a photomask blank on which a light-shielding thin film and a resist film are formed on a transparent substrate, drawing a desired transfer pattern on the resist film of the photomask blank by using a drawer, After drawing, the resist film is developed to form a resist pattern, and the thin film is etched using the resist pattern as a mask. The method for producing this photomask is a surface treatment in which oxygen or an oxidizing substance composed of oxygen and hydrogen is brought into contact with the surface of the resist film after development of the resist film (hereinafter referred to as "predetermined surface treatment"). It is characterized in that). Here, the light-shielding thin film means that at least one layer shields a part of the exposure light when the exposure light transmittance of the transparent substrate is 100%, regardless of the single layer film or the multilayer film, and includes a semi-transmissive film.

즉, 포토마스크 블랭크의 레지스트막에 대하여, 묘화기에 의한 원하는 포토마스크 패턴 묘화(전자 빔 노광)를 행한 후로서, 현상 처리 전에, 레지스트막의 표면에 소정의 표면 처리, 즉, 레지스트막의 표면에, 산소, 또는 산소와 수소로 이루어지는 산화 물질을 접촉시키는 표면 처리를 행한다. 이 소정의 표면 처리에 의해, 레지스트막의 매우 얕은 표층 부분에 대하여 산화 물질에 의한 산화 작용을 미쳐, 그 표층 부분의 레지스트가 용해 제거 혹은 박리된다.That is, after the desired photomask pattern drawing (electron beam exposure) is performed on the resist film of the photomask blank, the surface of the resist film is subjected to a predetermined surface treatment, that is, the surface of the resist film, before the development treatment. Or surface treatment for contacting an oxidizing substance consisting of oxygen and hydrogen. This predetermined surface treatment exerts an oxidation action by an oxidizing substance on a very shallow surface layer portion of the resist film, and the resist in the surface layer portion is dissolved or removed.

도 1은, 묘화 시에 레지스트에 입사하는 불필요한 에너지에 의한 노광(흐려짐)에 의한 레지스트 표층 부분과 레지스트 내부에의 영향의 차이를 설명하기 위한 모식도이다. 투명 기판(1) 위에 예를 들면 크롬계의 차광성 박막(2)과 레지스트막(네가티브형)(3)이 형성된 포토마스크 블랭크(도 1의 (a) 참조)에 대하여, 소정의 포토마스크 패턴을 묘화(4)한다(도 1의 (b) 참조). 여기서는, 선폭 1 : 1의 라인 앤드 스페이스 패턴(line and space patterns)으로 한다.BRIEF DESCRIPTION OF THE DRAWINGS It is a schematic diagram for demonstrating the difference of the influence on the resist surface layer part and the inside of a resist by exposure (blur) by unnecessary energy which enters a resist at the time of drawing. For a photomask blank (refer to FIG. 1 (a)) in which, for example, a chromium light-shielding thin film 2 and a resist film (negative type) 3 are formed on the transparent substrate 1, a predetermined photomask pattern is provided. Drawing 4 (refer FIG. 1 (b)). Here, the line and space patterns of line width 1: 1 are assumed.

이 때, 특히 대면적의 노광 영역 사이에 끼워진 비노광 영역에 주목하면, 레지스트의 표층 부분에서는(도 1의 (c) 참조), 묘화 시에 입사하는 불필요한 에너지에 의한 노광(흐려짐)의 영향으로, 묘화광에 의한 에너지가 레지스트의 감광 에너지 임계값에 도달하게 되지만, 레지스트 내부에서는(도 1의 (f) 참조), 그와 같은 흐려짐의 영향은 거의 없어, 묘화광에 의한 에너지가 레지스트를 난용화하는 감광 에너지 임계값에는 도달하지 않는다. 또한, 도 1의 (c), 도 1의 (f) 중, 실선은 흐려짐의 에너지를 포함하는 경우, 파선은 흐려짐의 에너지를 포함하지 않는 경우를 각각 나타내고 있다.At this time, in particular, paying attention to the non-exposed areas sandwiched between the large-area exposure areas, the surface layer portion of the resist (see FIG. 1C) may be affected by the exposure (blur) due to unnecessary energy incident upon drawing. The energy of the drawing light reaches the photosensitive energy threshold of the resist, but within the resist (see FIG. 1 (f)), such blurring is hardly affected, and the energy of the drawing light does not affect the resist. The melting photosensitive energy threshold is not reached. In addition, in FIG.1 (c) and FIG.1 (f), when a solid line contains the energy of a blur, the broken line has shown the case where it does not contain the energy of a blur, respectively.

이 결과, 묘화 후, 레지스트막(3)을 현상 처리하면, 레지스트의 표층 부분에서의 흐려짐이 레지스트 패턴 형성에 영향을 주게 되어, 전술한 대면적의 노광 영역 사이에 끼워진 비노광 영역(A의 영역)은 해상되지 않고 레지스트가 잔류하고, 그 결과 레지스트 패턴(3a)이 완성된다(도 1의 (d) 참조).As a result, when the resist film 3 is developed after drawing, the blur in the surface layer portion of the resist affects the formation of the resist pattern, and thus the non-exposed areas (areas of A) sandwiched between the above-described large-area exposure areas. ) Is not resolved and the resist remains, and as a result, the resist pattern 3a is completed (see FIG. 1D).

한편, 레지스트의 표층 부분에서의 흐려짐이 그 후의 레지스트 패턴 형성에 영향을 주지 않도록, 묘화 후, 소정의 표면 처리를 실시하여, 레지스트막의 표층 부분(5)을 제거함으로써(도 1의 (e) 참조), 다음으로 레지스트막(3)을 현상 처리하면, 도 1의 (f)에 도시한 감광 에너지 분포에 따라서, 전술한 대면적의 노광 영역 사이에 끼워진 비노광 영역(A의 영역)도 해상된 레지스트 패턴(3b)이 형성된다(도 1의 (g) 참조). 따라서, 묘화 시에 생기는 불필요한 빔에 의한 노광(흐려짐)의 영향을 없애, 의도한 원하는 전사 패턴을 정확하게 레지스트막 위에 형성하는 것이 가능하게 된다.On the other hand, after rendering, the surface layer portion 5 of the resist film is removed by removing the surface layer portion 5 of the resist film so that the blur in the surface layer portion of the resist does not affect the subsequent resist pattern formation (see FIG. 1E). Next, when the resist film 3 is developed, the non-exposure region (region A) sandwiched between the above-described large-area exposure regions is also resolved in accordance with the photosensitive energy distribution shown in FIG. A resist pattern 3b is formed (see Fig. 1G). Therefore, it is possible to eliminate the influence of exposure (blur) due to unnecessary beams generated during drawing, and to accurately form the intended desired transfer pattern on the resist film.

소정의 표면 처리에서 사용하는 산화 물질은, 산소, 또는 산소와 수소로 이루어지는 산화 물질이지만, 구체적으로는, 오존 가스, 오존수, 과산화수소 가스, 과산화수소수 중으로부터 선택된 적어도 1개를 함유하는 것을 바람직하게 들 수 있다. 사용하는 산화 물질은, 소정의 표면 처리 후에 잔존하여 마스크 표면에 어떠한 이물을 발생시킬 우려가 없는 물질이다. 예를 들면, 황산, 염산, 질산, 인산 등의 산을 이용하면, 처리 후에 잔류하는 이들 산이, 그 후, 현상액과 반응하여 생성물을 생기게 하거나, 또는, 분위기 속의 암모니아 가스의 분위기와 접촉하여, 암모늄염 등의 이물을 생성시키거나 하는 것이 있다. 이와 같은 이물은, 현상 후의 포토마스크 위에 부착하면,에칭 시의 마스크로서 작용하여 에칭 패턴 위에 결함을 발생시키게 된다. 또한 현상 처리 후에 잔류한 산이 그 후의 포토마스크 세정에 의해 완전하게 제거되지 않으면, 디바이스 제조 시의 노광 에너지와, 포토마스크 위 혹은 주변 분위기 속의 암모니아 가스 등에 의해, 포토마스크의 표면에서 이물이 발생할 우려가 있다. 이 이물은 포토마스크 위에 형성된 전사 패턴과 함께 피전사체 위에도 결함으로서 전사되게 되어, 불량 디바이스가 제조되는 요인으로 될 위험성이 높은 것이다.The oxidizing substance used in the predetermined surface treatment is oxygen or an oxidizing substance consisting of oxygen and hydrogen, but specifically, those containing at least one selected from ozone gas, ozone water, hydrogen peroxide gas and hydrogen peroxide water are preferably mentioned. Can be. The oxidizing material to be used is a substance which remains after a predetermined surface treatment and does not cause any foreign matter on the mask surface. For example, when an acid such as sulfuric acid, hydrochloric acid, nitric acid or phosphoric acid is used, these acids remaining after the treatment are then reacted with a developer to produce a product, or in contact with an atmosphere of ammonia gas in the atmosphere, to form an ammonium salt. Some foreign materials such as these may be produced. When such foreign matter adheres to the photomask after development, it acts as a mask at the time of etching, causing defects on the etching pattern. In addition, if the acid remaining after the development treatment is not completely removed by subsequent photomask cleaning, foreign matter may be generated on the surface of the photomask due to exposure energy during device manufacturing, ammonia gas on the photomask or in an ambient atmosphere, or the like. have. This foreign matter is transferred as a defect on the transfer object together with the transfer pattern formed on the photomask, and there is a high risk of causing a defect device to be manufactured.

산화 물질로서 특히 오존수를 이용하는 것이 바람직하다. 오존수란, 순수 속에 오존을 용해한 것이며, 바람직하게는, 10~100ppm, 보다 바람직하게는, 10~50ppm 정도의 농도로 오존이 용해된 것을 사용하면 된다. 농도가 10ppm보다 작으면, 소정의 표면 처리에 의한 효과가 충분히 얻어지지 않고, 한편, 농도가 지나치게 커도 효과가 향상되는 것이 아니라, 100ppm을 초과하면 반대로 레지스트막의 막감소가 크다고 하는 문제점이 발생하였다. 이와 같이, 일정 이상의 농도의 경우 문제점이 일어나는 것이지만, 오존수의 농도와 레지스트 용해량의 관계는, 사용하는 레지스트나 오존수 처리 이전의 프로세스 조건 등에 의해 변하기 때문에, 레지스트 표층을 용해하는 양을 감안하여 결정하면 된다. 또한 오존수는, 액체이고, 현상 공정과 동일한 웨트 처리이기 때문에, 동일한 처리 장치 내에서의 취급이 용이하다고 하는 이점이 있다. 또한, 오존수는, 폐액 처리가 용이하다고 하는 이점도 있다.It is particularly preferable to use ozonated water as the oxidizing substance. Ozone water is what dissolved ozone in pure water, Preferably what is 10-100 ppm, More preferably, what dissolved ozone at the density | concentration of about 10-50 ppm may be used. If the concentration is smaller than 10 ppm, the effect by the predetermined surface treatment is not sufficiently obtained. On the other hand, if the concentration is too large, the effect is not improved. If the concentration is higher than 100 ppm, the problem is that the film reduction of the resist film is large. As described above, a problem occurs in the case of a certain concentration or more, but the relationship between the concentration of ozone water and the amount of resist dissolution varies depending on the resist to be used and the process conditions before the treatment of ozone water. do. Moreover, since ozone water is a liquid and it is the same wet process as a developing process, there exists an advantage that handling in the same processing apparatus is easy. Moreover, ozone water also has the advantage that waste liquid treatment is easy.

또한, 오존수에는, 이산화탄소를 용해시킨 것을 사용하여도 된다.In addition, what dissolved carbon dioxide may be used for ozone water.

후술하는 바와 같이 이산화탄소를 함유한 오존수는, 기판 전체면의 레지스트막 표층을 균일하게 용해 제거할 수 있다. 또한, 오존수에 적절한 계면 활성제를 첨가시킨 것을 사용하여도 된다. 레지스트 표면은 일반적으로 소수성이기 때문에, 오존수가 레지스트막에 접촉할 때에 계면 활성제의 효과로 오존수가 레지스트에 균일하게 젖게 되어, 오존수 처리 자체의 처리 균일성이 향상된다.As described later, ozone water containing carbon dioxide can uniformly dissolve and remove the resist film surface layer on the entire surface of the substrate. Moreover, you may use what added the appropriate surfactant to ozone water. Since the resist surface is generally hydrophobic, when ozone water comes into contact with the resist film, ozone water is uniformly wetted with the resist due to the effect of a surfactant, thereby improving the uniformity of treatment of the ozone water treatment itself.

소정의 표면 처리에 의해, 상기 레지스트막의 극히 얕은 표층 부분, 예를 들면 표면으로부터 막 두께 방향의 100Å 이내의 표층 부분을 용해 제거 혹은 박리하는 것이 바람직하다. 보다 바람직하게는, 30~80Å의 표층 부분을 제거하는 것이 바람직하다. 바람직한 제거분의 두께는, 흐려짐에 의한 전자 빔의 에너지가 레지스트막 내에서 도달하는 거리를 감안하여 결정할 수 있다. 또한,이 제거분을 미리 고려하여, 포토마스크 블랭크 위에 도포하는 레지스트막 두께를 상기 제거 상당분 증가시켜 두어도 된다.It is preferable to melt | dissolve or remove the extremely shallow surface layer part of the said resist film, for example, the surface layer part within 100 micrometers of a film thickness direction from a surface by predetermined surface treatment. More preferably, it is preferable to remove the 30-80 micrometer surface layer part. The thickness of the preferable removal can be determined in consideration of the distance at which the energy of the electron beam due to clouding reaches within the resist film. In addition, in consideration of the removal amount in advance, the thickness of the resist film applied on the photomask blank may be increased by the removal amount.

소정의 표면 처리는, 포토마스크 블랭크의 레지스트막에 대하여, 묘화기에 의한 원하는 포토마스크 패턴 묘화를 행한 후로서, 현상 처리 전에 행해지지만, 묘화 후, 소위 노광 후 베이크(Post-Exposure-Bake)라고 불리는 베이크 처리를 실시하는 경우, 이 노광 후 베이크 처리를 실시한 후로서, 현상 처리 전에 소정의 표면 처리를 행하는 것이 바람직하다. 또한, 이와 같이 하면, 레지스트의 표면이, 산화됨으로써, 수용성의 현상액에 대한 습윤성이 향상되는 점에서도, 유리한 효과가 얻어진다. 또한, 소정의 표면 처리를 액체에 의해 행하는 경우에는, 소정의 표면 처리와 현상 처리 사이에 건조 처리를 삽입할 필요가 없어져, 효율적이다.Predetermined surface treatment is performed after drawing a desired photomask pattern by the drawing machine with respect to the resist film of a photomask blank, but is performed before a developing process, but after drawing, what is called post-exposure-baking. When performing a baking process, after performing this post-exposure bake process, it is preferable to perform a predetermined surface treatment before developing process. In this way, the surface of the resist is oxidized, so that the wettability with respect to the water-soluble developing solution is improved, whereby an advantageous effect is obtained. In addition, in the case where the predetermined surface treatment is performed with a liquid, it is not necessary to insert a drying treatment between the predetermined surface treatment and the development treatment, which is efficient.

또한, 소정의 표면 처리 후에, 필요에 따라서 린스를 행할 수도 있다.Moreover, after predetermined surface treatment, you can rinse as needed.

상기 레지스트막은, 화학 증폭형 레지스트인 것이 바람직하다. 즉, 초미세 레지스트 패턴의 형성에 적합한 전자 빔 노광용의 레지스트로서, 감도, 해상도가 높은 것을 사용한 경우에 전술한 과제가 발생하기 쉽기 때문에, 효과가 현저하게 발휘되기 때문이다.It is preferable that the said resist film is a chemically amplified resist. That is, when the resist for electron beam exposure suitable for formation of an ultrafine resist pattern is used, when the thing with high sensitivity and a resolution is easy to produce, the effect is remarkably exhibited.

또한, 레지스트로서는, 포지티브형 레지스트도 네가티브형 레지스트도 효과적으로 이용할 수 있다. 포지티브형 레지스트의 경우에는, 묘화 시에 생기는 불요 노광(흐려짐)에 의해, 레지스트막의 표면에 과도한 용해성이 주어져, 현상에 의해 레지스트 패턴의 형상이 열화되는(구체적으로는 레지스트 패턴의 각부가 둥글게 되는) 현상이 발생하지만, 소정의 표면 처리를 현상에 앞서서 실시함으로써, 그 부분이 제거되기 때문에, 레지스트 패턴의 형상의 열화를 방지할 수 있다. 또한 미묘화부의 레지스트가 현상 후에 흐려짐의 에너지에 따른 막감소를 일으키고, 그것이 레지스트 패턴의 정상적인 형성을 방해하는 경우도 있지만, 소정의 표면 처리를 현상에 앞서서 실시함으로써, 막 감소가 발생하는 부분을 포함하는 기판 위 전체의 레지스트 표층이 제거되기 때문에, 레지스트 패턴을 정상적으로 형성할 수 있어, 결함 발생을 방지할 수 있다. 한편, 네가티브형 레지스트의 경우에는, 전술한 바와 같이, 묘화 시에 생기는 흐려짐에 의해, 레지스트막의 표면에 현상 시의 용해 불량으로 되는 부분이 형성되지만, 소정의 표면 처리를 현상에 앞서서 실시함으로써, 그 부분이 제거되기 때문에, 양호한 레지스트 패턴을 형성할 수 있다. 포지티브형, 네가티브형 어느 경우에도, 묘화 시에 생기는 흐려짐에 의해 레지스트막의 표층 부분에 형성되는 불필요한 노광에 의한 레지스트 잠상층 부분(감광 부분)이 소정의 표면 처리에 의해 제거되므로, 양호한 레지스트 패턴 형상을 레지스트막 위에 정확하게 형성할 수 있다.Moreover, as a resist, a positive resist and a negative resist can also be utilized effectively. In the case of a positive resist, unnecessary solubility (blurring) generated during drawing imparts excessive solubility to the surface of the resist film, and the shape of the resist pattern is degraded by development (specifically, each part of the resist pattern is rounded). Although development occurs, since the portion is removed by performing a predetermined surface treatment before development, deterioration of the shape of the resist pattern can be prevented. In addition, although the resist of the unfinished portion causes film reduction due to the blurring energy after development, and it may hinder the normal formation of the resist pattern, it includes a portion where film reduction occurs by performing a predetermined surface treatment prior to development. Since the entire resist surface layer on the substrate is removed, a resist pattern can be formed normally, and defects can be prevented. On the other hand, in the case of a negative type resist, as described above, a portion that becomes poor in dissolution upon development is formed on the surface of the resist film by blurring during drawing, but by performing a predetermined surface treatment prior to development, Since the part is removed, a good resist pattern can be formed. In either positive or negative type, the resist latent image layer portion (photosensitive portion) due to undesired exposure formed in the surface layer portion of the resist film due to blur generated during drawing is removed by predetermined surface treatment, so that a good resist pattern shape can be obtained. It can form correctly on a resist film.

특히, 네가티브형 레지스트를 사용한 경우에, 흐려짐 노광에 의해 레지스트막의 표층 부분에 생기는 현상 용해 불량층에 대하여, 소정의 표면 처리에 의한 효과가 특히 현저하게 발휘된다.In particular, in the case of using a negative resist, the effect by a predetermined surface treatment is particularly remarkably exhibited with respect to the developing dissolution poor layer generated in the surface layer portion of the resist film by the blur exposure.

또한, 오존수 등의 액체의 산화 물질을 이용하여 소정의 표면 처리를 행하는 경우에, 이들 산화 물질과의 습윤성을 높여, 균일한 처리를 재촉하기 위한 적절한 계면 활성제를, 상기 레지스트 위에, 미리 부착시켜 둘 수 있다.In addition, when a predetermined surface treatment is performed using an oxidizing substance such as ozone water, an appropriate surfactant for advancing wettability with these oxidizing substances and prompting a uniform treatment is previously attached to the resist. Can be.

묘화 후, 전술한 소정의 표면 처리를 행하고, 그 후, 레지스트막의 현상 처리를 행한다. 예를 들면 오존수와 같은 액체의 산화 물질을 이용하여 소정의 표면 처리를 웨트 처리하는 경우에는, 소정의 표면 처리 종료 후의 레지스트막면이 건조하지 않도록 유지한 후에, 다음의 현상액을 레지스트막면에 접촉시키는 현상 처리를 행하는 것이 바람직하다. 예를 들면, 소정의 표면 처리를 행한 후, 바람직하게는 대략 10분 이내, 보다 바람직하게는 5분 이내에, 레지스트막면에 현상액을 접촉시키는 것이, 현상액과의 습윤성이 높아지므로 바람직하다.After drawing, the above-mentioned predetermined surface treatment is performed, and thereafter, development processing of the resist film is performed. For example, in the case of wet treatment of a predetermined surface treatment using a liquid oxidizing substance such as ozone water, the phenomenon that the following developer is brought into contact with the resist film surface after maintaining the resist film surface after the predetermined surface treatment is not dried. It is preferable to perform the treatment. For example, after performing a predetermined surface treatment, it is preferable that the developing solution is brought into contact with the resist film surface within approximately 10 minutes, more preferably within 5 minutes, since the wettability with the developing solution becomes high.

본 발명자의 검토에 의하면, 소정의 표면 처리는, 묘화 시에 생기는 불요 노광(흐려짐)에 의한 레지스트막 표층의 감광 부분을 제거하는 작용뿐만 아니라, 보다 친수성이 높은, 새로운 레지스트면을 노출시키는 작용도 있기 때문에, 현상액과의 습윤성이 높아져, 현상의 반응을 기판면 내에서 균일화하는 효과가 얻어지는 것이 판명되었다.According to the examination of the present inventors, the predetermined surface treatment not only removes the photosensitive portion of the resist film surface layer due to unnecessary exposure (blurring) generated during drawing, but also exposes a new resist surface having higher hydrophilicity. Therefore, it turned out that the wettability with a developing solution becomes high and the effect which makes the reaction of image development uniform in a board | substrate surface is obtained.

도 2는 소정의 표면 처리 후의 레지스트 표면의 접촉각의 경시 변화를 도시하는 그래프이다. 이 그래프로부터, 소정의 표면 처리 후에, 레지스트 표면의 접촉각이 경시와 함께 커져 가, 소정의 표면 처리 직후의 친수성이 높은 상태로부터 점차로 소수성의 상태로 변화되어 가는 것을 알 수 있다. 또한, 도 2 중의 Ref는 묘화 공정 전의 블랭크의 레지스트면의 접촉각이다. 따라서, 소정의 표면 처리에 의한 친수성이 높은, 새로운 레지스트면을 노출시키는 작용 효과를 활용하기 위해서는, 소정의 표면 처리 후의 레지스트 표면을 건조시키지 않고, 신속하게 현상 처리를 행하는 것이 바람직한 것이 확인되었다.2 is a graph showing changes over time of the contact angle of the resist surface after a predetermined surface treatment. From this graph, it can be seen that after a predetermined surface treatment, the contact angle of the resist surface increases with time, and gradually changes from a high hydrophilic state immediately after the predetermined surface treatment to a hydrophobic state. In addition, Ref in FIG. 2 is a contact angle of the resist surface of the blank before a drawing process. Therefore, in order to utilize the effect of exposing a new resist surface having high hydrophilicity by a predetermined surface treatment, it was confirmed that it is preferable to perform development treatment quickly without drying the resist surface after the predetermined surface treatment.

전술한 제조 방법에 의해 얻어지는 포토마스크를 이용하여, 노광기에 의해 피전사체 위에 패턴을 전사하는 것을 특징으로 하는 패턴 전사 방법에 대해서도 제공할 수 있다.The pattern transfer method can also be provided using the photomask obtained by the manufacturing method mentioned above, and transferring a pattern on a to-be-transferred body by an exposure machine.

전술한 포토마스크는, 예를 들면, KrF 엑시머 레이저(파장 248㎚), ArF 엑시머 레이저(파장 193㎚) 등을 노광 광원으로 하는 노광기에 이용하는 포토마스크로서 바람직하게 이용된다. 특히, 하프 피치 45㎚, 32㎚ 등의 초미세 패턴의 패턴 전사에 유리한, ArF 엑시머 레이저와 같은 파장 200㎚ 이하의 레이저광을 노광 광원으로 하는 노광기에 이용하는 포토마스크로서 가장 바람직하다.The photomask mentioned above is used suitably as a photomask used for the exposure machine which uses KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), etc. as an exposure light source, for example. In particular, it is most preferable as a photomask used for the exposure machine which uses the laser beam of wavelength 200 nm or less like ArF excimer laser which is favorable for the pattern transfer of the ultra-fine pattern, such as half pitch 45 nm and 32 nm, as an exposure light source.

상기 포토마스크는, 예를 들면, 투명 기판 위에 크롬계 재료로 이루어지는 차광성의 박막 패턴을 구비한 바이너리 포토마스크나, 투명 기판 위에 천이 금속 실리사이드계 화합물(예를 들면 몰리브덴 실리사이드)을 함유하는 재료로 이루어지는 차광성의 박막 포토마스크 패턴을 구비한 바이너리 포토마스크이다.The photomask is, for example, a binary photomask having a light-shielding thin film pattern made of a chromium-based material on a transparent substrate, or a material containing a transition metal silicide compound (for example, molybdenum silicide) on a transparent substrate. It is a binary photomask provided with the light-shielding thin film photomask pattern formed.

또한,이 때의 차광성의 박막이란, 포토마스크가 사용될 때에 이용하는 노광광의 광량을 투명 기판의 노출 부분과 비교하여 줄이는 것이면 되고, 반투명막을 포함한다.In addition, the light-shielding thin film at this time should just reduce the light quantity of the exposure light used when a photomask is used, and includes a semitransparent film | membrane.

전술한 포토마스크에 형성되는 전사 패턴은, 예를 들면, 포토마스크 패턴 선폭 1㎛ 미만의 미세 포토마스크 패턴과, 그 미세 패턴의 100배 이상(바람직하게는 1000배 이상)의 선폭 혹은 포토마스크 패턴 영역을 갖는 주변 패턴을 포함하는 것인 것이 가능하다. 이와 같은 전사 패턴 중에서 포토마스크 패턴의 크기에 차가 있는 경우에, 종래의 과제가 발생하기 쉬운 것이었지만, 소정의 표면 처리를 포함하는 포토마스크의 제조 방법에 의하면, 이와 같은 과제를 해결할 수 있어, 의도하는 원하는 전사 패턴을 정확하게 레지스트막 위에 형성할 수 있으므로, 현저한 효과가 발휘된다.The transfer pattern formed on the photomask described above includes, for example, a fine photomask pattern having a photomask pattern line width of less than 1 μm, and a line width or photomask pattern of 100 times or more (preferably 1000 times or more) of the fine pattern. It is possible to include a peripheral pattern having an area. When there is a difference in the size of the photomask pattern among such transfer patterns, the conventional problem is likely to occur, but according to the manufacturing method of the photomask including the predetermined surface treatment, such a problem can be solved and the intention is Since the desired transfer pattern can be accurately formed on the resist film, a remarkable effect is exerted.

또한, 포토마스크 기판 위의 레지스트 패턴을 마스크로 하여 레지스트의 하층에 있는 희생층에 패턴을 가공하고, 또한 그 희생층의 패턴을 마스크로서 이용하여 희생층의 하층에 있는 차광막의 패턴 형성을 행하는 하드마스크 프로세스가 채용되어도 된다. 혹은 다층 레지스트 프로세스에서의 레지스트 패턴을 이용하여도 된다. 이와 같은 희생층을 이용한 포토마스크의 패턴 형성 프로세스의 경우에는, 레지스트의 아래에 있는 피가공 다층막 전체를 차광성의 박막이라고 한다.In addition, the pattern is formed on the sacrificial layer under the resist using the resist pattern on the photomask substrate as a mask, and the pattern of the light shielding film under the sacrificial layer is formed by using the pattern of the sacrificial layer as a mask. A mask process may be employed. Alternatively, a resist pattern in a multilayer resist process may be used. In the case of the pattern formation process of the photomask using such a sacrificial layer, the whole to-be-processed multilayer film under a resist is called light-shielding thin film.

또한, 마스크 제작 시의 차광막에 노광광에 대한 반사 방지 기능 등을 갖게 한 막을 적층하는 경우나, 포토마스크 제조 시 혹은 사용 시에서 차광막을 보호하기 위한 막을 상층에 형성한 포토마스크 블랭크를 이용하여 패터닝하는 경우라도, 이들 반사 방지막이나 보호막 등도 포함시켜, 패터닝되는 다층막 전체를 차광성의 박막이라고 한다. 그 외에 에칭 시의 패턴 차지를 방지하기 위해서 차광막과 기판 사이에 도전막을 형성하는 포토마스크나, 차광막의 하층에 있는 막이나 기판 자신을 보호하기 위해서 막을 형성한 포토마스크도 알려져 있지만, 이와 같은 다층막에 대해서도 마찬가지로 다층막 전체를 차광성의 박막이라고 한다.In addition, patterning is performed using a photomask blank in which a film for protecting the light shielding film is formed on the light shielding film at the time of mask fabrication, or when the film is provided to protect the light shielding film during photomask manufacturing or use. Even if it is, even if it includes these antireflection films, a protective film, etc., the whole patterned multilayer film is called a light-shielding thin film. In addition, photomasks for forming a conductive film between the light shielding film and the substrate to prevent pattern charge during etching, and a photomask having a film formed to protect the film under the light shielding film or the substrate itself are known. Similarly, the whole multilayer film is called a light shielding thin film.

또한, 기판 위에 패터닝 가공을 실시하지 않은 막을 형성한 후에, 그 위에 흡수막을 형성한 포토마스크 블랭크를 이용하여 제조하는 포토마스크이어도 된다.Moreover, after forming the film | membrane which has not been patterned on the board | substrate, the photomask manufactured using the photomask blank in which the absorption film was formed on it may be sufficient.

이와 같은 마스크로서는, EUV 노광(노광 파장 13.5㎚) 등에서 이용되는 반사형 마스크가 알려져 있고, 노광광을 효율적으로 반사하도록, 예를 들면 몰리브덴층, 실리콘층을 교대로 이용한 다층막을 기판 위에 형성하고, 그 위에 형성한 흡수 막을 패터닝하는 포토마스크가 알려져 있지만, 이와 같은 포토마스크에도 본 발명을 실시할 수 있다. 이 경우, 기판은 포토마스크 사용 시의 노광광에 대하여 투명이어도 불투명이어도 된다. EUV 노광에서 이용하는 포토마스크는, 일반적으로 투과형의 포토마스크에 비해 더욱 미세한 포토마스크 패턴 형성까지가 요구되기 때문에, 패터닝층에 대해서도 다층 레지스트 프로세스, 혹은 하드마스크 프로세스와 같은 프로세스가 이용되는 경우가 많지만, 이와 같은 포토마스크의 제조 방법에서도 본 발명을 실시할 수 있는 것은 물론이다.As such a mask, a reflective mask used in EUV exposure (exposure wavelength 13.5 nm) or the like is known, and for example, a multilayer film using alternating molybdenum layers and silicon layers is formed on a substrate so as to efficiently reflect exposure light, Although a photomask which patterns the absorption film formed on it is known, this invention can also be implemented in such a photomask. In this case, the substrate may be transparent or opaque to exposure light when the photomask is used. Since photomasks used in EUV exposure generally require finer photomask patterns than those in transmissive photomasks, a process such as a multilayer resist process or a hardmask process is often used for the patterning layer. It goes without saying that the present invention can also be implemented in such a method of manufacturing a photomask.

또한, 본 발명은, 기판 위에 형성한, 포토마스크 이외의 박막의 패터닝 방법으로서 실시할 수 있다.Moreover, this invention can be implemented as a patterning method of thin films other than the photomask formed on the board | substrate.

그 경우의 실시 형태에 따른 박막 패터닝 방법은, 투명 기판 위에, 피가공 박막과 레지스트막을 형성한 기판을 준비하는 것, 그 기판의 레지스트막 위에 묘화기를 이용하여 원하는 전사 패턴을 묘화하는 것, 묘화 후, 그 레지스트막을 현상하여 레지스트 패턴을 형성하는 것, 및 그 레지스트 패턴을 마스크로 하여, 상기 박막을 에칭하는 것을 포함한다. 그리고 이 박막 패터닝 방법은, 상기 묘화 후로서, 레지스트막의 현상 전에, 그 레지스트막의 표면에, 산소, 또는 산소와 수소로 이루어지는 산화 물질을 접촉시키는 소정의 표면 처리를 행하는 것을 특징으로 한다.According to the thin film patterning method which concerns on embodiment in that case, preparing the board | substrate which formed the to-be-processed thin film and the resist film on the transparent substrate, drawing the desired transfer pattern using the drawing machine on the resist film of the board | substrate, and after drawing And developing the resist film to form a resist pattern, and etching the thin film using the resist pattern as a mask. The thin film patterning method is characterized in that a predetermined surface treatment is performed in which oxygen, or an oxidizing substance composed of oxygen and hydrogen, is brought into contact with the surface of the resist film after the drawing, before development of the resist film.

또한, 본 발명은, 전술한 포토마스크의 제조에 바람직하게 적용되는 처리 장치 즉, 원하는 전사 패턴을 묘화한 레지스트막을 갖는 포토마스크 기판의 처리에 이용되는 포토마스크 기판용 처리 장치로서도 실시할 수 있다.Moreover, this invention can be implemented also as the processing apparatus for photomask substrates used for the process of the photomask substrate which has the processing apparatus which is preferably applied to manufacture of the photomask mentioned above ie, the resist film which draws the desired transfer pattern.

그 경우의 실시 형태에 따른 포토마스크 기판용 처리 장치는, 상기 포토마스크 기판을 재치하는 스테이지와, 그 스테이지를 회전 구동하는 회전 수단과, 상기 스테이지 위에 재치된 상기 포토마스크 기판의 표면에, 오존 가스, 오존수, 과산화수소 가스, 과산화수소수 중 적어도 1개를 함유하는 산화 물질을 접촉시키는 산화 물질 공급 수단과, 린스액 공급 수단과, 상기 회전 수단, 상기 산화 물질 공급 수단 및 상기 린스액 공급 수단을 각각 제어하는 제어 수단을 구비하는 것을 특징으로 한다. 이 포토마스크 기판용 처리 장치는, 상기 포토마스크 기판의 표면에 현상액을 접촉시키는 현상액 공급 수단을 더 구비하는 것이 바람직하다.An apparatus for processing a photomask substrate according to an embodiment of the case includes ozone gas on a stage on which the photomask substrate is placed, on rotating means for rotating the stage, and on the surface of the photomask substrate placed on the stage. The oxidizing substance supply means for contacting the oxidizing substance containing at least one of water, ozone water, hydrogen peroxide gas and hydrogen peroxide solution, a rinse liquid supply means, the rotating means, the oxidized substance supply means, and the rinse liquid supply means, respectively. It characterized in that it comprises a control means to. It is preferable that this processing apparatus for photomask substrates further includes developing solution supply means which makes a developing solution contact the surface of the said photomask substrate.

이러한 처리 장치는, 묘화후의 포토마스크 기판(포토마스크 블랭크)에 대하여, 전술한 포토마스크의 제조 방법에서 설명한 소정의 표면 처리와 현상 처리를 행할 수 있다. 이러한 처리 장치에 의하면, 상기 제어 수단에 의해, 산화 물질(예를 들면 오존수) 공급에 의해 소정의 표면 처리를 행한 후, 바로 현상액 공급을 개시하여, 현상 공정을 개시하여도 되고, 소정의 표면 처리를 행한 후, 린스액 공급에 의한 린스 공정을 거쳐, 현상 공정을 개시하여도 된다. 어떻든 간에, 전술한 바와 같이, 산화 물질의 공급부터 현상액의 공급까지의 동안, 기판 표면(레지스트막면)이 건조하지 않은 상태를 유지하는 것이 바람직하다. 또한, 상기 제어 수단에 의해, 산화 물질의 공급에 의한 소정의 표면 처리의 종료 후, 린스 처리를 거쳐, 건조를 행하고, 현상액의 공급에 의한 현상 처리 개시까지의 동안의 시간을 임의로 제어하는 것이 가능하다. 예를 들면, 소정의 표면 처리의 종료 후, 현상 공정의 개시를, 바람직하게는 10분 이내, 보다 바람직하게는 5분 이내의 일정 시간으로 할 수 있다.Such a processing apparatus can perform the predetermined surface treatment and image development process demonstrated by the manufacturing method of the photomask mentioned above with respect to the photomask substrate (photomask blank) after drawing. According to such a treatment apparatus, after the predetermined surface treatment is performed by the control means by the supply of an oxidizing substance (for example, ozone water), the developer may be supplied immediately and the developing step may be started. After the process, the developing step may be started through a rinse step by rinsing liquid supply. In any case, as described above, it is preferable to keep the substrate surface (resist film surface) in a dry state from the supply of the oxidizing material to the supply of the developing solution. Further, by the control means, after completion of the predetermined surface treatment by the supply of the oxidizing substance, it is possible to optionally control the time until the development treatment is started by passing through the rinse treatment and supplying the developer. Do. For example, after completion of the predetermined surface treatment, the start of the developing step can be performed for a fixed time of preferably within 10 minutes, more preferably within 5 minutes.

다음으로, 도 3을 참조하여, 전술한 포토마스크 기판용 처리 장치를 더욱 구체적으로 설명한다.Next, with reference to FIG. 3, the above-mentioned processing apparatus for photomask substrates is demonstrated more concretely.

도 3은 포토마스크 블랭크의 제조에 바람직한 포토마스크 기판용 처리 장치를 도시한다. 이 포토마스크 기판용 처리 장치는, 소정의 표면 처리와 현상 처리를 행하고, 또한, 소정의 표면 처리에 이용하는 산화 물질로서 오존수를 사용하는 경우에 바람직한 것이다.3 shows a processing apparatus for a photomask substrate, which is suitable for the production of photomask blanks. This photomask substrate processing apparatus is preferable in the case where ozone water is used as an oxidizing substance used for predetermined surface treatment and developing treatment, and used for predetermined surface treatment.

이 포토마스크 기판용 처리 장치에서의 처리 방식은, 피처리물을 회전시키면서 처리를 행하는 처리 방식이며, 피처리물인 포토마스크 기판(묘화를 종료한 포토마스크 블랭크)을 회전시키면서, 포토마스크 기판의 레지스트 표면 위에 처리액인 오존수를 공급하고, 회전에 의해 확산된 오존수가 포토마스크 기판의 전체면의 레지스트 표면을 용해하고, 그 후, 수세에 의해 린스하고, 또한 표층이 용해되어 친수성이 높아진 레지스트의 표면에 현상액을 공급하고, 레지스트 패턴을 형성한 후, 현상액을 린스하고, 원심 탈수 건조하는 것이 가능하다.The processing method in this processing apparatus for photomask substrates is a processing method which processes while rotating a to-be-processed object, and resists the photomask substrate, rotating the photomask substrate (photomask blank which finished drawing) which is a to-be-processed object. The surface of the resist which supplied ozone water which is a processing liquid on the surface, and the ozone water diffused by rotation melt | dissolved the resist surface of the whole surface of a photomask substrate, and then rinsed with water washing, and the surface layer melt | dissolved and hydrophilicity became high. After supplying the developing solution to a resist pattern and forming a resist pattern, the developing solution can be rinsed and centrifugally dehydrated and dried.

이 포토마스크 기판용 처리 장치는, 상면이 개구된 전체가 대략 보울 형상으로 형성되어 있는 처리 용기(11)를 구비하고 있고, 이 처리 용기(11)의 바닥벽에는 복수개의 배기 배액구(20)가 열리어 형성되어 있다.This photomask substrate processing apparatus includes a processing container 11 in which an entire upper surface of which is opened is formed in a substantially bowl shape, and a plurality of exhaust drain ports 20 are provided on the bottom wall of the processing container 11. Are formed laterally.

처리 용기(11)의 아래에는, 모터(16)가 인코더(15)와 함께 처리 용기(11)와 동심적으로 배설되어 있다. 모터(16)의 회전축(14)은, 처리 용기(11)의 바닥벽을 관통하여 처리 용기 내부에 삽입되어 있다. 상기 모터(16)는, 엘리베이터(19) 위의 테이블(17)의 상면에 설치되어 있고, 엘리베이터(19)의 스트로크축(18)을 통하여 승강 가능하게 구성되어 있다. 또한, 모터(16)의 회전축(14)의 삽입 상단부에는 피처리 기판인 포토마스크 기판(10)을 핀(13)에 의해 끝면부에서 유지하는 스핀 헤드(12)가 수평으로 배치되어 회전하도록 지지되어 있다. 즉,이 스핀 헤드(12)는, 포토마스크 기판(10)의 끝면부를 접촉 유지하여 일체 회전시키도록 구성되어 있다.Under the processing container 11, the motor 16 is arranged concentrically with the processing container 11 together with the encoder 15. The rotation shaft 14 of the motor 16 penetrates the bottom wall of the processing container 11 and is inserted into the processing container. The said motor 16 is provided in the upper surface of the table 17 on the elevator 19, and is comprised so that lifting / lowering is possible through the stroke shaft 18 of the elevator 19. As shown in FIG. In addition, on the insertion upper end of the rotation shaft 14 of the motor 16, the spin head 12 for holding the photomask substrate 10, which is the substrate to be processed, at the end surface portion by the pins 13 is horizontally supported to rotate. It is. That is, this spin head 12 is comprised so that the end surface part of the photomask substrate 10 may contact and rotate integrally.

한편, 처리 용기(11)의 상방에는, 처리액으로서의 오존수를 공급하기 위한 급액 노즐(28)이 수직 하향으로 배설되어 있고, 이 급액 노즐(28)은 적절한 구동 수단(도시 생략)에 의해 승강 및 수평 이동되도록 되어 있다. 급액 노즐(28)에는 오존수 급액 유닛(27)이 접속되어 있고, 또한 이 오존수 급액 유닛(27)에는 오존수 발생 장치(26)가 접속되어 있다. 이 오존수 급액 유닛(27)은 소정 농도의 오존수를 소정 시에 소정량 공급할 수 있도록 구성되어 있다.On the other hand, above the processing container 11, the liquid supply nozzle 28 for supplying ozone water as a process liquid is arrange | positioned vertically downward, This liquid supply nozzle 28 is raised and lowered by appropriate drive means (not shown). It is intended to move horizontally. An ozone water supply unit 27 is connected to the water supply nozzle 28, and an ozone water generator 26 is connected to the ozone water supply unit 27. The ozone water supply unit 27 is configured to supply a predetermined amount of ozone water having a predetermined concentration at a predetermined time.

또한, 동일하게 처리 용기(11)의 상방에는, 순수 등의 린스액을 공급하기 위한 급액 노즐(33)이 수직 하향으로 배설되어 있다. 이 린스액 공급용의 급액 노즐(33)도 적절한 구동 수단(도시 생략)에 의해 승강 및 수평 이동되도록 되어 있다. 이 급액 노즐(33)에는 린스액 공급 유닛(32)이 접속되어 있다. 이 린스액 공급 유닛(32)은 순수 등의 린스액을 소정 시에 소정량 공급할 수 있도록 구성되어 있다.Similarly, above the processing container 11, the liquid supply nozzle 33 for supplying the rinse liquid, such as pure water, is arrange | positioned vertically downward. The liquid supply nozzle 33 for supplying the rinse liquid is also raised and lowered by a suitable driving means (not shown). The rinse liquid supply unit 32 is connected to this liquid supply nozzle 33. This rinse liquid supply unit 32 is comprised so that predetermined amount of rinse liquid, such as pure water, can be supplied at predetermined time.

또한, 동일하게 처리 용기(11)의 상방에는, 현상액을 공급하기 위한 급액 노즐(31)이 수직 하향으로 배설되어 있다. 이 현상액 공급용의 급액 노즐(31)도 적절한 구동 수단(도시 생략)에 의해 승강 및 수평 이동되도록 되어 있다. 이 급액 노즐(31)에는 현상액 공급 유닛(30)이 접속되어 있다. 또한,이 현상액 급액 유닛(30)에는 현상액 저류 탱크(29)가 접속되어 있다. 이 현상액 공급 유닛(30)은 현상액을 소정 시에 소정량 공급할 수 있도록 구성되어 있다.Similarly, above the processing container 11, the liquid supply nozzle 31 for supplying a developing solution is arrange | positioned vertically downward. The liquid supply nozzle 31 for supplying the developer is also raised and lowered horizontally by appropriate driving means (not shown). The developer supply unit 30 is connected to this liquid supply nozzle 31. In addition, a developer storage tank 29 is connected to the developer liquid supply unit 30. This developer supply unit 30 is configured to supply a predetermined amount of developer at a predetermined time.

상기 오존수 발생 장치(26)로서 이용하는, 오존수를 제조하는 장치로서는, 물의 전기 분해 등에 의해 얻어진 산소에 방전시킴으로써 오존 가스를 얻고, 이것을 용해막 등을 개재하여 순수와 접촉시킴으로써 용해하는 방식(도시 생략) 등에 의해 오존수를 얻는 장치 등을 이용할 수 있다.As an apparatus for producing ozone water, which is used as the ozone water generator 26, a system in which ozone gas is obtained by discharging to oxygen obtained by electrolysis of water, etc., and dissolved by contacting pure water through a dissolving film or the like (not shown) Or the like for obtaining ozone water.

또한,오존수를 처리 용기 내부에 공급하는 장치 및 그 배관에서는,급액 배관을 급액 노즐 부근에서 분기하고, 오존수 발생 장치(26)와의 사이에서 오존수를 제조하는 용해부와 급액 노즐 부근의 분기부 사이에서 순환시킴으로써 오존수가 급액 배관 내에서 자기 분해하여 농도 저하되는 것을 억제함과 함께, 오존수 발생 장치(26)의 출구 부분의 오존 농도를 일정 농도로 제어함으로써, 항상 일정 농도의 오존수가 처리 용기(11) 내에 공급되도록 한다. 또한, 오존수를 소정의 표면 처리의 개시 전에 일정 시간, 처리 용기 내에 토출 공급함으로써, 분기부로부터 급액 노즐 사이에 괴어 자기 분해에 의해 농도 저하된 오존수를 분해 전의 농도의 액으로 치환하는 기구를 설치하는 것이 바람직하다.In addition, in the apparatus for supplying the ozone water to the processing vessel and its piping, the liquid feed pipe is branched near the feed liquid nozzle, and between the dissolving portion for producing ozone water between the ozone water generator 26 and the branch portion near the liquid feed nozzle. By circulating, the ozone water is self-decomposed in the liquid feed pipe to suppress the concentration drop, and the ozone water at a constant concentration is always controlled by controlling the ozone concentration at the outlet portion of the ozone water generator 26 at a constant concentration. To be supplied within. Further, by discharging and supplying ozone water into the processing container for a predetermined time before the start of the predetermined surface treatment, a mechanism is provided for replacing ozone water, which is reduced between the branching portions and the liquid supply nozzles, by the decomposition of the concentration, with a liquid before the decomposition. It is preferable.

또한, 상기 오존수에는, 탄산 가스 용해수를 함유하는 것이 바람직하다. 오존수의 경우, 레지스트에 접촉시켰을 때 자기 분해 속도가 빠르기 때문에, 포토마스크 기판의 중앙의 바로 위에 급액 노즐을 배치하고, 기판 회전에 의해 기판 전체면에 처리액을 널리 퍼지게 하도록 한 경우라도, 기판 중앙 부분의 레지스트 용해 속도가 빨라지게 되는 현상이 일어나기 쉽다. 따라서, 포토마스크 기판 위의 전체면에서 균일하게 레지스트의 용해가 진행되도록, 오존수를 적하한 레지스트 접촉부에서 그 분해 속도를 억제하는 물질로서 이산화탄소를 함유시키는 것이 바람직하다. 탄산 가스 용해수를 제조하는 장치로서는, 봄베 가스를 이용하고, 오존 가스와 마찬가지로 용해막 등을 개재하여 순수와 접촉시킴으로써 용해하는 방식(도시 생략) 등에 의해 탄산 가스 용해수를 얻는 장치 등을 이용할 수 있다.Moreover, it is preferable to contain carbonic acid gas dissolved water in the said ozone water. In the case of ozone water, since the rate of self-decomposition is high when it comes into contact with the resist, even if a liquid supply nozzle is placed directly above the center of the photomask substrate, the processing liquid is spread out over the entire surface of the substrate by rotating the substrate. It is easy to cause the phenomenon that the resist dissolution speed of the portion is increased. Therefore, it is preferable to contain carbon dioxide as a substance which suppresses the decomposition rate at the resist contact part in which ozone water was dripped so that the resist may uniformly dissolve on the entire surface on the photomask substrate. As an apparatus for producing carbon dioxide dissolved water, an apparatus for obtaining carbon dioxide dissolved water, such as a method of dissolving by using a bomb gas and contacting with pure water through a dissolving film or the like like ozone gas (not shown) or the like can be used. have.

또한, 처리 용기(11)의 상부에는, 피처리물인 포토마스크 기판이 로딩 장치(도시 생략)에 의해 반입되도록 되어 있다. 또한, 처리 완료된 포토마스크 기판이 언로딩 장치(도시 생략)에 의해 반출되도록 되어 있다.Moreover, the photomask substrate which is a to-be-processed object is carried in in the upper part of the processing container 11 by a loading apparatus (not shown). Moreover, the processed photomask substrate is carried out by an unloading apparatus (not shown).

또한, 처리 용기(11)의 바닥벽에 열리어 형성된 상기 배기 배액구(20)에는 배기 배액 배관(21)이 접속되어 있다. 이 배기 배액 배관(21)을 통하여, 배기(22), 현상액 폐액(23), 오존수 폐액(24), 린스액 폐액(25)이 각각 배출된다.In addition, an exhaust drain pipe 21 is connected to the exhaust drain port 20 formed on the bottom wall of the processing container 11. The exhaust 22, the developer waste liquid 23, the ozone water waste liquid 24, and the rinse liquid waste liquid 25 are respectively discharged through the exhaust drainage pipe 21. As shown in FIG.

다음으로, 전술한 포토마스크 기판용 처리 장치의 동작에 대하여 설명한다.Next, the operation of the above-described photomask substrate processing apparatus will be described.

로딩 장치에 의해 피처리물인 묘화 및 노광 후 베이크 처리를 종료한 포토마스크 기판(10)이 처리 용기(11) 내의 스핀 헤드(12) 위의 회전 테이블에 재치된다. 그리고, 스핀 헤드(12)에 유지된 포토마스크 기판(10)은, 모터(16)에 의해 회전되면서, 그 상면의 레지스트(3) 표면에 급액 노즐(28)에 의해 오존수를 공급받는다. 포토마스크 기판(10) 위에 공급된 오존수는, 포토마스크 기판(10)의 회전 및 액의 표면 장력에 의해 포토마스크 기판의 전체면에 균등하게 확산되어 레지스트(3) 표면과 접촉한다. 이 때, 급액 노즐(28)을 수평 이동시키면,급액 노즐(28)로부터 공급되는 오존수와 포토마스크 기판(10) 위의 레지스트의 접촉 위치를 항상 계속해서 변화시킬 수 있기 때문에, 포토마스크 기판 위의 레지스트 용해는 보다 균일하게 진행된다.The photomask substrate 10 which finished the drawing and post-exposure bake process which are to-be-processed objects by a loading apparatus is mounted on the rotating table on the spin head 12 in the processing container 11. The photomask substrate 10 held by the spin head 12 is rotated by the motor 16 and is supplied with ozone water to the surface of the resist 3 on the upper surface thereof by the liquid supply nozzle 28. The ozone water supplied on the photomask substrate 10 is uniformly diffused on the entire surface of the photomask substrate due to the rotation of the photomask substrate 10 and the surface tension of the liquid to contact the surface of the resist 3. At this time, when the liquid feed nozzle 28 is horizontally moved, the contact position of the ozone water supplied from the liquid feed nozzle 28 and the resist on the photomask substrate 10 can be continuously changed at all times. Resist dissolution proceeds more uniformly.

이 오존수에 의한 소정의 표면 처리 후, 순수를 급액 노즐(33)로부터 포토마스크 기판 위에 공급하고, 기판을 회전하면서 린스한다.After the predetermined surface treatment with this ozone water, pure water is supplied from the liquid supply nozzle 33 onto the photomask substrate and rinsed while rotating the substrate.

그리고, 포토마스크 기판은, 모터(16)에 의해 다시 회전되면서, 그 상면에 급액 노즐(31)에 의해 현상액을 공급받는다. 포토마스크 기판 위에 공급된 현상액은, 포토마스크 기판의 회전 및 액의 표면 장력에 의해 포토마스크 기판의 전체면에 균등하게 확산되어 레지스트면과 접촉한다. 오존수에 의한 소정의 표면 처리에 의해 레지스트면의 습윤성이 미리 양호하게 개선된 상태에 있으므로, 현상액과 레지스트면의 접촉은 바람직하게 행해진다. 이 때, 급액 노즐(31)을 수평 이동시키면,급액 노즐로부터 공급되는 현상액과 포토마스크 기판 위의 레지스트의 접촉 위치를 항상 계속해서 변화시킬 수 있기 때문에, 포토마스크 기판 위의 현상(레지스트 용해)은 보다 균일하게 진행된다.Then, while the photomask substrate is rotated again by the motor 16, the developer is supplied to the upper surface by the liquid supply nozzle 31. The developer supplied on the photomask substrate is uniformly diffused on the entire surface of the photomask substrate by contact with the resist surface by the rotation of the photomask substrate and the surface tension of the liquid. Since the wettability of the resist surface is improved in advance by a predetermined surface treatment with ozone water, contact between the developer and the resist surface is preferably performed. At this time, if the liquid feed nozzle 31 is horizontally moved, the contact position of the developer supplied from the liquid feed nozzle and the resist on the photomask substrate can be continuously changed at all times, so that the development on the photomask substrate (resist dissolution) Proceed more uniformly.

미리 정해진 시간만큼 현상액의 공급을 계속한 후, 급액 노즐(33)로부터 순수를 포토마스크 기판 위에 공급하면서 기판을 회전시킴으로써, 포토마스크 기판 전체면의 현상액을 순수 등에 의해 린스한다.After supplying the developer for a predetermined time, the substrate is rotated while supplying pure water from the liquid supply nozzle 33 onto the photomask substrate, thereby rinsing the developer on the entire surface of the photomask substrate with pure water or the like.

소정 시간 수세하고, 순수의 공급을 멈추고, 적절한 회전 탈수 조작을 행하여 포토마스크 기판을 건조시킨다. 건조를 종료한 포토마스크 기판은 회전 조작이 정지한 후, 언로드된다.After washing with water for a predetermined time, the supply of pure water is stopped, and an appropriate rotary dehydration operation is performed to dry the photomask substrate. The photomask substrate which has finished drying is unloaded after the rotation operation is stopped.

또한, 소정의 표면 처리에 이용하는 액체(예를 들면 오존수)에 대하여 레지스트의 습윤성이 나쁜 경우에는, 소정의 표면 처리에 앞서서, 레지스트 표면에 순수를 접촉시키는 소위 프리 웨트 처리를 행하거나, 계면 활성제를 오존수에 첨가하거나 하거나 하여 레지스트 표면의 친수성을 미리 높이도록 하여도 된다. 이와 같은 레지스트 표면의 친수성을 미리 높이기 위한 그 밖의 방법으로서는, 레지스트 표면을 오존 가스에 노출하는 방법, 레지스트 표면을 애싱하는 방법, 레지스트 표면에 자외선을 조사하는 방법 등의 건식 처리를 이용하여도 된다.In addition, when the wettability of a resist is bad with respect to the liquid (for example, ozone water) used for a predetermined surface treatment, what is called a prewet process which makes pure water contact a resist surface before a predetermined surface treatment, or uses surfactant The hydrophilicity of the resist surface may be increased in advance by adding to ozone water. As another method for enhancing the hydrophilicity of such a resist surface in advance, a dry treatment such as a method of exposing the resist surface to ozone gas, a method of ashing the resist surface, or a method of irradiating ultraviolet rays to the resist surface may be used.

전술한 포토마스크 기판용 처리 장치에서는, 상기의 스핀 방식을 채용하고 있지만, 이에 한하지 않고, 처리 기판을 처리액 내에 침지시켜 처리를 행하는 방식이어도 무방하다.The above-described spin method is employed in the above-described photomask substrate processing apparatus, but the present invention is not limited thereto, and may be a system in which the processing substrate is immersed in the processing liquid to perform the processing.

또한, 처리 장치에 오존수 공급 수단과 현상액 공급 수단의 양방을 구비하고, 소정의 표면 처리와 현상 처리를 동일 장치 내에서 웨트 처리에 의해 연속적으로 행할 수 있도록 하고 있지만, 소정의 표면 처리 후, 현상 처리는 별도의 현상 처리 장치를 이용하여 행하여도 된다.In addition, although both the ozone water supply means and the developing solution supply means are provided in the processing apparatus, the predetermined surface treatment and the developing treatment can be performed continuously by the wet treatment in the same apparatus, but after the predetermined surface treatment, the development treatment May be performed using a separate development apparatus.

본 발명은, 포토마스크뿐만 아니라, 반도체 웨이퍼 등의 전자 디바이스나 마이크로 머신 등을 제작할 때의 전자 빔을 이용한 직접 묘화에 의한 박막의 패터닝에 적용하여도 된다.The present invention may be applied not only to a photomask but also to the patterning of a thin film by direct drawing using an electron beam when producing an electronic device such as a semiconductor wafer or a micromachine.

이하, 실시예와 비교예를 이용하여 더욱 구체적으로 설명한다.Hereinafter, it demonstrates more concretely using an Example and a comparative example.

<실시예><Examples>

투명 기판으로서 사이즈 6인치각, 두께 0.25인치의 합성 석영 글래스 기판을 이용하고, 이 합성 석영 글래스 기판 위에, 차광성을 갖는 크롬계 금속막을 스퍼터법에 의해 성막하고, 그 위에 전자선 묘화용 화학 증폭형 네가티브 레지스트를 도포하고, 프리 베이크하여 막 두께 2000Å의 레지스트막을 형성한 포토마스크 블랭크를 준비하였다.As a transparent substrate, a synthetic quartz glass substrate having a size of 6 inches each and a thickness of 0.25 inches was used, and a chromium-based metal film having a light shielding property was formed by sputtering on the synthetic quartz glass substrate, and a chemical amplification type for electron beam drawing thereon was formed thereon. The photoresist blank which apply | coated the negative resist and prebaked and formed the resist film of 2000 micrometers in thickness was prepared.

다음으로, 가속 전압 50㎸의 전자선을 에너지선으로서 이용한 가변 성형 방식의 묘화 장치에 의해, 포토마스크 패턴을 묘화하였다. 이 묘화 패턴은, 0.5~2㎛의 선폭에 의한 차광 패턴(디바이스용의 포토마스크 패턴)과, 그 영역 주연에 배치된, 선폭 1~2㎜의 차광 패턴(주변 패턴)을 포함하고 있었다. 또한, 상기 디바이스용의 포토마스크 패턴에는, 선폭 1㎛의 라인 앤드 스페이스 패턴(line and space patterns)이 포함되어 있었다.Next, the photomask pattern was drawn by the drawing apparatus of the variable shaping system which used the electron beam of 50 kV of acceleration voltages as an energy beam. This drawing pattern included the light-shielding pattern (photomask pattern for devices) by 0.5-2 micrometers of line widths, and the light-shielding pattern (peripheral pattern) of line widths 1-2mm arrange | positioned at the periphery of the area | region. Moreover, the line and space patterns of the line width of 1 micrometer were contained in the photomask pattern for the said devices.

상기 묘화를 행한 포토마스크 블랭크(포토마스크 기판)에 대하여, 핫 플레이트를 이용하여 노광 후 베이크를 실시하였다.The photomask blank (photomask substrate) which performed the said drawing was baked after exposure using the hotplate.

이 포토마스크 기판을 전술한 포토마스크 기판 처리 장치의 스핀 헤드 위의 회전 테이블에 재치하였다.The photomask substrate was placed on a rotating table on the spin head of the photomask substrate processing apparatus described above.

그 후, 포토마스크 기판을 200rpm으로 회전시키면서, 레지스트면에 대하여, 40ppm의 오존수를 공급하고, 전체면에 고르게 널리 퍼지도록 하였다. 오존수와 레지스트를 10초간 접촉시킴으로써 소정의 표면 처리를 행하여, 레지스트의 표층 부분을 50Å 정도 용해 제거하였다. 이 레지스트의 표층 부분의 용해에 의해, 묘화 시의 흐려짐에 의한 현상 용해되기 어려운 표층 부분이 제거되고, 동시에 친수성이 높은 새로운 레지스트 표면이 노출되었다.Thereafter, while rotating the photomask substrate at 200 rpm, 40 ppm of ozone water was supplied to the resist surface, and the entire surface was spread evenly. The predetermined surface treatment was performed by making ozone water and a resist contact for 10 second, and the surface layer part of the resist was melt | dissolved and removed about 50 kPa. By dissolving the surface layer portion of the resist, the surface layer portion which is hard to be dissolved due to clouding during drawing was removed, and at the same time, the surface of the new resist having high hydrophilicity was exposed.

소정의 표면 처리를 종료한 레지스트 표면을 순수에 의해 린스하고, 다음으로 수산화 테트라메틸 암모늄의 수용액으로 이루어지는 알칼리 현상액을 이용하여 현상 처리를 행하였다. 현상 시에는, 기판을 적절히 회전시켜 행하였다. 현상 처리 후, 순수로 린스하고, 레지스트 패턴을 형성하였다.The surface of the resist which had finished the predetermined surface treatment was rinsed with pure water, and then developed using an alkaline developer composed of an aqueous solution of tetramethyl ammonium hydroxide. At the time of image development, it carried out by rotating a board | substrate suitably. After the development treatment, it was rinsed with pure water to form a resist pattern.

또한,이 때의 현상 처리 시간은 60초로 하고, 그 후의 에칭 후의 1㎛의 라인 앤드 스페이스 패턴(line and space patterns)이 1 : 1의 선폭으로 되도록 미리 상기 묘화 시의 도우즈량을 조정하였다.In addition, the developing process time at this time was 60 second, and the dose amount at the time of the said drawing was previously adjusted so that the 1 micrometer line and space patterns after the etching may be set to the line width of 1: 1.

다음으로, 형성된 레지스트 패턴을 마스크로 하여, 플라즈마 에칭을 행하고, 상기 크롬계 금속막을 패터닝하고, 그 후 불필요하게 된 레지스트 패턴을 애싱에 의해 제거하고, 크롬계막의 차광 패턴을 갖는 포토마스크를 제작하였다.Next, plasma etching was performed using the formed resist pattern as a mask, the chromium-based metal film was patterned, and then the unnecessary resist pattern was removed by ashing to prepare a photomask having a light shielding pattern of the chromium-based film. .

<비교예>Comparative Example

상기 실시예에서, 묘화 후의 레지스트 표면에 대하여, 오존수에 의한 소정의 표면 처리를 행하지 않고, 그 이외는 상기 실시예와 마찬가지로, 포토마스크 기판을 처리하여, 포토마스크를 제작하였다.In the said Example, the photoresist board | substrate was processed similarly to the said Example except having performed predetermined surface treatment with ozone water on the resist surface after drawing, and the photomask was produced.

상기 실시예와 비교예에서 각각 형성된, 에칭 후의 포토마스크 패턴 선폭을 비교하기 위해서, 결함 검사를 행한 바, 실시예에서 제작된 포토마스크에는 결함은 검출되지 않았지만, 비교예에서 제작된 포토마스크에서는, 선폭 1㎜를 초과하는 대면적 포토마스크 패턴의 근방에 다수의 흑 결함을 검출하였다. 이 원인은, 비교예의 포토마스크에서는, 묘화 시에 생긴 흐려짐에 의해, 현상 후의 레지스트 패턴에서, 상기 대면적의 노광부의 근방에서 현상 용해가 어려운, 흐려짐이 생긴 레지스트가 잔류하였기 때문이다.In order to compare the photomask pattern line widths after etching formed in the above Examples and Comparative Examples, defects were not detected in the photomasks fabricated in Examples, but in the photomasks fabricated in Comparative Examples, Many black defects were detected in the vicinity of the large area photomask pattern exceeding the line width of 1 mm. This reason is because, in the photomask of the comparative example, the blur which occurred during drawing caused a blur which was difficult to develop and melt in the vicinity of the exposed portion of the large area in the resist pattern after development.

1 : 투명 기판
2 : 차광성 박막
3 : 레지스트막
3a, 3b : 레지스트 패턴
4 : 묘화
1: transparent substrate
2: light shielding thin film
3: resist film
3a, 3b: resist pattern
4: drawing

Claims (13)

기판 위에, 박막과, 레지스트막을 형성한 포토마스크 블랭크를 준비하는 것,
상기 포토마스크 블랭크의 레지스트막 위에 전자 빔 묘화기를 이용하여 원하는 전사 패턴을 묘화하는 것,
묘화 후, 상기 레지스트막을 현상하여 레지스트 패턴을 형성하는 것, 및
상기 레지스트 패턴을 마스크로 하여, 상기 박막을 에칭하는 것
을 포함하는 포토마스크의 제조 방법으로서,
상기 묘화 후로서, 상기 레지스트막의 현상 전에, 상기 레지스트막의 표면으로부터 30~100Å의 표층 부분을 제거하는 표면 처리를 행하는 것을 특징으로 하는 포토마스크의 제조 방법.
Preparing a photomask blank on which a thin film and a resist film are formed on a substrate,
Drawing a desired transfer pattern on the resist film of the photomask blank by using an electron beam writer;
After drawing, the resist film is developed to form a resist pattern, and
Etching the thin film using the resist pattern as a mask
As a manufacturing method of a photomask comprising a,
After the drawing, before the development of the resist film, a surface treatment of removing a surface layer portion of 30 to 100 microseconds from the surface of the resist film is performed.
제1항에 있어서,
상기 표면 처리는, 상기 레지스트막의 표면에, 산소, 또는 산소와 수소로 이루어지는 산화 물질을 접촉시키는 처리인 것을 특징으로 하는 포토마스크의 제조 방법.
The method of claim 1,
The said surface treatment is a process which makes oxygen or the oxidation material which consists of oxygen and hydrogen contact the surface of the said resist film, The manufacturing method of the photomask characterized by the above-mentioned.
제2항에 있어서,
상기 박막이 차광성의 박막인 것을 특징으로 하는 포토마스크의 제조 방법.
The method of claim 2,
The thin film is a light shielding thin film manufacturing method, characterized in that.
제2항에 있어서,
상기 산화 물질은, 오존 가스, 오존수, 과산화수소 가스, 과산화수소수 중으로부터 선택된 적어도 1개를 함유하는 것인 것을 특징으로 하는 포토마스크의 제조 방법.
The method of claim 2,
And the oxidizing substance contains at least one selected from ozone gas, ozone water, hydrogen peroxide gas, and hydrogen peroxide water.
제4항에 있어서,
상기 산화 물질은, 순수(純水)에 10~100ppm의 농도로 오존이 용해된 오존수인 것을 특징으로 하는 포토마스크의 제조 방법.
The method of claim 4, wherein
The oxidizing substance is ozone water in which ozone is dissolved in pure water at a concentration of 10 to 100 ppm.
제1항 내지 제5항 중 어느 한 항에 있어서,
상기 레지스트막은, 화학 증폭형 레지스트인 것을 특징으로 하는 포토마스크의 제조 방법.
The method according to any one of claims 1 to 5,
The resist film is a chemically amplified resist, the method of producing a photomask.
제1항 내지 제5항 중 어느 한 항에 있어서,
상기 레지스트막은, 네가티브형 레지스트인 것을 특징으로 하는 포토마스크의 제조 방법.
The method according to any one of claims 1 to 5,
The said resist film is a negative resist, The manufacturing method of the photomask characterized by the above-mentioned.
제1항 내지 제5항 중 어느 한 항에 있어서,
상기 포토마스크는, KrF 레이저, ArF 레이저 또는 EUV 광을 노광광으로 하는 노광기에 이용하는 것인 것을 특징으로 하는 포토마스크의 제조 방법.
The method according to any one of claims 1 to 5,
The said photomask is used for the exposure machine which uses KrF laser, ArF laser, or EUV light as exposure light, The manufacturing method of the photomask characterized by the above-mentioned.
제3항에 있어서,
상기 차광성의 박막은, 희생막과 차광막을 포함하는 것을 특징으로 하는 포토마스크의 제조 방법.
The method of claim 3,
The light-shielding thin film includes a sacrificial film and a light shielding film.
제1항 내지 제5항 중 어느 한 항에 있어서,
상기 포토마스크는, 1㎛ 미만의 선폭을 가지는 미세 패턴과, 상기 미세 패턴의 100배 이상의 선폭을 가지는 주변 패턴을 갖는 것을 특징으로 하는 포토마스크의 제조 방법.
The method according to any one of claims 1 to 5,
The photomask has a fine pattern having a line width of less than 1 μm and a peripheral pattern having a line width of 100 times or more of the fine pattern.
제1항 내지 제5항 중 어느 한 항의 포토마스크의 제조 방법으로 제조된 것을 특징으로 하는 포토마스크.A photomask manufactured by the method for producing the photomask of any one of claims 1 to 5. 제1항 내지 제5항 중 어느 한 항의 제조 방법에 의한 포토마스크를 이용하여, 노광기에 의해 피전사체 위에 패턴을 전사하는 것을 특징으로 하는 패턴 전사 방법.The pattern transfer method characterized by transferring a pattern on a to-be-transferred body by an exposure machine using the photomask by the manufacturing method of any one of Claims 1-5. 투명 기판 위에, 피가공 박막과 레지스트막을 형성한 기판을 준비하는 것,
상기 기판의 레지스트막 위에 전자 빔 묘화기를 이용하여 원하는 전사 패턴을 묘화하는 것,
묘화 후, 상기 레지스트막을 현상하여 레지스트 패턴을 형성하는 것, 및
상기 레지스트 패턴을 마스크로 하여, 상기 박막을 에칭하는 것
을 포함하는 박막 패터닝 방법으로서,
상기 묘화 후로서, 레지스트막의 현상 전에, 그 레지스트막의 표면에, 산소, 또는 산소와 수소로 이루어지는 산화 물질을 접촉시키는 표면 처리를 함으로써, 상기 레지스트막의 표면으로부터 30~100Å의 표층 부분을 제거하는 것을 특징으로 하는 박막 패터닝 방법.
Preparing a substrate on which a thin film to be processed and a resist film are formed on a transparent substrate,
Drawing a desired transfer pattern on the resist film of the substrate by using an electron beam drawing machine,
After drawing, the resist film is developed to form a resist pattern, and
Etching the thin film using the resist pattern as a mask
As a thin film patterning method comprising:
After the drawing, before the development of the resist film, a surface treatment of contacting the surface of the resist film with oxygen or an oxidizing substance consisting of oxygen and hydrogen removes the surface layer portion of 30 to 100 GPa from the surface of the resist film. Thin film patterning method.
KR1020100000415A 2009-01-06 2010-01-05 Method of manufacturing photomask, pattern transfer method, processing device for photomask substate , and thin film patterning method KR101109902B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2009-000565 2009-01-06
JP2009000565 2009-01-06

Publications (2)

Publication Number Publication Date
KR20100081937A KR20100081937A (en) 2010-07-15
KR101109902B1 true KR101109902B1 (en) 2012-01-31

Family

ID=42642242

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100000415A KR101109902B1 (en) 2009-01-06 2010-01-05 Method of manufacturing photomask, pattern transfer method, processing device for photomask substate , and thin film patterning method

Country Status (3)

Country Link
JP (1) JP5305300B2 (en)
KR (1) KR101109902B1 (en)
TW (1) TWI438562B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5154626B2 (en) 2010-09-30 2013-02-27 Hoya株式会社 Mask blank, transfer mask, transfer mask manufacturing method, and semiconductor device manufacturing method
JP5738574B2 (en) * 2010-11-15 2015-06-24 野村マイクロ・サイエンス株式会社 Modification method of metal surface with ozone water
KR101913431B1 (en) * 2011-04-06 2018-10-30 호야 가부시키가이샤 Method of processing surface of mask blank, method of manufacturing mask blank and method of manufacturing mask
JP6089667B2 (en) * 2012-12-13 2017-03-08 大日本印刷株式会社 Photomask blanks manufacturing method with resist, and photomask manufacturing method
JP6455979B2 (en) * 2014-03-18 2019-01-23 Hoya株式会社 Blank with resist layer, manufacturing method thereof, mask blank and imprint mold blank, transfer mask, imprint mold and manufacturing method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002246290A (en) * 2001-02-16 2002-08-30 Toshiba Corp Method of forming pattern
KR20060003150A (en) * 2004-07-05 2006-01-10 주식회사 에스앤에스텍 Blank mask and photo mask and method for manufacturing thereof

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04221959A (en) * 1990-12-25 1992-08-12 Fujitsu Ltd Formation of resist pattern
JPH04318852A (en) * 1991-04-18 1992-11-10 Fujitsu Ltd Resist pattern forming method
JP2768139B2 (en) * 1992-06-08 1998-06-25 松下電器産業株式会社 Method for manufacturing semiconductor device
JPH06267838A (en) * 1993-03-11 1994-09-22 Hitachi Ltd Method of forming resist pattern
JPH09251210A (en) * 1996-03-15 1997-09-22 Toshiba Corp Forming method of resist pattern
JP2004163698A (en) * 2002-11-13 2004-06-10 Ricoh Co Ltd Method of electron beam lithography, stamper mold manufactured from original disk produced by method of electron beam lithography, and information recording medium manufactured by using stamper mold
JP4318209B2 (en) * 2004-02-09 2009-08-19 Hoya株式会社 Photomask blank manufacturing method and photomask manufacturing method
JP4936878B2 (en) * 2006-12-25 2012-05-23 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JP5063138B2 (en) * 2007-02-23 2012-10-31 株式会社Sokudo Substrate development method and development apparatus
JP2010073899A (en) * 2008-09-18 2010-04-02 Nuflare Technology Inc Method for processing substrate and substrate processing apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002246290A (en) * 2001-02-16 2002-08-30 Toshiba Corp Method of forming pattern
KR20060003150A (en) * 2004-07-05 2006-01-10 주식회사 에스앤에스텍 Blank mask and photo mask and method for manufacturing thereof

Also Published As

Publication number Publication date
JP5305300B2 (en) 2013-10-02
JP2010181872A (en) 2010-08-19
KR20100081937A (en) 2010-07-15
TWI438562B (en) 2014-05-21
TW201030454A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
JP5384106B2 (en) Resist film peeling method, mask blank manufacturing method, and transfer mask manufacturing method
US8895234B2 (en) Immersion lithography watermark reduction
KR101003375B1 (en) Method for manufacturing a lithographic mask and lithographic mask
US7851363B2 (en) Pattern forming method and manufacturing method of semiconductor device
US20050069819A1 (en) Method for forming resist pattern and method for manufacturing semiconductor device
EP1605310B1 (en) Pattern formation method
JP4634822B2 (en) Resist pattern forming method and semiconductor device manufacturing method
KR101724776B1 (en) Transfer mask, method for producing transfer mask, and method for manufacturing semiconductor device
KR101109902B1 (en) Method of manufacturing photomask, pattern transfer method, processing device for photomask substate , and thin film patterning method
KR100541908B1 (en) Pattern forming method, manufacturing method of semiconductor device, pattern test and correction apparatus, and pattern slimming apparatus
US20020052100A1 (en) Photomask and method for manufacturing the same
US20100255409A1 (en) Attenuated phase-shift photomasks, method of fabricating the same and method of fabricating semiconductor using the same
KR100680553B1 (en) Method for peeling positive type resist film, method for manufacturing exposure mask, and resist peeling device
JP4184128B2 (en) Photomask blank manufacturing method and manufacturing apparatus, and unnecessary film removing apparatus
JP4531726B2 (en) Method for forming miniaturized resist pattern
KR20050010821A (en) Sensitized chemically amplified photoresist for use in photomask fabrication and semiconductor processing
JP5275275B2 (en) Substrate processing method, EUV mask manufacturing method, EUV mask, and semiconductor device manufacturing method
WO2011046075A1 (en) Method for producing transfer mask and method for manufacturing semiconductor device
US20090166319A1 (en) System and Method for Performing High Flow Rate Dispensation of a Chemical onto a Photolithographic Component
US20130040246A1 (en) Multiple chemical treatment process for reducing pattern defect
KR20110066081A (en) Develop processing method and computer readable storage medium
CN112570356B (en) Method for cleaning substrate
US8148054B2 (en) Immersion multiple-exposure method and immersion exposure system for separately performing multiple exposure of micropatterns and non-micropatterns
JP4753248B2 (en) Mask blank, mask blank manufacturing method, transfer mask manufacturing method, and semiconductor device manufacturing method
KR20040095159A (en) Method of forming resist pattern and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180104

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200107

Year of fee payment: 9