KR101052649B1 - 프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면 특성의 변화를 검출하기 위한 장치 및 방법 - Google Patents

프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면 특성의 변화를 검출하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR101052649B1
KR101052649B1 KR1020047015569A KR20047015569A KR101052649B1 KR 101052649 B1 KR101052649 B1 KR 101052649B1 KR 1020047015569 A KR1020047015569 A KR 1020047015569A KR 20047015569 A KR20047015569 A KR 20047015569A KR 101052649 B1 KR101052649 B1 KR 101052649B1
Authority
KR
South Korea
Prior art keywords
wafer
sensor
energy
characteristic
exposed surface
Prior art date
Application number
KR1020047015569A
Other languages
English (en)
Other versions
KR20040099383A (ko
Inventor
키슬러 로드니
제이 햄커 데이빗
고키스 예힐
오자즈 알렉산더
모렐 브루노
브이 윌리암스 다몬
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20040099383A publication Critical patent/KR20040099383A/ko
Application granted granted Critical
Publication of KR101052649B1 publication Critical patent/KR101052649B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/10Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/12Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/14Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the temperature during grinding

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Constituent Portions Of Griding Lathes, Driving, Sensing And Control (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

화학적 기계적 폴리싱 장치에서, 웨이퍼 캐리어 플레이트는 폴리싱되어지는 웨이퍼와 매우 가깝게 위치된 센서의 수용을 위한 공간이 제공된다. 폴리싱 패드와 웨이퍼의 노출면 사이의 접촉으로부터 유발된 에너지는 센서까지 매우 짧은 거리만큼 이동되고 센서에 의해 감지되며, 웨이퍼의 노출면의 특성과 이러한 특성의 변화에 관한 데이터를 제공한다. 상관 방법은 감지된 에너지와 표면 특성 및 변화의 관련 그래프를 제공한다. 상관 그래프는 프로세스 제어를 위한 프로세스 상태 데이터를 제공한다.
Figure R1020047015569
폴리싱, 웨이퍼, 캐리어, 센서, 상관, 프로세스

Description

프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면 특성의 변화를 검출하기 위한 장치 및 방법{ Apparatus and methods for detecting transitions of wafer surface properties in chemical mechanical polishing for process status and control }
본 발명은 일반적으로 반도체 제조공정에 관한 것으로, 보다 구체적으로 프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에서 웨이퍼 표면 특성의 변화를 검출하기 위한 장치와 방법에 관한 것이다.
반도체 제조공정에서 집적회로는 반도체 웨이퍼 상에서 하나의 층 위에 다양한 패턴층을 형성하는 것으로 정의되어진다. 다른 층 위에 배치된 이러한 패턴층은 웨이퍼 표면의 토포그래피(topography)를 정의한다. 상기 토포그래피는 제조하는 동안 불규칙해진다. 즉, 고르지 않고 불균일해진다. 이러한 불규칙성은 예를 들면 특별히 포토리소그래픽(사진석화) 패턴을 프린팅 하는 동작과 같은 다음에 오는 프로세싱 동작에 몇가지 문제를 가져온다. 만약 상기 표면의 토포그래피가 부드럽게 되지 못한다면 토포그래피의 불규칙성의 축적된 효과는 소자의 불량 및 거친 표면을 야기할 수 있다.
삭제
평탄화는 상기 불규칙성을 부드럽게 하기 위하여 사용된다. 평탄화의 한 유형으로 화학적 기계적 폴리싱(Chemical Mechanical Polishing;CMP)이 알려져 있다. 일반적으로 CMP 과정은 웨이퍼의 홀딩과 로테이팅, 로테이팅한 웨이퍼를 폴리싱 패드에 대항하여 미는 것을 포함한다. 폴리싱을 돕기 위하여 연마액이 패드에 도포된다. CMP 동작에서 발생한 문제는 CMP 프로세스에서 "상태"의 결정자이다. 상기 상태는 토포그래피의 적절한 평평함을 달성하는 것이거나 웨이퍼 표면에 남아있는 물질의 적절한 두께일 것이다. 그러한 상태의 다른 예는 공정물질의 성분과 관련이 있다. 예를 들면, 어떤 물질은 웨이퍼로부터 제거되어지고, 또는 적절한 패턴에서 어떤 물질은 웨이퍼의 노출면의 일부로서 남아있다. 게다가 그 상태는 예를 들면 과적층된 물질의 제거와 같은 프로세싱의 또 다른 목적을 달성하는 것이다.
그러한 각각의 상태는 반도체 웨이퍼와 웨이퍼 위의 필름의 특성과 관련이 있다. 그 특성은 예를 들면 정밀도, 두께, 물질의 성분, 반사도, 저항도와 필름의 질을 포함한다.
이러한 상태 결정자를 만드는 종래 기술은 독립하여 조작가능한 조사 계량을 편리하게 하기 위하여 프로세싱 장치로부터 반도체 웨이퍼를 제거하는 과정을 포함한다. 또한 다음에 묘사되는 것처럼 이러한 방법은 그 장치로부터 웨이퍼를 제거함이 없이 웨이퍼 표면의 특성을 모니터하기 위하여 레이저 간섭이나 광대역 반사스펙트럼을 이용한다. 다음에 묘사되는 것처럼 진동 센서(sensor)가 반도체 캐리어 플레이트를 이동하기 위해 탑재된다. 헤드의 센서는 웨이퍼로부터 멀리 위치된다.
레이저 간섭이나 반사스펙트럼과 같은 이러한 방법은 일반적으로 삽입창을 통하는 것과 같이, 전형적으로 폴리싱 패드를 통하여 웨이퍼 표면을 관찰할 수 있는 능력을 요구한다. 도 1은 웨이퍼(102) 층의 두께를 측정하기 위한 종전 장치를 도시하고 있다. 웨이퍼(102)는 회전되는 캐리어(104)에 부착되어 있다. CMP 동작에서 웨이퍼(102)는 웨이퍼(102) 표면(107)을 평탄화하기 위하여 패드(106)에 대항하여 압력을 받는다. 상기 패드(106)는 압반(platen;108)에 부착되어 있다.압반(108)과 패드(106)에서 창(110)은 웨이퍼(102)의 표면(107)을 관찰하기 위하여 레이저112)로부터 빔을 통과시킨다. 상기 패드(106)와 상기 압반(108)은 화살표(114)에 의해 도시된 바와 같이 축 주변을 회전할 것이다. 그리고 캐리어(104)는 패드(106)와 압반(108)이 회전하듯이 화살표에 의해 도시된 것처럼 축 주변으로 웨이퍼(102)를 회전시킨다. 유럽 특허(European Patent) 제 EP 0,738,561 A1 호와 EP 0,824,995 A1호는 레이저 간섭기가 자세히 개시되어 있다.
CMP 동작에서 종전 모니터링이 가지는 문제점은 웨이퍼(102) 표면(107)과 창(110) 사이의 간격(118)에서의 환경이 스펙트럼 신호의 진동을 가져온다는 것이다. 이것은 전형적으로 다변하는 환경때문에 변화하는 광학적 특성의 변화와 CMP 과정의 연마제의 특성, 프로세스 부산물의 침전 때문에 발생한다. 웨이퍼(102)와 패드(106)로부터의 슬러리(slurry)와 잔여물 뿐만 아니라 난기류에 의한 공기 버블 또한 간격에서의 환경에 의해 발생하는 광학적 진동에 기여한다. 예를 들면, CMP 과정의 시작점에서 상기 간격(118)은 일정한 광학적 특성을 가진 슬러리로 채워진다. 그리고 교정과정은 초기 광학적 특성에 기초하여 수행되어진다. 그러나 웨이퍼(102)가 평탄화되어짐에 따라 슬러리는 웨이퍼(102)와 패드(106)로부터 잔여물의 증가하는 퍼센트를 포함하게 된다. 그러한 잔여물은 상기 간격(118)에서 슬러리의 광학적 특성을 변화시키고, 결과적으로 두께 측정의 에러를 가져온다. 상기 에러는 레이저와 결합된 디텍터가 상기 간격(118)에서 오직 슬러리나 유체의 초기 광학적 특성에 기초하여 교정되어질 때 끝점에서, 그리고 상기 광학적 특성은 그러한 이유로 두께 특성을 변화시킬 때 발생한다. 반면에 창(110)은 패드(106)내에 다른 높이로 위치될 것이다. 간격(118)은 항상 존재하고, 따라서 창(110)은 웨이퍼(102)와 접촉하지 않는다. 미국 특허(U.S. Patent) 제 6,146,242호는 폴리싱 패드에서 창 아래 위치한 광학적 끝점 창을 설명한다.
그러한 종전 모니터링은 또한 다른 제한에 종속된다. 전형적으로 압반(108)에서 창(110)의 위치는 웨이퍼(102)와 압반(108)이 상기 축을 중심으로 회전함에 따라 주기적으로 웨이퍼에 중첩된다. 결과적으로 압반(108)에서 창(110)은 셔터처럼 행동한다. 그래서 레이저(112)는 지속적으로 웨이퍼(102)에 비추어 지지 않는다. 또한 셔터 행동은 웨이퍼(102)로부터 반사된 레이저 빛을 수용하는 광학적 소자에 의해 주기적인 반응을 가져온다.
CMP 동작에서 종전 모니터링의 이러한 한계를 고려하여, CMP 과정에서 진동을 감지하기 위한 시도들이 있었다. 그러나 도 1B를 참조하면, 실시 진동 센서(130)은 웨이퍼(136)와 패드(138) 사이의 접촉면(134)으로부터 멀리 헤드(132) 위에 탑재된다. 웨이퍼-패드 접촉면(134)과 센서(130)사이에 중요한 기계적 구조가 있다. 그러한 구조는 웨이퍼 캐리어 플레이트(140)와 상기 캐리어 플레이트(140)를 회전구동부(144)에 연결시키는 연결자(142)를 포함한다.
웨이퍼 캐리어 플레이트(140)와 연결자(142)는 접촉면(134)어로부터 진동(화살표(148)에서 보여짐)의 전달을 방해한다. 결과적으로, 그러한 구조의 기계적 특성으로부터 발생한 진동(화살표(148)에서 보여짐)은 멀리 위치된 CMP 과정에서 발생한 웨이퍼-패드 접촉면(134)에서 웨이퍼의 특성에 기초한 진동(146)에 비하여 센서(130)에 의해 좀더 강하게 수신되어진다. 그래서 프로세스 진동(146)은 멀리 위치한 센서(130)로 나아감에 따라 둔화되는 경향이 있다. 게다가 그러한 진동(146)은 상기 구조의 기계적 특성으로부터 발생한 진동(148)에 비하여 약하고, CMP 프로세스 진동(146)으로부터의 전환을 잃는 경향이 있고, 프로세스 진동(146)에 비하여 신호대 잡음의 비율이 낮을 것이다. 결과적으로 멀리 떨어진 센서(130)는 웨이퍼-패드 접촉면(134)에서 웨이퍼 특성을 정확하게 나타내지 못하는 신호를 출력하는 경향이 있고, 그래서 CMP 과정에서 상태를 정확하게 나타내지 못한다. 그래서 그러한 부정확한 출력 신호를 사용한 CMP 프로세스의 제어 또한 부정확해지는 경향이 있다.
삭제
예를 들면, 종전 모니터링과 종전 진동 센싱의 이러한 한계는 상태 변화를 감지하는 과정에서 문제점을 유발한다. 이것은 패드/웨이퍼 상관(correlation) 접촉면과 웨이퍼의 CMP 과정동안 웨이퍼 표면에서 발생한 얇은 층 또는 웨이퍼 표면의 표면 특성에서 매우 중요하고 특성적인 변화이다.
요구되는 것은 웨이퍼와 필름 특성의 변화를 감지하는 방법과 장치이다. 상기 요구는 상기 변화를 감지하는 반면 폴리싱 패드를 통하여 웨이퍼를 관찰하는 광학적 시스템의 한계를 피하는 것이다. 그래서 상기 폴리싱에서 폴리싱 표면 및/또는 패드/웨이퍼 접촉면과 연결된 파라미터의 특성을 지속적으로 관찰하는 시스템과 조사 방법, 상기 발생한 변화를 관찰하는 것이 필요하다. 게다가 웨이퍼의 가장 근접한 위치에서, 가장 바람직하게는 종전 멀리 떨어진 진동 센서보다 웨이퍼 캐리어 플레이트 안에, 감지된 웨이퍼 표면 특성에서 CMP 프로세스 상태와 제어 방법과 장치가 필요하다. 관련 요구는 웨이퍼/패드 접촉면 및/또는 웨이퍼 표면에서 발생한 특성의 변화를 반영하는 파라미터 진동을 생산하는 향상된 방법을 제공하는 것이다. 그러한 향상된 방법은 상기 진동이 감지되기 전에 프로세스에 기초한 진동의 감소를 방지하고, 구조의 기계적 특성에 기초한 진동에 비하여 프로세스 진동의 강한 수용결과를 낳고, 변환 이득을 제공하고, 종전 프로세스 진동에 비하여 신호대 잡음 비율을 향상시킨다. 게다가 일반적인 센서에 의해 비교적 작은 웨이퍼 표면 영역이 감지되어지는 것과 비교할 때, 웨이퍼 표면의 다른 영역에서 다른 특성 변화를 감지하는 것과 같이 감지되는 웨이퍼 영역의 증가가 필요하다.
본 발명은 프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면 또는 웨이퍼/패드 계면의 특성의 전기적, 토포그래피적 및 구성적 변화와 같은 변화를 검출하기 위한 장치 및 방법을 제공하는 것에 의해 이러한 요구를 충족시킨다. 이러한 장치 및 방법들은 예를 들면, 폴리싱 패드의 제한된 크기의 창을 통해 웨이퍼를 보여주는 통상의 광학 시스템들의 제한을 받지 않는다. 이러한 방법들 및 장치들은 이러한 변화들을 검출하기 위해 폴리싱 표면 및/또는 패드/웨이퍼 계면과 관련된 파라미터들의 특성들을 관찰하는 시스템들과 방법들에 대한 폴리싱의 요구를 만족시킨다. 이러한 방법들 및 장치는 웨이퍼 부근의 위치, 바람직하게는 멀리 있는 진동 센서들보다는 웨이퍼 캐리어 플레이트 이내에서 감지되는 CMP 프로세스 상태 및 제어 방법들 및 장치에 대한 요구를 만족시킨다.
이와 같은 요구를 충족하기 위한 장치는 웨이퍼 표면 특성들을 검출하기 위한 시스템을 포함할 수 있다. 시스템은 웨이퍼 실장면을 가진 캐리어 헤드와 웨이퍼 실장면으로부터 연장된 적어도 하나의 구멍을 포함할 수 있다. 센서는 웨이퍼 실장면을 지나 구멍으로 전송되는 에너지에 반응하기 위해 구멍 내에 수용된다. 구멍 입구는 기계적으로 (물리적 구멍으로서) 열려 있거나 (감지되는 적절한 신호를 통과시키지만 닫힌 창으로서) 기능적으로 열려 있다. 또한, 캐리어 필름은 웨이퍼 실장면에 실장될 수 있고, 그리고 기계적으로나 기능적으로 감지되는 에너지의 유형에 따라 열려 있을 수 있다.
본 발명은 다른 특성들을 가지는 웨이퍼 표면들은 마찰근거 CMP 재료 제거 공정이 이루어짐에 따라 생성되는 진동을 감지하는 향상된 방법을 제공한다. 그러한 향상된 방법은 그러한 진동들이 감지되기 전에 프로세스근거 진동들을 감쇠시키는 것을 방지하고, 구조의 물리적 특성들에 근거한 진동들과 비교하여 프로세스진동들의 강한 수신을 일으키고, 레절루션(resolution)의 이득을 제공하며, 프로세스 진동들에 대한 신호 대 노이즈 비율을 향상시킨다. 그렇게 향상된 방법은 (예를 들면, 가장 효율적인 주파수 범위를 이용하여) 감지 범위를 최적화한다. 게다가, 본 발명은 통상의 센서에 의해 감지되는 작은 웨이퍼 표면 영역들과 비교하여 감지되는 웨이퍼 영역의 양을 증가시키기 위한 요구를 충족시킨다.
본 발명은 장치, 시스템, 또는 방법을 포함하여 다양한 방식으로 사용될 수 있다. 본 발명의 몇몇 실시형태들이 이하에서 기술될 것이다.
한 실시형태에 의하면, 시스템은 특정 영역의 특성들이 변화되는 전면의 화학적 기계적 프로세싱 동안 웨이퍼의 전면 상에 특정 영역의 특성들의 변화를 검출하기 위하여 제공된다. 폴리싱 헤드, 또는 웨이퍼 캐리어는 웨이퍼 실장면과 웨이퍼 실장면과 같은 평면의 개구부를 가지는 캐비티로 구성되고, 캐비티는 웨이퍼 실장면으로부터 헤드로 연장되고 특정 영역과 함께 정렬된다. 웨이퍼를 딱딱한 웨이퍼 실장면으로부터 웨이퍼를 분리시키는 얇은 캐리어 또는 배면 필름은 웨이퍼 실장면에 실장되고 웨이퍼의 배면과 결합되어 개구부를 거쳐 연장된다. 이 배면 필름은 표면의 화학적 기계적 프로세싱 동안 웨이퍼 전면 상의 특정 영역으로부터 방출된 에너지의 캐비티로의 트랜스미션을 허용하도록 구성된다. 센서는 배면 필름을 통하여 전송된 에너지에 반응하기 위해 캐비티 내에 수용된다. 센서는, 전면의 화학적 기계적 프로세싱 동안 전면의 특정 영역의 특성에 반응하여 센서가 특정 영역의 하나의 특성을 표현하는 제 1 신호를 생성하도록 구성된다. 센서는, 그러한 화학적 기계적 프로세싱 동안 전면의 특정 영역의 또 다른 특성에 반응하여 센서가 특정 영역의 또 다른 특성을 표현하는 제 2 신호를 생성하도록 구성된다.
또 다른 실시형태에서, 시스템은 웨이퍼의 전면의 2 이상의 분리된 영역들의 특성들의 변화를 검출하기 위해 제공된다. 분리된 영역들 각각의 특성이 변화되는 전면의 화학적 기계적 프로세싱 동안 검출이 실시된다. 제 1 분리 영역들은 두께가 화학적 기계적 프로세싱 동안 변화하는 금속 오버버든으로 구성된다. 제 2 분리 영역들은 금속 오버버든 하의 금속 패턴으로 구성된다.
특성들의 한 변화는 금속 오버버든의 두께가 화학적 기계적 프로세싱 동안 패턴화된 금속으로부터 금속 오버버든을 제거할 때 0이 되는 변화이다. 웨이퍼 캐리어는 웨이퍼 실장면과 2 이상의 분리 영역들 각각에 대한 캐비티로 구성된다. 각각의 캐비티들은 웨이퍼 실장면과 같은 평면에 있는 개구부로 구성된다. 각각의 캐비티들은 웨이퍼 실장면으로부터 캐리어로 연장되도록 구성되고 그리고 분리 영역들 각각과 함께 정렬된다. 얇은 배면 필름은 웨이퍼 실장면 상에 실장되고 그리고 캐비티들의 개구부를 거쳐 웨이퍼의 배면과 결합하기 위해 연장된다. 필름은 화학적 기계적 프로세싱 동안 웨이퍼 전면 상의 분리 영역들 각각으로부터 방출된 에너지를 전송하도록 구성되고, 필름은 캐비티들 각각으로 에너지를 전송한다. 이 실시형태에서, 와전류 프로브는 웨이퍼 정면 금속과의 전자기 유도 결합에 반응하기 위해 제 1 영역과 함께 정렬되는 캐비티 내에 수용된다. 와전류 센서는 두께를 표현하는 제 1 신호를 생성하기 위한 전면의 화학적 기계적 프로세싱 동안 정면의 금속 두께에 반응하도록 구성된다. 이 실시형태에서, 진동 센서는, 웨이퍼 정면/폴리싱 패드 계면 내의 화학적 기계적 상호결합의 결과로서 생성되고 웨이퍼 정면 금속 또는 유전체층으로부터 실리콘 웨이퍼와 배면 필름을 통과하여 진동 센서로 전송되는 진동 에너지에 반응하기 위해 제 2 영역과 함께 정렬되는 캐비티 내에 수용된다. 진동 센서는 전면의 화학적 기계적 프로세싱 동안 진동 에너지에 반응하도록 구성되고 그리고 층 두께의 변화, 콤포지션, 또는 토포그래피와 같은 정면의 웨이퍼 특성들의 변화를 표현하는 제 2 신호를 생성한다.
또 다른 실시형태에서, 웨이퍼 필름 특성-센서 반응 상관 데이터를 얻는 방법이 제공된다. 데이터는 하나 이상의 공지된 상관 반도체 웨이퍼들의 표면층의 특성들을 표현한다. 표면 특성들은 표면층에서 수행된 화학적 기계적 폴리싱 처리로부터 기인한다. 방법은 상관 웨이퍼들 중 하나의 표면 상의 영역을 식별하는 공정들을 포함한다. 영역은 두께와 같은 초기의 공지된 표면 특성을 포함한다. 또 다른 방법 공정은 영역 이내의 초기 표면 특성에 대해 제 1 화학적 기계적 폴리싱 공정을 실시한다. 제 1 화학적 기계적 폴리싱 공정은 초기 표면 특성이 제 1 에너지 출력에 대응하게 한다. 또 다른 방법 공정은 제 1 화학적 기계적 폴리싱 공정 동안 방출된 제 1 에너지 출력의 제 1 에너지 특성을 결정한다. 제 1 에너지 특성은 제 1 화학적 기계적 프로세싱 공정 동안 초기 표면 특성에 따르고, 그리고 예를 들면, 방출 초기 표면 특성에 인접한 센서에 의해 출력되는 신호일 수 있다. 그러한 제 1 에너지 특성, 또는 신호는 초기 표면 특성의 CMP 프로세스 동안 초기 표면특성을 표현하고, 웨이퍼 필름 특성-센서 반응 상관 데이터의 한 아이템을 제공한다. 또 다른 방법 공정에서, 실시하고 결정하는 공정들은 마지막 두께와 같은, 식별 영역 이내의 적어도 하나의 공지된 낮은 표면 특성을 가진 노출면을 가지는 또 다른 상관 웨이퍼에 대해서 반복된다. 이 실시하고 결정하는 공정들은 공지된 낮은 표면 특성이 적어도 하나의 제 2 에너지 출력을 방출하고 공지된 낮은 표면의 두께인 적어도 하나의 공지된 낮은 표면 특성에 따른 적어도 하나의 제 2 에너지 특성을 결정한다. 제 2 에너지 특성은 제 2 화학적 기계적 프로세싱 공정동안 공지된 낮은 표면 특성에 따르고, 그리고 예를 들면, 방출 낮은 표면 특성에 인접한 센서에 의해 출력되는 제 2 신호일 수 있다. 그러한 제 2 에너지 특성, 또는 신호는, 낮은 표면 특성의 제 2 CMP 프로세스 동안의 제 2 표면 특성을 표현하고, 그리고 웨이퍼 필름 특성-센서 반응 상관 데이터의 또 다른 아이템을 제공한다.
또 다른 실시형태에서, 방법은 웨이퍼 필름 특성-센서 반응 상관 데이터를 얻기 위해 이용된 상관 웨이퍼들과 같은 특성들을 가지는 제조 웨이퍼에서 수행되는 화학적 기계적 폴리싱 공정들을 제어하기 위해 제공된다. 방법의 공정들은 웨이퍼 패드 계면에서 제조 웨이퍼의 전면을 폴리싱 패드로 노출시키는 웨이퍼 캐리어에 제조 웨이퍼를 실장시키는 공정을 포함한다. 제조 웨이퍼의 전면과 계면은 복수개의 표면 구성들이 위치된 적어도 하나의 영역을 가진다. 표면 구성들은 서로 가로놓이며 화학적 기계적 폴리싱 공정들을 위해 노출된 제조 웨이퍼의 전면으로부터 가장 가까운 윗 표면 구성을 포함한다. 표면 구성들은 전면으로부터 가장 멀리 간격을 두고 제조 웨이퍼의 배면을 향하는 마지막 표면 구성을 포함한다. 각각의 표면 구성은 상술된 예를 들면, 상관 웨이퍼들의 특성들 중 하나를 가질 수 있다. 또 다른 공정에서, 화학적 기계적 폴리싱 공정들은 폴리싱 패드가 에너지를 계면의 표면 구성의 특성에 따라 웨이퍼 패드 계면의 영역으로부터 방출하도록 제조 웨이퍼의 영역에서 수행된다. 한 집단의 데이터는 상술된 방법에 따라 얻어진 웨이퍼 필름 특성-센서 반응 상관 데이터의 형태가 될 수 있다. 그러한 상관 데이터는 예를 들면, 제 1 데이터를 포함할 수 있다. 제 1 데이터는 제조 웨이퍼와 유사한 상관 웨이퍼들의 대응 영역 이내에서 표면 구성들 각각에 대해 수행된 이전의 상관 화학적 기계적 폴리싱 공정 동안 방출된 에너지에 대응한다. 제 1 데이터는 상관 웨이퍼의 마지막 표면 구성의 마지막 특성에 대응하는 데이터 영역을 포함한다. 공정은, 제조 웨이퍼의 표면 구성들 각각에 대해 수행된 화학적 기계적 폴리싱 공정들 동안 제조 웨이퍼의 웨이퍼 패드 계면로부터 방출된 에너지를 검사한다. 방출된 에너지는 접촉면에서 표면 토포그래피의 특성과 관련이 있다. 다음 동작은 현재 수행중인 화학적 물리적 폴리싱 동장동안 제조 웨이퍼의 웨이퍼-패드 접촉면의 영역으로부터 방출된 에너지를 상관 웨이퍼 마지막 표면 토포그래피의 특성에 상당하는 제 1 데이터의 데이터 영역과 비교하는 것이다. 상관 웨이퍼의 예에서, 그 데이터 영역은 마지막 표면 토포그래피로 알려진 아래 표면의 마지막 두께를 나타낸다. 지난 동작은 현재 수행되어지는 화학적 물리적 폴리싱 동작을 방해한다. 현재 수행되어지는 화학적 물리적 폴리싱 동작동안 그 영역으로부터 방출된 에너지는 실제적으로 상관 웨이퍼 마지막 표면 토포그래피의 특성에 상당하는 제 1 데이터 영역과 같다.
본 발명의 다른 점과 장점은 본 발명의 실시예에 의해 도시된 다음에 오는 상세한 셜명으로부터 알 수 있다.
다른 실시예에서, 이러한 방법은 웨이퍼 막 특성-센서 응답 상관 데이터를 얻는데 쓰이는 상관 웨이퍼와 동일한 특성을 가지게 되는 웨이퍼 제품에서 수행될 화학적 기계적 폴리싱(polishing) 조작을 제어하는데 제공된다. 상기 방법에는 웨이퍼 패드 계면에서 웨이퍼 제품의 전면을 폴리싱 패드에 노출시키는 웨이퍼 반송기에 웨이퍼 제품을 실장하는 단계가 포함된다. 웨이퍼 제품의 전면 및 계면은 복수의 표면 구성이 위치하는 하부에 적어도 하나의 영역을 갖는다. 상기 표면 구성은 서로 포개져 있는 형태로서 화학적 기계적 폴리싱 조작에 노출된 웨이퍼 제품의 전면에서 가장 가까운 상부 표면 구성을 포함한다. 또한, 표면 구성은 전면으로부터 가장 멀리 떨어져서 웨이퍼 제품의 배면을 향한 최후 표면 구성을 포함한다. 예를 들어, 그러한 각 구성은 상기한 대응하는 상관 웨이퍼 특성들 중 하나를 갖는다. 다른 조작에서, 화학적 기계적 폴리싱 조작은 웨이퍼 제품 영역에서 수행되므로, 폴리싱 패드는 계면에서의 표면 구성에 따라 웨이퍼 패드는 계면의 영역으로부터 에너지가 방출되도록 한다. 한 집단의 데이터가 제공되고, 그러한 데이터는 상기 방법에 따라 획득된 웨이퍼 막 특성-센서 응답 상관 데이터의 형태를 취하게 된다. 상기 상관 데이터는, 예를 들어, 최초 데이터를 포함할 수 있다. 최초 데이터는 웨이퍼 제품과 유사한 상관 웨이퍼의 해당 영역 내에서 표면 구성들 중 하나에서 수행되는 이전의 상관 화학적 기계적 폴리싱 조작중에 방출되는 에너지에 대응될 수 있다. 최초 데이터는 상관 웨이퍼의 최후 표면 구성의 최후 특성에 대응될 수 있는 데이터 일부를 포함한다. 이러한 조작은 표면 구성들 중 하나에서 수행되는 이전의 상관 화학적 기계적 폴리싱 조작중에 웨이퍼 제품의 웨이퍼 패드 계면로부터 방출되는 에너지를 감시한다. 방출되는 에너지는 계면에서의 표면 구성의 특성에 관계된다. 다음 조작에서는, 현재 수행되는 화학적 기계적 폴리싱 조작중에 웨이퍼 제품의 웨이퍼 패드 계면 영역으로부터 방출되는 에너지가 상관 웨이퍼의 최후 표면 구성의 특성에 부합되는 최초 데이터의 데이터 일부와 비교된다. 상관 웨이퍼의 일부예에서, 데이터 일부는 주어진 저면의 최후 두께를 나타내는데, 이것이 최후 표면 구성이 된다. 최후의 조작은 현재 수행되는 화학적 기계적 폴리싱 조작을 중단시킨다.
본 발명의 다른 양상 및 이점은 하기하게 될 상세한 설명, 첨부될 도면 및 본 발명의 실시예로부터 자명하게 될 것이다.
본 발명은 첨부될 도면과 함께 하기하게 될 상세한 설명으로부터 명확하게 될 것이고, 하기의 유사한 도면 부호는 유사한 구성 요소를 나타낸다.
도 1A는 종래 기술에 따라 웨이퍼 아래의 플레이트 및 폴리싱 패드에 관통 구멍을 형성함으로써 웨이퍼 층의 두께를 측정하는 장치가 동작되는 개략도이다.
도 1B는 종래 기술에 따라 CMP 장치에 웨이퍼 캐리어 헤드를 실장하는 커플러에서의 진동을 측정하는 장치의 개략도이다.
도 2A는 본 발명에 따라 감지될 표면 특성을 가지는 노출면 영역을 나타내는 웨이퍼의 평면도이다.
도 2B 내지 2E는 4개의 실시 연속적 단계에 있는 노출된 웨이퍼의 표면의 다양한 특성을 나타내는 단면도로서,
도 2B는 노출된 웨이퍼 표면 영역의 고르지 않은 영역의 토포그래피적인 특성을 나타내고,
도 2C는 노출된 웨이퍼 표면 영역의 평평한 영역의 특성 및 두께의 다른 토포그래피적인 특성을 나타내고,
도 2D는 노출면에서 다른 물질들로 이루어진 노출된 웨이퍼 표면 영역의 고르지 않은 영역의 구조적인 특성을 나타내며,
도 2E는 유전층으로부터 확산 경계층의 클리어런스(clearance)에 대한 구조적 특성의 변화 상태를 나타낸다.
도 3A는 본 발명에 따른 노출된 웨이퍼 표면의 특성 변화를 감지하는 웨이퍼 실장면의 근방에서 각 센서를 수신하고 실장하기 위한 캐비티(cavity)를 가지는 캐리어 플레이트의 평면도이다.
도 3B는 본 발명의 실시예에 따라 웨이퍼의 배면이 실장되는 연속적인 캐리어(또는 배면) 막에 직접 개방된 캐비티 중의 하나에 설치된 능동 센서를 나타내는 것으로, 도 3A의 3B-3B 라인을 따른 단면도이다.
도 3C는 도 3B에 도시된 센서의 확대도로서, 금속화(metallization)된 영역의 전자기 유도 결합에 응답하기 위한 웨이퍼 전면의 금속화 영역 근방에 위치하는 코일을 나타낸다.
도 3D 및 3E는 도 3B 영역을 더욱 확대한 모습으로서, 웨이퍼의 배면과 노출면 사이의 웨이퍼 물질의 다양한 두께를 나타낸다.
도 4A는 도 3C와 유사한 단면도로서, 캐비티 내 진동 응답 수동 센서 및 본 발명의 다른 실시예에 따라 웨이퍼의 배면이 실장된 연속적인 배면막에 직접 개방된 캐비티를 나타낸다.
도 4B는 도 2D 및 2E에 나타낸 노출면에서 수행될 CMP 프로세스중에 도 4A의 센서에 의해 감지된 웨이퍼 막 특성-센서 응답 상관를 나타내는 그래프로서, 특정 주파수 영역에서 진폭 최고치 및 전면 층 CMP 프로세스의 결과로서 웨이퍼 전면에서의 구조적인 특성의 변화 상태를 나타낸다.
도 5A는 도 3B과 유사한 단면도로서, 캐비티 내 온도 응답 수동 센서 및 본 발명의 다른 실시예에 따라 웨이퍼의 배면이 실장된 연속적인 배면막에 직접 개방된 캐비티를 나타낸다.
도 5B는 CMP 프로세스에 의해 처리될 다양하게 노출된 웨이퍼 표면으로부터 방출되는 적외선 에너지를 나타내는 그래프이다.
도 5C는 도 2B, 2C, 2D 및 2E에 도시된 노출면에서 수행될 CMP 프로세스 중에 시간에 대한 웨이퍼의 배면과 열접촉된 유체의 온도를 나타내는 적외선 온도 센서의 출력을 보여주는 상관 그래프이다.
도 6은 도 3B 및 3C에 도시된 와전류(eddy current)의 사용으로부터 도출되는 상관 그래프로서, 센서에 의한 출력 전압에 대해 도시된 웨이퍼 층의 두께를 나타낸다.
도 7은 상관 그래프를 구하기 위해 도 3B, 4A 및 5A에 도시된 센서의 상관를 나타내는데 사용될 조작을 보여주는 순서도이다.
도 8은 CMP 프로세스 중 전면층의 특성을 결정하는데 도 7에 도시된 상관 그래프가 사용될 수 있는 조작을 나타내는 순서도이다.
본 발명은, CMP 프로세스 상태 및 제어용 화학적 기계적 폴리싱에 있어서, 웨이퍼의 표면 및 웨이퍼/패드 상호 작용 계면에서의 표면 특성 및 변화 상태를 감지하는 방법 및 장치에 관한 것이다. 이하, 어떤 변화 상태도 감지할 수 있는 것으로서 폴리싱 표면의 특성 및/또는 패드/웨이퍼 계면에 연결된 파라미터의 특성을 계속해서 관측할 수 있는 시스템 및 방법을 상세하게 설명하기로 한다. CMP 프로세스 상태 및 제어 방법 및 장치는, 웨이퍼의 최근접한 영역에서 감지된 웨이퍼 표면의 특성로부터, 바람직하게는 종래의 원격 진동 센서와 같이 원격에서보다는 웨이퍼 캐리어 플레이트내에서 동작할 수 있는 것으로 개시된다. 그러나, 본 발명이 속하는 기술 분야의 당업자라면 상세한 내용이 없더라도 본 발명을 실시하는데 문제되지는 않을 것이다. 또한, 본 발명의 요지를 흐리게 하지 않기 위해서 주지의 프로세스 동작은 그 설명을 생략하였다.
삭제
도 2A 내지 2E를 참조하면, 웨이퍼의 고르지 않은(non-uniform) 표면에 대해 이해할 수 있을 것이다. 도 2A에서, 반도체 웨이퍼(200)가, 예를 들어, 직경이 200㎜또는 300㎜인 원판 모양으로 도시되었다. 본 발명을 설명하기 위한 목적으로 웨이퍼(200)상에 영역(202)이 인식될 수 있다. 상기 영역(202)은 수직한 일련의 바람직한 층(204)(도 2B 내지 2E)의 웨이퍼(200)를 가로지르는 양을 정의해준다. 도 2B 내지 2E의 단면은 상기 영역(202)내 아래에 위치한다. 도 2B는, 예를 들어, 웨이퍼가 CMP 프로세스에 돌입하기 전의 다양한 층(204)을 보여준다. 상기 영역(202)내 아래에, 상기 층(204)은 CMP 프로세스용 CMP 폴리싱 패드(209)에 노출되어 접촉된 웨이퍼(200)의 배면(206)과 전면(208)(또는 노출된 면) 사이에 위치한다. 설명을 명확하게 하기 위하여, 패드(209) 및 노출면(208)이 간격을 둔 상태로 도시되었다.
상기 영역(202)내 하부에, 배면, 또는 지지층(support; 204-B)이 전면(208)으로부터 떨어진 상태에 있는 낮은 금속층(204-LM)을 지지한다. 상기 영역(202)내 낮은 금속층(204-LM) 및 노출면(208) 사이에서, 확산 경계층(204-D)이 제공된다. 유전층(204-DI)은 확산 경계층(204-D) 위로 놓일 수 있다. 유전층(204-DI)의 일부는, 예를 들어, 식각(etching)에 의해 제거되어 홈(204-T)이 형성된다. 두 영역의 적층된 층(204-O)(도 2B 및 2C)은 유전층(204-DI)의 상부 및 홈(204-T)내에 형성될 수 있다. 상기 적층된 층(204-O)은 (예를 들어, Ta, TaN, TiN 또는 WN으로 만들어진) 얇은 확산 경계층(204-DB) 및 (예를 들어, Cu로 만들어진) 상부 금속층(204-UM)을 포함할 수 있다. 금속층(204-LM 및 204-UM)은, 예를 들어, Cu, W 또는 Al로 될 수 있다. 유전층(204-DI)은 실리카(PETEOS), 플르오르화 실리카 또는, 예를 들어, 코랄(CORAL) 또는 블랙 다이아몬드로 판매되는 소금과 같이 낮은 유전율의 유전체일 수 있다.
웨이퍼(200)는 도 2B에서 상기한 층(204)과 같이 CMP 프로세스 이전의 상태로 도시되었다. 상기 영역(202)내에서, 노출면(208)은 적층된 층(204)의 일부인 상부 금속층(204-UM)에 의해 형성된다. 상부 금속층(204-UM)은 노출면(208)의 표면(210) 특성중의 하나를 갖는 것으로 설명되었다. 상기한 바와 같이, 이러한 특성에는 토포그래피적(예컨대, 평평한 정도)인 특성, 두께, 물질의 구성, 반사율, 저항 및 박막의 특성등을 포함할 수 있다. 도 2B에 나타낸 형태는, 영역(202) 이내의 노출면(208)의 비균일하고, 기복을 이루거나 평평하지 않은 구성과 같은 토포그래피적 특성으로 묘사된다. 이러한 토포그래피적 표면 특성(도 2B의 201-NU 참조)은 본 발명에 따라 감지되고 제어될 표면 특성(210)중의 하나이다. 도 2A를 참조하면, 많은 다른 영역(202-O)이 웨이퍼(200)의 노출면(208)에서 인식될 수 있고, 각각의 다른 영역(202-O)은 상기한 층(204)의 다른 수직층의 양을 정의할 수 있다. 그러한 수직층(204)은 영역(202)에 의해 정의되는 층과는 다르다.
CMP 프로세스의 실시 목적은 노출면(208)을 부드럽게 또는 평평하게 하는 것이다. CMP 프로세스 중에 영역(202) 내에 위치하는 웨이퍼-패드 상호 작용 계면에서 패드(209)와 노출면(208) 사이에서 마찰이 발생한다(그 접촉은 도 2B 및 2C에서 상부에 빗금으로 나타내었음). 본 발명에 따르면, 웨이퍼-패드 계면(212)에서의 노출면(208)과 폴리싱 패드(209) 사이의 마찰은 표면 특성(210)의 특성에 따라 다양하다. 예를 들어, 웨이퍼(200)의 노출면(208)에서 또는 웨이퍼-패드 상호 작용 계면(212)에서의 마찰 접촉은 변화 형태에 따라 다양(예를 들어, 전기적, 토포그래피적 또는 구성적)할 수 있다. 마찰 접촉은 웨이퍼(200)의 노출면(208)에서 생성되는 에너지 E(여러 도면의 화살표 E 참조)를 발생시킨다. 에너지 E는 노출면(208)에서 또는 웨이퍼-패드 상호 작용 계면(212)에서 전달, 방사 또는 전파된다. 전달, 방사 또는 전파와 같은 용어는 노출면(208)과 관계하여 집합적으로 노출면(208)이 정보 또는 데이터 또는 에너지 E의 근원(source)임을 가리킨다. 노출면(208) 또는 웨이퍼-패드 계면(212)로부터의 에너지 E의 양(예컨대, 에너지의 강도) 및 형태는 영역(202)내의 마찰 접촉에 따라 다양하다.
삭제
삭제
CMP 프로세스에 의해, 영역(202)내 노출면(208)의 표면 특성은, 도 2C에 도시된 바와 같이, 고르지 않은 토포그래피의 특성(210-NU)로부터 평평한 표면 특성(210-U)에 이르기까지 다양하다. 마찰 접촉의 특성은 표면 특성(210)이 변함에 따라 변화하므로, 노출면(208) 및 웨이퍼-패드 계면(212)으로부터의 에너지 E의 양 및 형태는 프로세스 중인 표면 특성(210)의 형태에 따라 다양하게 변한다. 고르지 않은 토포그래피로부터 평평한 토포그래피에 이르기까지의 변화는 본 발명에 의해 감지되고 제어될 수 있는 영역(202)내의 표면 특성(210)의 변화 중의 하나에 해당한다.
도 2C 및 2D는 노출면(208)의 표면 특성(210)의 토포그래피적 변화의 다른 형태를 보여준다. 그러한 변화는 배면(206)으로부터의 노출면(208)의 위치에 해당한다. 그러한 위치는 웨이퍼(200)의 두께(T)의 변화에 따라 변해서, 표면 특성(210-T)에 대응된다(도 3D 및 3E의 210-T1 및 210-T2 참조). 두께(T)의 값은 도 2D에서보다 도 2C에서 더 크다. 이러한 두께(T)는 본 발명에 의해 측정될 양적인 특성이다. 또한, 영역(202)내의 두께(T)의 변화는 본 발명에 의해 감지되고 제어될 수 있는 표면 특성(210-T)의 변화 중의 하나에 해당한다.
도 2C 및 2D는 CMP 프로세스 발생을 보여주고, 두께(T)의 변화에 따라 적층된 층(204-O)의 상부 금속층(204-UM)이 제거되고, 확산 경계층(204-DB) 및 홈(204-T) 안의 Cu가 노출면(208)으로 된다(도 2D). 표면 특성(210-CUM)은 상부 금속층의 제거를 확인하는데 사용될 수 있다.
노출면(208)의 구성을 변경시키는 상부 금속층(204-LTM)의 제거는 본 발명에 의해 감지되는 변화 상태의 한 예이다.
구성의 변화에 있어서, 변화 상태를 감지하는 것은 중요하다. 예를 들어, CMP 프로세스에서 확산 경계층(204-DB)을 처리하는데 사용되는 것보다는 상부 금속층(204-UM)을 처리하는데 다양한 파라미터가 사용되어야만 한다. 이와 같이, CMP 프로세스에서, 상부 금속층(204-UM)으로부터 확산 경계층(204-DB)에 이르기까지의 변화 및 홈(204-T)의 Cu를 감지해내는 것은 중요하다. 이러한 감지는 확산 경계층(204-DB) 및 홈(204-T)의 Cu를 적절히 처리하기 위해 적절하고 즉각적인 변화가 CMP 프로세스에 행해지는 것을 가능하게 한다. 이와 유사하게, 다른 변화 상태의 감지는 적절하고 즉각적인 변화가 CMP 프로세스에 행해지는 것을 가능하게 한다.
확산 경계층(204-DB) 및 홈(204-T)의 Cu에 있어서 구조적인 변화 때문에, 노출면(208)은 고르지 않게 되고 표면 특성(210-NU)을 참고하여 인식될 수 있다. 표면 특성(210-NU)의 고르지 않음은 물질 그 자체의 다른 구성으로부터 기인한다(도 2C의 표면 특성(210-C)으로 지칭). 또한, 표면 특성(210-NU)의 고르지 않음은 유전층(204-DI), 확산 경계층(204-DB) 및 금속층(204-UM)의 패턴으로부터 기인한다. 이와 같이, 영역(202)내 웨이퍼 패드 계면(212)으로부터 방출되는 에너지 E는 서로 다른 물질로부터 기인하는 마찰 접촉의 변화에 따라 다양하게 변화한다.
또한, 도 2C 내지 2E는, CMP 프로세스가 발생하고 두께(T)가 변화함에 따라, 적층된 층(204-O)의 상부 금속층(204-LTM)이 제거되면서 전기적 변화가 일어나는 것을 보여준다. 상부 금속층(204-UM)은 Cu로부터 형성되고 상대적으로 두껍기 때문에 상부 금속층(204-UM)에는 전자기 유도 결합이 발생될 수 있다. 그러나, 상부 금속층(204-UM) 및 확산 경계층(204-DB)이 제거되면, 잔존하는 유전층(204-DI)은 비전도성이고 홈(204-T)의 Cu는 작은 부피를 가지고 있기 때문에, 제거의 결과로 노출면(208)에서 금속층과 전자기 유도 결합이 발생될 수 있다. 이와 같이, 홈(204-T)에 Cu만을 남기고 하부 금속층(204-LM)에 전자기 유도 결합을 발생시키기 위해, 전체 상부 금속층(204-UM)이 제거되는 때에 결합 능력은 현저하게 감소한다.
또한, 도 3A 내지 3C를 참조하면, 본 발명의 실시예는 웨이퍼(200)의 노출면(208)의 특성을 감지하고, 웨이퍼(200)의 노출면(208)의 변화를 감지하는 시스템(220)을 제공하거나, 또는 CMP 프로세스 상태 및 제어용 화학적 기계적 폴리싱에 있어서 웨이퍼/패드 상호 작용 계면(212)의 시스템을 제공한다. 예를 들어, 그러한 시스템(220)은 도 2A 내지 2E에 도시된 웨이퍼(200)의 노출면(208)과 같은 프로세스된 표면(208)의 특성(210)을 감지한다.
도 3A의 평면도는 웨이퍼 실장면(224)(도 3B 및 3C)을 갖는 웨이퍼 면(222)과 같은 웨이퍼 캐리어 또는 헤드를 포함하는 시스템(220)을 보여준다.
상기 웨이퍼 면(222)은 웨이퍼(200)를 보호하기 위해 저압 가스(진공)를 공급하는 구조(도시되지 않음)를 갖는다. 보다 상세한 내용은 미국 특허 출원 번호 10/029515(2001년 12월 21일 출원)인 "다공성 진공척과 구멍이 난 캐리어 박막을 구비한 화학적 기계적 폴리싱 장치 및 방법들(Chemical Mechanical Polishing Apparatus and Methods With Porous Vacuum Chuck and Perforated Carrier Film)" (발명자: 제이 엠 보이드, 엠 에이 살다나 및 디 비 윌리엄스; J. M. Boyd, M. A Saldana, D. V. Williams) 및 미국 특허 출원 번호 10/032081(2001년 12월 21일 출원)인 "화학적 기계적 평탄화 동안 웨이퍼의 국부 평탄화를 제공하기 위한 웨이퍼 캐리어 및 방법(Wafer Carrier And Method For Providing Localized Planarization Of A Wafer During Chemical Mechanical Planarization)" (발명자: 와이 고키스, 디 와이, 에이 오자즈 및 디 비 윌리엄스; Y. Gotkis, D. Wei, A. Owzarz, and D. V. Williams)에 개시되어 있다. 또한, 상기 웨이퍼 면(222)은 웨이퍼 실장 면(224)으로부터 웨이퍼 면(222)안으로 뻗어 있는 적어도 하나의 캐비티(226)를 갖는다.
도 3A는 플레이트(222)의 중심(C)으로부터 떨어져서 위치하는 캐비티(226)의 위치의 일례를 도시하는 도면이다. 도 3B에서 도시하는 바와 같이, 캐비티(226)는 센서(232)를 수용하기에 적합한 크기(예를 들어 직경(228), 또는 대응하는 단면적의 길이 또는 폭, 및 깊이(229))를 가지도록 형성된다. 통상, 각 캐비티(226)의 크기는 예를 들어 직경이 대략 30mm를 초과하지 않는다. 중심(C)을 기준으로 한 각 캐비티(226)의 위치 및 캐비티(226)의 크기의 일례는 캐비티(226)를 시스템(220)에서 사용되는 웨이퍼(200)의 영역(202)들 중의 개별적인 대표 영역 하나에 대하여 정렬하기 위해 선택된다.
센서(232)는 캐비티(226)의 개구부(234)를 통해 삽입된다. 개구부(234)는 웨이퍼 실장면(224)과 동일 공간에 위치한다. 개구부(234)는 기계적으로(물리적인 구멍으로서) 개방되거나 기능적으로(감지되는 적절한 신호를 투과시키는 창문으로서) 개방될 수 있다. 또한, 박막의 캐리어 또는 배면(backside) 필름(236)이 웨이퍼 실장면(224)에 실장될 수 있고, 또한 감지되는 에너지의 유형에 따라 기계적 또는 기능적으로 개방된다. 배면 필름(236)은 또한 2001년 12월 21일에 출원된 상기한 특허 출원에서 기술되는 바와 같은 일반적인 특성을 가진다. 배면 필름(236)은 웨이퍼(200)의 배면(206)으로 작용하기 위해서 웨이퍼 실장면(224)을 가로질러 연장된다.
캐리어 필름(236)의 기계적 또는 기능적 개구부의 구성은 웨이퍼-패드 계면(212)로부터 센서(232)로 모든 필요한 유형의 에너지(E)를 전송한다. 전송된 에너지(E)의 유형은 예를 들면, 열, 전자기 유도성 결합, 및 진동 에너지가 있다. 도 3B 및 3c에서 도시되는 본 발명의 일 실시예에서 배면 필름(236)은 물리적으로 연속적(개구부 없이)이며, 캐비티(226)를 닫으며, 캐비티(226)에 수용되는 센서(232)를 커버한다.
센서(232)는 웨이퍼-패드 계면(212)의 일부 및 상술한 바와 같은 대표 영역(202)과 연결되는 웨이퍼(200)의 대응되는 노출면(208)으로부터 방출되는 에너지(E)의 양 및 종류에 반응하도록 구성된다. 도 3B 및 3c에서 도시하는 본 발명의 실시예에서 이와 같은 에너지(E, 예를 들어 대표 영역(202)과 연결되는 웨이퍼-패드 계면(212)의 일부로부터 방출되는 에너지)는 웨이퍼(200) 및 캐리어 필름(236)을 통해 대응되는 웨이퍼-패드 계면(212)으로부터 캐비티(226), 센서(232)로 전송된다. 웨이퍼(200)의 두께는 통상 대략 0.75 mm이고, 캐리어 필름(236)의 두께는 대략 0.5mm이고, 센서(232)의 감지 단부(240)는 웨이퍼 실장면(224)과 동일 공간에 있거나 예를 들어 웨이퍼 실장면(224)과 동일 공간에 있는 박막의 밀봉 스패이서(230)에 의해 웨이퍼 배면(206)으로부터 분리되어 오목하게 들어가게 된다는 점에서, 에너지(E)의 전송 경로는 단거리이다. 더욱이, 플레이트(222), 센서(232), 필름(236) 및 웨이퍼(200)는 하나의 유닛으로서 같이 이동하며, 그에 따라 캐비티(226) 내부의 센서(232)는 항상 웨이퍼(200)의 영역(202)과 함께 이동하게 된다. 이로써 센서(232)는 영역(202)에 대응하는 웨이퍼-패드 계면(212)(및 노출면(208))의 일부로부터 방출되는 에너지(E)에 반응하기 위해 항상 웨이퍼-패드 계면(212)과 매우 근접한 위치에 있게 된다.
삭제
센서(232)는 캐비티(226)로 전송되는 이러한 에너지(E)에 반응하고 이하에서 기술되는 적절한 수신기로 무선으로 전송될 수 있는 출력 신호(238, 도 3B 참조)를 생성시킨다. 일반적으로, 출력 신호(238)는 캐비티(226)와 캐비티(226) 내부의 센서(232)가 정렬되도록 하는 하나의 대표 영역(202)의 웨이퍼 표면 특성들(210)과 관계되어 인식된다. 예를 들어, 웨이퍼(200)에 대해서만 살펴보면, 도 3D는 웨이퍼(200)의 제 1 두께(T1)에 기초한 제 1 웨이퍼 표면 특성(210-T1)을 도시한다. 도 3E는 웨이퍼(200)의 제 2 두께(T2)에 기초한 제 2 웨이퍼 표면 특성(210-T2)을 도시한다. 센서(232)는 제 1 특성(210-T1)을 지시하는 도 3D에서 도시하는 238-T1과 같은 제 1 출력 신호(238)를 생성하는 제 1 값을 가지는 에너지(E)에 반응하기 위해 구성되고, 제 2 특성(210-T2)을 지시하는 238-T2와 같은 제 2 출력 신호(238)를 생성하는 제 2 값을 가지는 에너지(E)에 반응하기 위해 구성된다.
도 3C를 참조하면, 본 시스템(220)의 일 실시예는 능동 센서인 센서(232)를 포함하는 것을 도시하는데, 능동센서는 센서 코일(242)로 구성되는 와전류(eddy-current) 센서 형태를 가진다. 코일(242)은 센서 단부(240)에 위치하여 웨이퍼 실장면(224)에 위치하거나 이에 매우 근접한 위치, 예를 들어 2mm 정도 떨어진 위치에 있게 된다. 따라서 코일(242)은 캐리어 필름(236)의 매우 작은 두께만으로 웨이퍼(200)의 배면(206)으로부터 떨어져 있게된다. 코일(242)은 상부 금속층(metallization layer; 204-UM) 및 트렌치(trench; 204-T,(도 3D 참조))에 있는 구리(Cu)와 전자기 유도성 결합을 하기 위한 위치에 있게 된다. 전자기 유도성 결합의 값 및 코일(242)에서의 와전류를 포함하는 결과는 이와 같은 상부 금속층(204-UM) 및 트렌치(204-T)에 있는 구리의 두께에 의존한다. 센서(232)는 출력 신호(238, 도 3B 참조)를 예를 들어 두께(T1, T2; 도 3D 및 3E 참조)와 같은 여러 가지 두께(T)를 지시하는 값(이하에서 기술되는 상관을 통해)을 가지는 전압 신호로 출력한다. 센서(232)는 또한 CMP 프로세스 동안 또 다른 변환을 지시한다. 예를 들어, CMP 프로세스 동안 유전체 층(204-DI)으로부터 오버버든 층(overburden layer; 204-O) 일부 또는 전부를 완전히 제거하는 것에 의한 노출면(208)의 구성에서의 변화와 같은 알고 있는 구성상의 특성과 두께(T)를 연관시킴으로써 구성의 변환 또는 제거가 지시된다. 따라서, 센서(232)가 특정 전압 값을 가지는 출력 신호(238)를 출력하면 이러한 상관를 통해 제거 변환이 지시된다. 전기적 변환 감지 목적을 위해서, 센서(232)는 스위스 회사인 발루프(Balluf) 또는 미국 회사 카르맨(Karman), 또는 독일 회사인 마이크로-입실론(Micro-Epsilon)에 의해 제조되는 제품일 수 있다.
이러한 센서(232)의 출력 신호(238)의 값은 영역적으로 캐리어 플레이트(22)의 구조 및 캐리어 필름(236)과 같이 근접한 다른 것의 구조 및 폴리싱 테이블(미도시)의 구성 및 패드(209)의 구성에 의존한다. 그러나, 상술한 바와 같이, 센서(232)가 플레이트(222)에 실장되고 웨이퍼(200)의 배면(206)에 매우 근접한 위치에 있으면서, 상부 금속층(204-UM)과 확산 경계층(diffusion barrier; 204-DB)은 통상 코일(242)과의 전자기 유도성 결합으로 CMP 프로세스 동안 사용되기에 적합한 5% 오차 내의 두께(T)를 감지하는 것이 가능하도록 하는데 충분한 개별적인 두께(도 3D 참조)를 가진다. 이와 같은 두께는 예를 들어 대략 2000 rim.에서부터 대략 0 nm의 구리층(204-UM) 및 대략 100 rim.에서부터 대략 0 nm의 TaN 확산 경계층(204-DB)가 된다.
또한 상기한 바와 같은 제거된 노출면(208, 도 2E 참조)의 표면 특성(210-C)를 감지하는 것과 관련해서는 도 2E에서 도시되는 노출면(208)을 구성하는 패턴 특성을 갖는 50%에 이르는 구리가 있다. 그러나, 이러한 정도의 구리에서도 와전류 센서(232)는 트랜치(204-T)의 구리 및 유전체 층(204-DI)으로부터의 오버버든층(204-O)를 제거하는 이벤트를 감지한다는 것이 알려져 있다. 와전류 센서(232)는 능동 전자기 유도성 결합을 사용하기 때문에, 본 발명의 일 실시예의 센서(232)는 능동 센서로 불려진다.
도 4A를 참조하면, 결합 유체(250)로 구성되는 진동 센서 형태의 센서(232)를 포함하는 본 시스템(220)의 또 다른 실시예가 도시된다. 결합 유체(250)는 센서(232)의 몸체(252)와 개구부(234) 사이의 캐비티(226)에 수용되는 중성수(deionized water; DIM)이다. 따라서 유체(250)는 센서 단부(240)에 위치하고 웨이퍼 실장면(224)에 있거나 매우 근접한 위치에 있게된다. 유체(250)는 진동을 센서(232)의 센서 단부(240)에 결합시키고, 캐리어 필름(236)의 작은 두께만큼 웨이퍼(200) 배면(206)으로부터 떨어져 있다. 유체(250) 및 센서(232)는 CMP 프로세스 동안 웨이퍼(200)의 노출면(208)과 패드(209) 사이의 접점에 의해 생성되는 웨이퍼(200)의 진동과 연결되는 위치에 있게 된다. 이와 같이 생성되는 진동은 진폭 측면과 주파수 측면을 포함한다. 이러한 형태는 특정 진동이 생성되는 순간에 패드(209)에 의해 접촉되는 표면 특성(210)과 관련된다. 예를 들면, 도 4B에 도시된 그래프(258)는 이러한 진동들의 진폭 대 주파수를 도시한다. 그래프(258)에서는, 진폭이 노출면(208)의 속력이다. 그러나, 표면(208)의 가속뿐만 아니라 표면(208)의 변위 진폭도 역시 도시될 수 있다.
그래프(258)의 속도 진폭을 고려해보면, 커브(260, 실선)는 약 3000 Hz에서 20000 Hz 사이의 진동 주파수 범위에서의 저속 진폭 진동을 도시한다. 이와 같은 저속 진폭 진동은 표면 특성(210-U, 도 2C 참조)을 갖는 상부 금속층(204-UM)의 CMP 프로세스 동안 진동 센서(232)에 의해 감지되는 범위이다. 확산 경계층(204-DB)이 상부 금속층(204-LTM)의 하부에 있다하더라도 상부 금속층(204-UM)의 CMP 프로세스 동안에 생성된 진동은 상부 금속층(204-UM)에 기초하지 아래에 있는 확산 경계층(204-DB)에 기초하지 않는다. 또한 노출면(208)으로서의 확산 경계층(204-DB)로의 제거 변환에 관해서는 도 4B에서 약 3000 Hz에서 약 12000 Hz 사이의 진동 주파수 범위에 있는 상대적으로 낮은 진폭 진동을 도시하고, 약 13000 Hz 에서 약 17000 Hz의 진동 범위에 있는 피크(264)에서의 유일한 고진폭을 도시한다. 피크(264)의 값은 13000 Hz 에서 17000 Hz의 진동 범위에서의 커브(260)의 값보다 훨씬 크다. 그래프(262)에서 도시하는 이와 같은 피크 진동 주파수는 상부 금속층(204-UM)의 제거 이후 즉시, 다시 말해 확산 경계층(204-DB)의 구성에 기초한 표면 특성(210)을 갖는 확산 경계층(204-DB)과 패드(209) 사이의 접촉 순간에 CMP 프로세스 동안 진동 센서(232)에 의해 감지된다. 노출면(208)의 특성(210)에서의 중요하고 특성적인 변화는 균일한 특성(210-U)으로 도 2C에서 도시되는 조성 특성(210-C)로부터의 변화이다. 변화는 상부 금속층(204-UM)의 제거 이후의 도 2D에서 도시되는 구성상의 비균일 특성(210-N-LJ)으로의 변화이다. 이와 같은 제거는 특성(210-CLTM)에 의해 도 2E에서 도시된다. 따라서 구성적 변환 및 제거 변환 모두 본 실시예에서는 패드(209)와 확산 경계층(204-DB) 사이의 접촉 순간에 발생한다.
삭제
삭제
도 4A로 돌아가서, 진동 센서(232)는 상술한 바와 같이, 웨이퍼-패드 계면(212, 예를 들어 표면(208))에서 생성되는 진동 주파수와 진폭에 기초한 값을 갖는 전압 신호로 출력 신호(238)를 출력한다. 따라서 센서(232)에 의해 감지된 진동은 상부 금속층(204-UM)으로부터 확산 경계층(204-DB) 및 트렌치(204-T)의 구리로의 구성적 변환을 지시 또는 감지하여, 확산 경계층(204-DB) 및 트렌치(204-T)의 구리로 적절하게 진행하기 위한 적절하고 즉각적인 변화가 CMP 프로세스에 행해질 수 있다. 예를 들면, 아래에 기술되는 상관은 센서(232)에서 감지되는 진폭 및 주파수를 CMP 프로세스 동안의 알고 있는 상태에 연관시킨다. 이리하여, 구성적 변환이 지시되는 이러한 상관를 이용하여 센서(232)는 피크(264)의 주파수에 대응하는 피크 전압값을 갖는 출력신호(238)를 출력한다.
진동 감지 목적을 위해, 센서(232)는 음파 신호가 웨이퍼-패드 계면(212)에 대한 능동 센서(232)에 의한 출력이 되는 점에서 능동 센서(232)가 된다. 출력 음파 신호는 노출면(208)과 폴리싱 패드(209) 사이에서의 마찰 접촉 특성에 기초하여 웨이퍼-패드 계면(212)에서의 음파 생성에 따라 변화한다. 상술한 바와 같이, 이러한 마찰 접촉은 표면 특성(210)에 따라 변화한다. 변화된 센서(232)로부터의 출력 음파 신호는 센서(232)로 되돌아가고, 출력 신호(238)가 생성된다. 이러한 센서(232)의 신호(238)는 영역적으로 캐리어 플레이트(222)의 구조, 캐리어 필름(236)과 같이 근접한 위치에 있는 다른 것의 구조, 웨이퍼(200), 및 CMP 프로세스 동안 나타나는 다양한 층(204)에 의해 결정된다. 그러나, 상술한 바와 같이 센서(232)가 플레이트(222)에 실장되고 캐리어 필름(236)에 연결되어 있는 경우에는 이러한 실장은 결합 유체(250)를 갖는 센서(232)를 웨이퍼(200)의 노출면(208)에 매우 근접하게 (예를 들어 mm 단위 내에서) 위치시키기 때문에, (연결기(142)에 원격으로 위치하는 종래의 센서(130)에 비하면) 다른 근접한 구조에 의해 생성된 진동은 최소화되고 센서(232)에 의해 감지되는 출력 음파 신호의 진행-유도(process-induced)된 변화 전의 CMP 진행 유도 진동 또는 환송 음파 신호의 감쇠(dampening)는 거의 없다. 따라서 출력 신호(238)의 신호 대비 노이즈의 비율은 종전 원격 센서(130, 도 1B)에서의 비율에 비해 상대적으로 높게 된다.
도 5A를 참조하면, 본 시스템(220)의 또 다른 실시예가 포트(271)를 통해 공급되는 열에너지 결합 유체(266)를 포함하여 구성된다. 결합 유체(266)는 캐비티(226)의 반대쪽의 캐리어 필름(236)에 제공되는 구멍(267) 및 캐비티(226) 양쪽 모두에서 수용되는 중성수이다. 구멍(267)은 상술한 기계적 개구부를 제공한다. 따라서 유체(266)는 웨이퍼(200)의 배면(206)과 접촉하여 열전달을 하게 된다. 구멍(267) 및 캐비티(226)에서의 유체(266)는 웨이퍼(200)의 배면(206)에서부터 구멍(267)를 통해 그리고 캐비티(226)에서 센서(232)의 몸체(268)를 향해 순환한다. 따라서 유체(266)는 계면(212)에서의 CMP 프로세스으로부터 받은 에너지(E)를 센서(232)로 전달한다. 최대 온도의 95%에 해당하는 온도에 유체(266)가 도달하는 시간 지연은 약 0.6에서 약 0.8초의 범위에 있는데, 이것은 CMP 프로세스의 제어에 적합하다.
유체(266)의 온도가 웨이퍼(200)상의 영역(202) 내부에서의 다양한 표면 특성들(210)과 어떻게 관련되어 있는 지를 보여주기 위해, 적외선 진폭이 도 5B의 그래프(269)에서 도시된다. 각 진폭 그룹(270, 271, 272)은 다중 온도 판독에 기초한다. CMP 프로세스을 거친 웨이퍼(200)의 베어 실리콘의 열적 에너지는 약 0.045초의 상대값을 가지는 진폭 그룹(270)에 표시된다. 표면 특성(210-C)을 갖는 제거된 웨이퍼(200)의 다른 상대값은 약 0.035초의 상대값을 가지는 진폭 그룹(271)에 표시된다. 표면 특성(210-NU)을 가지는 제거되지 않은 웨이퍼(200)에 대한 다른 상대값은 약 0.025초의 상대값을 가지는 진폭 그룹(272)에 표시된다. 따라서, 각 도시된 표면 특성(210)에 대하여 CMP 프로세스 제어 및 상태 결정에 사용되는 고유한 열적 특성이 있게 된다. 유체 온도에 기초하여, 센서(232)는 출력 신호(238)를 생성한다. 센서(232)에 의해 감지되는 온도는 직접적으로 지연 시간을 더하여, 온도가 감지되는 순간에서의 패드(209)에 의해 접촉되는 표면 특성(210)과 관계된다. 예를 들어, 도 5C에서 도시되는 그래프(276)는 커브(277)를 도시한다. 고온이 대표 시간 영역(278)에서 A값을 가지는 출력 신호(238)에 의해 표시된다. 커브(277)는 시간 영역(280) 동안 계속되는 값 B를 가지는 출력 신호(238)에 의해 표시되는 변환 또는 급격한 온도 강하에 대응하는 계단 함수(279)를 가진다. 커브(276)는 계단 함수(281)까지 계속되는 시간 영역(280)을 도시한다. 계단 함수(281)는 시간 영역(282) 동안 계속되는 더 높은 값 C를 가지는 출력 신호(238)에 의해 표시되는 온도의 급격한 증가에 대응한다. 계단 함수(279, 281)를 가지는 출력 신호(238)는 층(204-UM)과 층(204-DB) 중의 연속되는 하나의 층의 CMP 프로세스 동안의 온도 센서(232)에 의한 출력이다(도 3E 참조). 따라서 출력 신호(238)는 감지된 온도에 비례하여 변화한다. 영역(278)과 영역(280) 사이의 계단 함수(279)에 의해, 신호(238)는 균일 표면 특성(210-U)으로의 변환(도 2B 및 2C 참조)을 지시한다. 영역(280)과 영역(282) 사이의 계단 함수(281)에 의해, 신호(238)는 상부 금속층(204-U)의 제거로의 변환, 및 그에 따른 결과인 표면 특성(210-CUM) 및 표면 특성(210-NU)으로의 변환(도 2C 및 2D 참조)을 지시한다. 센서(232)에 의해 감지된 온도는 이리하여 구성적 변환 및 제거 변환을 지시한다. 따라서, 감지가(232)가 값 C로의 급격한 증가를 가지는 출력 신호(238)를 출력하는 경우, 참조되는 상관은 CMP 프로세스의 파라미터가 확산 경계층(204-DB)을 처리하기에 적합하도록 변화되어야 한다는 것을 지시한다.
온도 감지 목적을 위해서, 센서(232)는 레이텍 모델 미드(RAYTEK Model MID), 비접촉 고정 실장형 온도 센서, 써미스터(thermistor), 또는 써모커플(thermocouple)이 된다. 예를 들어 레이텍 미드 센서(232)는 0.55in의 직경과 1.1in의 길이를 갖는 감지 헤드를 구비하는데, 이는 캐리어 플레이트(222)의 캐비티(226)에 실장되는데 적합하다. 상술한 플레이트(222)에 실장되는 센서(232)의 경우, 이러한 실장은 열 결합 유체(266)를 갖는 센서(232)를 웨이퍼(200)에 매우 근접하게 위치하게 하므로(연결기(145)에서 원격에 위치되는 종래 센서(130)와 비교하여), 계면(212)과 센서(232) 사이의 열에너지 손실은 최소화된다. 출력 신호(238)의 신호 대비 노이즈의 비율은 종래의 센서(130)의 신호의 비율보다 상대적으로 높게 된다.
본 발명의 다른 실시예는 표면 특성(210)의 결합과 웨이퍼(200)의 노출면(208)의 변환을 감지하는데 제공된다. 상술한 바와 같이, 영역(202) 및 다른 수만은 영역(202-O)들은 웨이퍼(200)의 노출면(208) 상에서 인식된다. 이와 같은 각 영역(202)과 다른 영역(202-O)들은 수직으로 분리된 직렬 대표층(204)의 양을 정의한다. 영역(202-O)에 의해 정의되는 다른 수직 직렬 대표층(204)들은 영역(202)에 의해 정의되는 층(204)들과 다른 층(204)들을 갖는다. 웨이퍼(200)의 노출면(208)의 표면 특성(210)의 결합은 도 3A에서 도시되는 시스템(220)의 적절한 설계에 의한 동일한 웨이퍼(200) 상에서 수행되는 동일한 CMP 폴리싱 운전 동안의 동일한 때에 감지된다. 두개의 대표 영역(202, 202-O) 각각과 함께 정렬되는 캐비티(226) 중의 하나 및 각 캐비티(226)에 수용되는 센서(232) 중 적절한 하나가 있게 된다. 따라서 캐비티(226)들 중의 하나(캐비티(226-1)참조)와 센서(232) 중의 적당한 센서 하나(센서(232-1)참조)는 영역(202)과 함께 정렬된 캐비티(226-2)에 수용된다. 센서(232-1)는 와전류 센서 또는 진동 센서 또는 온도 센서와 같은 센서(232)들 중의 어느 하나이다. 동일하게, 센서(232-2)도 와전류 센서 또는 진동 센서 또는 온도 센서와 같은 센서(232)들 중의 어느 하나이다. 정렬된 영역(202)과 센서(232)의 위치, 및 정렬된 영역(202-O)과 그 개별 센서(232)의 위치는 웨이퍼(200)의 노출면(208) 상에 형성되어 있거나 형성될 표면 특성(210)의 특성 및 정도에 따라 위치되는 센서(232)의 배열을 정의한다. 이와 같은 배열은 도 3A에서 도시되는데 3개의 대표 센서(232-1, 232-2, 232-3)를 포함한다. 각 센서(232-1, 232-2, 232-3)는 대응되는 출력 신호(238-1, 238-2, 238-2)를 변환 정보(292) 또는 두께(T)를 표시하는 두께 정보와 같은 양에 관한 정보(294)를 제공하는 대응되는 개별 신호 처리기(290-1, 290-2, 290-3)로 무선으로 송신한다. 정보(292) 또는 정보(294)는 CMP 프로세스 제어(296)의 입력이 될 수 있다. 제어(296)는 패드(209)에 대한 플레이트(222)의 압력 또는 웨이퍼(200)의 회전 속도를 제어하거나, 적당한 진행 지점에 도달하는 경우 CMP 프로세스를 정지시킨다.
본 발명의 다른 실시 예에 의하면 "상관 데이터”로 간주되는 웨이퍼 필름 특성-센서의 상관 데이터를 제공한다. 이러한 상관 데이터는 "상관 웨이퍼들” (200C)로 간주되는 하나 또는 그 이상의 반도체 웨이퍼들(200)의 노출면(208)의 표면 특성(210)을 나타낸다. 이상 언급된 바와 같이, 표면 특성들(210)은 CMP 프로세스중 변화하는 표면 특성들(210)과 같은 노출면(208)에서의 화학적 기계적 폴리싱 공정에 의한 것이다. 각 특성들(210)을 위한 상관 데이터를 용이하게 얻기 위해, 특정 영역(202 또는 202-O)에서의 특정 표면 특성(210)을 갖는 하나 이상의 상관 웨이퍼들을 사용한다.
도 7을 참조하면, 표면 특성들(210)을 나타내는 상관 데이터를 얻기 위한 방법이 순서도(300)에 나타나 있다. 그 방법은 먼저, 상관 웨이퍼들(200C)의 노출면(208) 상의 영역들(202 또는 202-O) 중 어느 하나를 확인하는 공정(302)으로 이동한다. 묘사된 바와 같이, 상술한 영역(202 또는 202-O)은 알려진 표면 특성들 (210) 중 어느 하나를 포함한다. 다음으로, 예를 들어 구경 측정 웨이퍼(200C)의 확인된 영역(202) 내의 알려진 초기 평면 특성(210) 상에서 제 1 화학적 기계적 폴리싱 공정을 수행하는 공정(304)로 이동한다. 이 제 1 화학적 기계적 폴리싱 공정은 센서(232)들 중 어느 하나를 갖는 시스템(220)을 사용하여 수행된다. 이 제 1 화학적 기계적 폴리싱 공정은 구경 측정 웨이퍼(200C)와 제조 웨이퍼들(200)이 동일한 CMP 프로세스이 이루어지도록 미리 조정된 특성에 따라 수행된다. CMP 프로세스은 예를 들어 위에서 언급된 바와 같은 전자기 유도 결합, 진동, 또는 열 에너지 등과 같은 제 1 에너지(E)를 방출하는 알려진 초기 표면 특성(210)을 발생시킨다. 제 1 화학적 기계적 폴리싱 공정 동안 방사되는 제 1 에너지(E)의 결정된 제 1 화학적 기계적 특성 공정(306)으로 이동한다. 제 1 에너지 특성은 선택된 센서(232)로부터의 출력 신호들(238)의 첫번째가 되고, 제 1 화학적 기계적 공정 동안의 한정된 영역(202)에서의 알려진 초기 표면 특성(210)으로 특정될 것이다. 이러한 상관 웨이퍼(200C)의 공정이 중단된다. 제 1 출력 신호 (238)은 선택된 영역(202) 내부 노출면(208)의 알려진 초기 특성(210)과 관계가 있다. 예를 들어, 역 전류 센서(232)의 출력 전압이 검출되고, 그 전압에 따른 웨이퍼 두께(T)가 결정; 혹은, 속도의 크기와 신호(238)의 주파수는 알려진 초기 표면 특성(210)에 대응하여 결정되거나, 측정된 온도와 출력 신호(238)의 전압과 그 온도에 응답하는 표면 특성(210)과 관계된다. 제 1 신호(238)은 웨이퍼 필름 특성-센서 상관 데이터의 하나의 항목을 나타낸다.
실시하는 공정(304)와 결정하는 공정(306)이 반복되는 공정(308)로 이동하고 예를 들어, 영역(202)과 초기 표면 특성(210) 조건 하에서 낮은 표면 특성(210)을 갖는 제 2 상관 웨이퍼(200C)에 관하여 결정하는 공정(306)이 반복된다. 반복되는 공정(304)는 다음의 에너지(E)의 출력을 제공하고 낮은 표면 특성(210)에 특유한 다음(혹은 제 2) 에너지 특성을 갖는다. 이 공정(308)이 중단된다. 제 2 공정(306)("제 2" 신호(238)) 동안 센서(232)로부터 얻어진 신호(238)는 낮은 표면 특성(210)에 응답하는 웨이퍼 필름 특성-센서 상관 데이터의 다음 항목으로 기록된다.
웨이퍼 필름 특성-센서 상관 데이터를 얻기 위한 충분한 데이터가 얻어졌는 지 여부를 결정하는 공정(310)으로 이동한다. 만약, 충분한 데이터가 얻어지지 않았다면 공정(308)으로 돌아간다. 공정(308)에서, 예를 들어, 영역(202)와 초기 조건하 및 낮은 표면 특성들(210)에서 여전히 낮은 표면 특성(210)을 갖는 제 3 상관 웨이퍼(200C)에 관하여 실시하는 공정(304)과 결정하는 공정(306)이 반복된다. 반복되는 공정(304)은 제 3 출력 에너지(E)를 제공하고 반복되는 결정 공정(306)은 여전히 낮은 표면 특성(210)에 특유한 제 3 에너지 특성을 얻는다. 이러한 공정(308)이 중단된다. 제 3 공정(306) 동안 센서(232)로부터 얻어진 신호 (238)은 웨이퍼 필름 특성-센서 상관 데이터의 제 3 항목으로 기록되고, 여전히 낮은 표면 특성(210)에 응답한다. 만약, 공정(310)이 만족되고 "예"라면 공정(312)으로 이동하고 예를 들어, 구획된 그래프들(258, 276, 및 314)(도면 5C와 6의 413) 중 적당한 어느 하나에 의해 순서도(300)의 공정에서 얻어진 상관 데이터는 조직된다. 각 그래프들(258, 276, 및 314)은, 예를 들어 상관 웨이퍼들(200C)과 동일 특성들(210)을 갖는 제조 웨이퍼들(200P)에 관하여 도 8과 순서도(340)를 참조하여 묘사되는 방법에 의해 다음이 수행되는, 각 센서들(232)를 포함하는, 시스템(220)의 작동에 있어서 사용된 상관 데이터를 나타낸다.
다음은 공정(308)에 후속으로 공정(304와 306)의 수행에 의해 얻어지는 상관 데이터의 좀더 상세한 예를 나타낸다. 상관 데이터는 예를 들어, 상술한 변화들 중 하나를 나타낸다. 변환은 상측 금속층(204-UM)(도 2C)의 표면 특성으로부터 확산 경계층(204-DB)(도 2D)의 표면 특성(210-NU)까지이다. 도 2D의 표면 특성(210-CUM)은 금속층(210-UM)의 틈(clearance)을 나타낸다. 제 1 공정(306)의 한정에 의해 얻어지는 제 1 에너지 특성은 상측 금속층(204-UM)의 균일 표면 특성(210-U)과 관계된 상술한 제 1 신호(238)이다. 제 2 공정(306)의 한정에 의해 얻어지는 제 2 에너지 특성은 확산 경계층(204-DB)과 관계되고, 불균일 표면 특성(210-NU)과 관계된 상술한 제 2 신호(238)이다. 공정(312)에 관하여, 도 5C에서 보여지는 그래프(276)이 상관 그래프로서 준비된다. 제 1 신호(238)는 계단 함수(281)의 저전압 말단에서의 전압(B)이고 제 2 신호(238)는 계단 함수(281)의 고전압 말단에서의 전압(C)이다. 위에서 언급된 바와 같이, 제 1 및 제 2 신호들(238)은 상측 금속층(204-UM)의 틈과 확산 경계층(204-DB)의 도출된 표면 특성의 변환을 나타낸다.
순서도(300)의 공정들은 노출, 또는 정면, 구경 측정 웨이퍼(200C)의 표면 상의 각 영역들(202와 202-O)에 관하여 사용된다. 이러한 방법에 있어서, 캐비티들(226) 중 다양한 어느 하나에 제공되는 서로 다른 센서들(232)을 위하여, 각 다양한 영역들(202와 202-O)에 의해 포함된 각 표면 특성(210)에 관한 CMP 프로세스들의 상관가 될 것이다. 결과적으로, 다양한 각 센서들(232)로부터의 출력 신호들(238)은 각 표면 특성들(210)의 CMP 프로세스들의 양적 관찰에 사용될 것이다. 유사하게, 도출된 실시(exemplary) 상관 그래프(258, 276 및 314)는 표면 특성들(210)의 어느 것을 위한 CMP 프로세스들 상태의 다양한 타입의 선택을 위해 출력 신호들(238)을 제공하는 센서들(232)과의 접속에 사용된다.
선택적으로, 순서도(300)의 공정들은 제조 웨이퍼(200) 상에서 수행되어질 것이다. 이 경우, CMP 프로세스은 제조 웨이퍼(200)의 실험을 반복 수행함에 따라 더욱 빈번한 중단이 발생하고, 원하는 표면 특성(210)이 특정 영역(202)에 존재하는 지의 여부가 결정된다. CMP 프로세스에 의해 원하는 표면 특성(210)이 얻어지고, 상관 데이터가 이러한 원하는 표면 특성(210)과 상관되면, 공정(308)은 제조 웨이퍼(200)의 다음의 낮은 원하는 표면 특성(210)을 얻기 위한 공정을 수행한다. 그에 따라, 상관 데이터는 이러한 다음의 낮은 원하는 표면 특성(210)과 관계된다.
본 발명의 다른 실시 예에 의하면 반도체 웨이퍼(200)의 노출면(208)의 표면 특성들(210)과 관계된 상관 데이터를 이용하는 것이 제공된다. 위에서 언급한 바와 같이, 상관 데이터는 그래프(258, 276, 및 314) 중 하나 또는 그 이상에서 조직되며, 제조 웨이퍼들(200)의 노출면(208) 상에서 CMP 프로세스을 수행하는 동안 사용될 것이다. 도 8을 참조하면, 이 방법이 제조 웨이퍼(200) 상에서 수행되는 화학적 기계적 폴리싱 공정들을 조정하기 위한 순서도(340)에 의해 도시되어 있다. 그 방법은 제조 웨이퍼(200)를 플레이트(222) 등과 같은 이송 헤드 상에 탑재시키는 공정 (342)을 포함한다. 도 2B를 참조하면, 플레이트(222)는 웨이퍼(200)의 정면을 웨이퍼 패드 계면(212)의 폴리싱 패드에 노출시킨다. 웨이퍼(200)의 정면(208)과 계면(212)은 복수의 표면 특성들(210)이 일반적으로 위치하는 영역들(202 또는 202-O)(도 2A 또는3A) 중 적어도 한 영역을 갖는다. 각 영역들(202 또는 202-O)에 관하여, 표면 특성들(210)은 서로 겹치고, 일반적으로 CMP 프로세스들을 위해 노출된 웨이퍼(200)의 정면(208)에 근접한 적어도 하나의 상측(또는 외측) 표면 특성(도 2B의 특성 210-NU참조)을 포함한다. 또한, 표면 특성(210)은 정면(208)으로부터 최대한 이격되고 웨이퍼의 배면을 향하는 최종 표면 특성(210-F)(도 2E)을 포함한다. 전체의 오버버든(204-O) 틈은 최종 표면 특성(210-F)을 노출시킨다.
삭제
노출면(208)에서의 표면 특성(210)을 포함하여, 제조 웨이퍼(200)의 노출면 영역(208)위에서 CMP 프로세스 실행의 공정으로 옮기는 방법이 개시된다. CMP 프로세스들 도중에, 패드의 폴리싱과(209) 노출면(208)의 상호작용과 각 영역(202)의 표면 특성(210)에 따라서 웨이퍼-패드 계면(212)의 영역(202)으로부터 에너지(E)가 방출되도록 한다. 특정 표면 특성(210)으로부터의 에너지(E)는 유도된 와전류에 근거한 진동, 열, 및 전자기와 같은 다양한 특성들을 가질 수 있다.
방법은, 상관 데이터가 도 4B, 5C, 및 6에 도시된 상관 그래프(258, 276, 및 314) 중 하나 이상인 한 집단의 데이터의 형태로 제공되는 공정(346)으로 진행한다. 그래프(258)(도 4B)를 참조하면, 상기 집단의 데이터는 예를 들어, 제조 웨이퍼(200)와 유사한 상관 웨이퍼(200C)의 상호 영역(202 또는 202-O) 내에서, 각각의 특성 표면에서 실행된 CMP 프로세스동안 방출된 에너지(E)의 대응하는 제 1 데이터(348)을 포함하고 있다. 제 1 데이터(348)는 예를 들어, 상관 웨이퍼(200C)의 영역(202 또는 202-O) 안에서 마지막 표면 특성(210-F)에 응답하는 하나의 부분(350)(도 4B)을 포함하고 있다.
삭제
제조 웨이퍼(200)의 표면 특성(210) 각각에 실행되는 CMP 프로세스 동안, 제조 웨이퍼(200)의 각각의 다양한 영역(202 또는 202-O)의 웨이퍼-패드 계면(212)로부터 방출되는 에너지(E)의 모니터링 공정(352)으로 옮기는 방법이 개시된다. 에너지(E)는 예를 들어, 각각의 영역들(202 또는 202)에 관여하는 것을 가지는 센서들(232) 중 하나를 포함하고, 시스템(220)을 사용함으로써, 모니터된다. 에너지(E)에서 제 1 데이터(348)로 모니터된 것을 비교하는 공정(354)으로 이동하는 방법이 개시된다. 상세하게는, 상관 웨이퍼(200C)의 마지막 표면 특성(210-F)으로 대응되는 제 1 데이터(348)의 포지션(350)을 비교하는 CMP 프로세스의 일반적인 실행동안, 에너지(E)는 제조 웨이퍼(200)의 각각의 영역(202 또는 202-O)의 웨이퍼-패드 계면으로부터 방출된다. 그 비교는 각각의 영역들(202 또는 202-O)을 위한 각각의 센서들(232)로부터 출력되는 신호(238)의 관점에 의한 것이다. 그리고 이 상호 데이터의 실시 교정(CALIBRATION) 그래프는 예를 들어, 158, 276, 또는 314이다. 그래프(258)를 참조하면(도 4B), 출력 신호(232)가 CMP 프로세스의 변화가 있는 주파수(356)에 대응하는 것을 알 수 있다. 예를 들어 이 변화는 상술된 명확한 변화이다. 또는, 그래프(314)(도6)를 참조하면, 그 비교를 지시하는데, 예를 들어, 그 출력 신호(232)는 영역들(202)중 하나의 두께(T)(예를 들어, 8,000 옹스트롱)의 값에 대응하는 포인트(358)에 대응한다. 이러한 존재하는 실시 두께(T), 예를 들어, 두께 (T)는 상태 프로세스를 지시하거나 제어 프로세스를 지시하는데 사용된다.
삭제
삭제
삭제
삭제
삭제
삭제
방법은 프로세스 제어 공정(360)으로 진행한다. 예를 들어, 일반적으로 실행되는 화학적 기계적 폴리싱 공정은 CMP 프로세스가 끝났을 때, 중단된다. 교정 그래프(258)에서, 현재 수행된 화학적 기계적 폴리싱 공정동안 영역(202 또는 202-O)으로부터 방출된 에너지(E)가 교정 웨이퍼(200C)의 최종 표면 특성에 대응하는 제 1 데이터(348)의 부분(350)과 실질적으로 같다는 것을 결정할 때 중단이 행해질 수 있다. 주파수(356)는 원하는 표면 특성(210)이 얻어졌다는 것을 가리킨다.
좀더 자세히 살펴보면, 예를 들어, 표면 특성(210)들 중 적어도 하나가 비균일 패턴 구조(210-NUP)를 포함하고 표면 특성(210)들 중 적어도 또 다른 하나가 균일 토포그래피적 구성(210-U)을 포함할 때 순서도(340)가 이용된다. 이러한 실시 상황에서, 데이터 집단을 제공하는 공정(346)은 (금속층(204-UM)의) 패턴 특성(210-P)에 대응하는 하나의 부분(또는 집단)의 데이터(350)를 가지는 그래프(258)(도 4B)를 제공하고 균일 토포그래피적 특성(210-U)에 대응하는 한 부분(또는 집단)의 데이터(364)를 제공하는 것을 포함한다. 도 4B를 참조하면, 패턴 구조에 대응하는 하나의 부분(또는 집단)의 데이터(350)는 진동 진폭과 주파수 에너지 특성을 포함한다. 균일 토포그래피적 특성(210-U)과 대응하는 데이터 집단(364)의 주파수 에너지 특성과 진동 진폭은 사실상 다르다. 이 피크(264)는 실질적인 차이를 제공한다. 상술한 것과 같이, 이 영역(또는 집단)(350)의 데이터는 원하는 특성(210)이 얻어졌다는 것을 결정하는 데에 이용된다.
삭제
삭제
삭제
삭제
또 다른 실시예에서, 도 3D, 3E, 및 6을 참조하면, 표면 특성들(210) 중 적어도 하나가 제 2 토포그래피(210-T2)에 대응하는 두께(T2)와 다른 두께(T1)를 가지는 제 1 토포그래피(210-T1)를 포함할 때 순서도(340)가 이용된다는 것이 이해된다. 이 상황에서, 상관 데이터를 제공하는 공정(346)은 데이터를 제 1 토포그래피(210-T1)에 대응하는 제 1 두께 값(368)과 제 2 토포그래피(210-T2)에 대응하는 작은 두께 값(358)으로서 제공한다. 이것은 제 1 두께의 값(368)은 제 1 토포그래피 (210-T1)의 두께(T1)를 양적으로 표현하고, 그것보다 작은 두께 값은 제 2 토포그래피(210-T2)의 두께(T2)를 양적으로 표현한다.
또 다른 실시예에서, 도 2B, 2C, 2D, 및 5C를 참조하면, 표면 특성들(210) 중 적어도 하나가 균일 토포그래피(210-U)(도 2C)를 가지는 제 2 토포그래피와 다른 제 1 비균일 토포그래피(210-NU)(도 2B)를 포함할 때 순서도(340)가 이용된다는 것이 이해된다. 이런 상황에서 공정(346)은 상관 데이터를 제 1 비균일 토포그래피(210-NU)에 대응하는 범위(278)의 제 1 값(A)과, 제 2 토포그래피(210-U)에 대응하는 범위(280)의 값(B)으로서 제공한다.
삭제
삭제
삭제
삭제
다시 살펴보면, 본 발명의 방법과 장치들은 CMP프로세스의 상태와 제어를 위한 화화적 기계적 폴리싱에서의 웨이퍼(200)의 노출면(208)의 표면 특성(210)과 표면 특성(210)의 변화를 검출하기 위한 것이다. 이러한 방법들과 장치들은 폴리싱 패드를 통해 웨이퍼를 보는 광학적 시스템의 한계를 피하는 것이다. 플레이트(222) 상에 실장된 웨이퍼(200)와 함께 플레이트(222) 내에 센서들(232)을 위치시킴으로써, 센서들(232)은 항상 웨이퍼(200)의 각각의 영역(202)들을 감지하고 이러한 요구가 표면 특성들(210)과 웨이퍼(200)의 노출면(208)의 표면 특성들(210)의 변화들을 항상 검출함으로써 충족된다. 더욱이, 센서들(232)이 웨이퍼 실장면(224)의 연장면, 또는 웨이퍼 실장면(224)의 약 2mm 이내에 위치함으로써, 종래의 거리가 먼 진동 센서들보다는 웨이퍼 표면(208)의 표면 특성들(210)과 표면 특성들(210)의 변화들이 웨이퍼 실장면(224)의 가장자리 또는 웨이퍼 캐리어 플레이트(222) 이내의 위치에서 감지되는 CMP 프로세스 상태 및 제어 방법과 장치에 대한 요구를 본 발명은 만족시킨다. 더욱이, 플레이트(222) 내에 수용된 다양한 센서들(232)에 의해, 본 발명은 CMP 프로세스 상태 및 제어에 대한 화학적 기계적 폴리싱에서의 표면 특성들(210)의 변화들을 감지하는 것을 포함하여, 웨이퍼 표면 특성들(210)을 감지할 수 있다. 진동 센서(232)를 웨이퍼-패드 계면(212)에 가까운 플레이트(222) 내에 제공함으로써, 본 발명은 CMP 프로세스에 근거한 진동들을 감지하는 향상된 방식을 제공한다. 이러한 향상된 방식은 프로세스 근거 진동들이 감지되기 전에 이러한 진동들의 감쇠를 방지하여 구조의 물리적 특성들에 근거한 진동들과 비교하여 프로세스 진동을 확실히 수용하고, 레절루션에서의 이득을 제공하고, 그리고 프로세스 진동들과 관련하여 출력 신호들(238)의 신호 대 노이즈 비를 향상시킨다. 게다가, 많은 센서들(232)이 웨이퍼(200)의 노출면(208)을 지나 위치됨으로써, 예를 들면, 인사이튜 센서들(in-situ sensors)에 의해 감지된 작은 웨이퍼 표면 영역들과 비교하여 CMP 프로세스 상태 및 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면(208)을 상대적으로 넓은 영역에서 감지할 수 있다.
삭제
삭제
삭제
삭제
삭제
삭제
삭제
상술된 발명은 이해를 위해 상세하게 기술되었을 지라도, 첨부된 청구범위 내에서 특정 변화와 변형이 이루어질 수 있다. 따라서, 본 실시형태는 제한적인 것이 아니라 예시적인 것이며, 본 발명은 본 명세서의 상세한 설명에 제한되지 않으며, 첨부된 청구범위의 균등물 이내에서 변형될 수 있다.
삭제

Claims (20)

  1. 웨이퍼 실장면과 상기 웨이퍼 실장면으로부터 연장된 적어도 하나의 구멍을 가지는 웨이퍼 캐리어 헤드;
    상기 적어도 하나의 구멍 내에 수용된 센서; 및
    한쪽 면은 상기 웨이퍼 실장면과 접촉하고, 다른 한쪽 면은 웨이퍼 배면과 접촉하는 캐리어 필름을 포함하고,
    상기 센서는 웨이퍼 노출면으로부터 방출되는 에너지에 반응하고,
    상기 에너지는 상기 웨이퍼 노출면의 표면 특성을 나타내고,
    상기 웨이퍼 노출면은 상기 웨이퍼 노출면의 특성을 변화시키는 프로세스를 거치는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  2. 제 1 항에 있어서, 상기 캐리어 필름은 상기 에너지를 상기 웨이퍼 실장면 및 상기 구멍으로 전송하기 위하여 구성되는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  3. 제 2 항에 있어서,
    상기 캐리어 필름은 물리적으로 연속적이고, 그리고
    상기 센서는 와전류 필드 및 진동 에너지 중 하나의 형태로 전송된 에너지에 반응하도록 구성되는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  4. 제 2 항에 있어서,
    상기 캐리어 필름은 상기 구멍과 함께 정렬된 개구부로 구성되고, 그리고
    상기 센서는 열 에너지의 형태로 전송된 에너지에 반응하도록 구성되는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  5. 제 2 항에 있어서, 상기 센서는 인터로게이션(interrogation) 신호를 상기 캐리어 필름을 통하여 상기 캐리어 필름의 상기 다른 한쪽 면 상에 실장된 상기 웨이퍼 배면으로 전송하도록 구성되고, 상기 인터로게이션 신호는 음파 신호 또는 적외선 신호 또는 와전류 신호이고, 상기 인터로게이션 신호는 상기 웨이퍼의 상기 프로세스를 거친 상기 웨이퍼 노출면에 의해 변형되고 상기 센서로 상기 캐리어 필름을 통하여 전송되고, 그리고 상기 센서는, 노출면 특성의 제 1 변화를 표현하는 제 1 출력 신호를 생성하고 노출면 특성의 제 2 변화를 표현하는 제 2 출력 신호를 생성하기 위해 상기 캐리어 필름을 통해 전송되는 인터로게이션 신호에 반응하도록 구성되는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  6. 제 5 항에 있어서, 상기 웨이퍼 실장면을 지나 전송된 에너지가 진동 에너지이고, 그리고 상기 진동 에너지는 주파수 특성에 대한 제 1 및 제 2 진폭을 가지고, 상기 주파수 특성에 대한 제 1 진폭은 제 1 웨이퍼 노출면 특성에 따른 방식으로 변화하고, 상기 주파수 특성에 대한 제 2 진폭은 제 2 웨이퍼 노출면 특성에 따른 방식으로 변화하고; 그리고
    상기 센서는 상기 제 1 웨이퍼 노출면 특성을 표현하는 제 1 출력 신호를 생성하기 위해 주파수 특성에 대한 제 1 진폭을 가지는 진동 에너지에 반응하고, 그리고 상기 센서는 상기 제 2 웨이퍼 노출면 특성을 표현하는 제 2 출력 신호를 생성하기 위해 주파수 특성에 대한 제 2 진폭을 가지는 진동 에너지에 반응하는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  7. 제 1 항에 있어서, 상기 적어도 하나의 구멍은 웨이퍼 캐리어 헤드로 연장된 복수개의 구멍들이고, 상기 복수개의 구멍들 중 하나는 상기 웨이퍼 노출면 특성들 중 하나의 변화가 검출되는 웨이퍼 상의 복수개의 위치들 중 하나와 함께 정렬되고, 상기 웨이퍼 표면 특성을 검출하기 위한 시스템은:
    복수개의 구멍들 각각에 수용된 센서들 중 하나를 더 포함하고, 상기 센서들 각각은 각각의 위치들에서 개별적으로 분리된 웨이퍼 특성으로부터 방출된 에너지에 분리되어 반응하는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  8. 제 2 항에 있어서, 상기 웨이퍼의 노출면 상의 특성들 중 하나는 제조 프로세싱 동안 변화하는 두께를 가지는 금속이고, 그리고 상기 센서는 상기 구멍 내에 수용된 와전류 센서로서 구성되고 그리고 상기 제조 프로세싱 동안 상기 캐리어 필름만을 거쳐 상기 금속과 전자기적으로 결합되고, 그리고 상기 센서는 상기 금속의 두께에 비례하는 출력 신호를 생성하는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  9. 제 2 항에 있어서, 상기 웨이퍼의 노출면 상의 특성들은 블랭킷(blanket) 금속 오버버든 하의 금속 패턴을 포함하고, 그리고 상기 금속 패턴과 상기 블랭킷 금속 오버버든 동안 진동 에너지가 상기 웨이퍼 실장면을 지나 상기 구멍 내로 전송되는 에너지이고, 그리고 상기 센서는 상기 블랭킷 금속 오버버든의 특성을 표현하는 제 1 값과 상기 금속 패턴의 특성을 표현하는 제 2 값으로 구성되는 어그리게이트 값을 가지고, 그리고 상기 센서는 상기 제 2 값들을 가지고 블랭킷 금속 오버버든이 상기 금속 패턴으로부터 제거되는 제조 프로세싱 동안의 상태를 표현하는 신호를 출력하도록 구성되는 것을 특징으로 하는, 웨이퍼 표면 특성을 검출하기 위한 시스템.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020047015569A 2002-03-28 2003-03-26 프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면 특성의 변화를 검출하기 위한 장치 및 방법 KR101052649B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/113,151 2002-03-28
US10/113,151 US6937915B1 (en) 2002-03-28 2002-03-28 Apparatus and methods for detecting transitions of wafer surface properties in chemical mechanical polishing for process status and control
PCT/US2003/009421 WO2003082522A1 (en) 2002-03-28 2003-03-26 Apparatus and methods for detecting transitions of wafer surface properties in chemical mechanical polishing for process status and control

Publications (2)

Publication Number Publication Date
KR20040099383A KR20040099383A (ko) 2004-11-26
KR101052649B1 true KR101052649B1 (ko) 2011-07-28

Family

ID=28673655

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047015569A KR101052649B1 (ko) 2002-03-28 2003-03-26 프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면 특성의 변화를 검출하기 위한 장치 및 방법

Country Status (8)

Country Link
US (2) US6937915B1 (ko)
EP (1) EP1487611A1 (ko)
JP (1) JP2005522024A (ko)
KR (1) KR101052649B1 (ko)
CN (1) CN100503169C (ko)
AU (1) AU2003220552A1 (ko)
TW (1) TWI258400B (ko)
WO (1) WO2003082522A1 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205166B2 (en) * 2002-06-28 2007-04-17 Lam Research Corporation Method and apparatus of arrayed, clustered or coupled eddy current sensor configuration for measuring conductive film properties
US20060043071A1 (en) * 2004-09-02 2006-03-02 Liang-Lun Lee System and method for process control using in-situ thickness measurement
US7173418B2 (en) 2005-06-30 2007-02-06 Lam Research Corporation Methods and apparatus for optimizing an electrical response to a set of conductive layers on a substrate
US20070077671A1 (en) * 2005-10-03 2007-04-05 Applied Materials In-situ substrate imaging
KR100716935B1 (ko) * 2005-11-25 2007-05-14 두산디앤디 주식회사 반도체 웨이퍼의 화학기계적 연마장치용 로딩디바이스
KR101247065B1 (ko) * 2006-01-30 2013-03-25 엠이엠씨 일렉트로닉 머티리얼즈, 인크. 양면 웨이퍼 그라인더 및 가공물 나노토폴로지 평가 방법
US7537511B2 (en) * 2006-03-14 2009-05-26 Micron Technology, Inc. Embedded fiber acoustic sensor for CMP process endpoint
US7179151B1 (en) * 2006-03-27 2007-02-20 Freescale Semiconductor, Inc. Polishing pad, a polishing apparatus, and a process for using the polishing pad
KR20080013059A (ko) * 2006-08-07 2008-02-13 삼성전자주식회사 씨엠피공정설비의 웨이퍼 검사장치 및 그 방법
US7836769B2 (en) * 2006-08-10 2010-11-23 Akrion Systems Llc Apparatus and method of measuring acoustical energy applied to a substrate
US7960313B2 (en) * 2007-06-14 2011-06-14 Intermolecular, Inc. Combinatorial processing including stirring
US7785172B2 (en) * 2007-08-14 2010-08-31 Intermolecular, Inc. Combinatorial processing including rotation and movement within a region
US20090181475A1 (en) * 2008-01-11 2009-07-16 Novellus Systems, Inc. Detecting the presence of a workpiece relative to a carrier head
AU2009208607B2 (en) * 2008-01-31 2013-08-01 Compugen Ltd. Polypeptides and polynucleotides, and uses thereof as a drug target for producing drugs and biologics
JP5274963B2 (ja) * 2008-09-29 2013-08-28 新明和工業株式会社 芯線接触検出装置、電線ストリップ処理装置、芯線接触検出方法及び芯線接触検出プログラム
US20100129940A1 (en) * 2008-11-24 2010-05-27 Texas Instruments Incorporated Vibration monitoring of electronic substrate handling systems
US20120276817A1 (en) * 2011-04-27 2012-11-01 Iravani Hassan G Eddy current monitoring of metal residue or metal pillars
US9403254B2 (en) * 2011-08-17 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for real-time error detection in CMP processing
US8764515B2 (en) * 2012-05-14 2014-07-01 United Technologies Corporation Component machining method and assembly
US20140078495A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Inline metrology for attaining full wafer map of uniformity and surface charge
US20140120802A1 (en) * 2012-10-31 2014-05-01 Wayne O. Duescher Abrasive platen wafer surface optical monitoring system
US9177849B2 (en) 2012-12-18 2015-11-03 Intermolecular, Inc. Chuck for mounting a semiconductor wafer for liquid immersion processing
WO2017109128A1 (en) 2015-12-24 2017-06-29 British Telecommunications Public Limited Company Detecting malicious software
WO2017109129A1 (en) * 2015-12-24 2017-06-29 British Telecommunications Public Limited Company Software security
EP3394784B1 (en) 2015-12-24 2020-10-07 British Telecommunications public limited company Malicious software identification
US11423144B2 (en) 2016-08-16 2022-08-23 British Telecommunications Public Limited Company Mitigating security attacks in virtualized computing environments
WO2018033350A1 (en) 2016-08-16 2018-02-22 British Telecommunications Public Limited Company Reconfigured virtual machine to mitigate attack
SG11201901352XA (en) * 2016-09-15 2019-04-29 Applied Materials Inc Chemical mechanical polishing smart ring
JP7023455B2 (ja) * 2017-01-23 2022-02-22 不二越機械工業株式会社 ワーク研磨方法およびワーク研磨装置
US11577356B2 (en) 2018-09-24 2023-02-14 Applied Materials, Inc. Machine vision as input to a CMP process control algorithm
KR102262803B1 (ko) * 2019-07-10 2021-06-09 주식회사 에스피에스테크 웨이퍼용 cmp 시스템
WO2022005916A1 (en) 2020-06-29 2022-01-06 Applied Materials, Inc. Film thickness estimation from machine learning based processing of substrate images
US20230286107A1 (en) * 2022-03-09 2023-09-14 Applied Materials, Inc. Eddy current monitoring to detect vibration in polishing
WO2023235582A1 (en) * 2022-06-03 2023-12-07 Applied Materials, Inc. Monitoring of acoustic events on a substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000117626A (ja) * 1998-10-16 2000-04-25 Tokyo Seimitsu Co Ltd ウェーハ研磨装置及び研磨量検出方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3874123A (en) 1973-10-11 1975-04-01 Mwa Company Metal conditioning planetary grinder
US4197676A (en) 1978-07-17 1980-04-15 Sauerland Franz L Apparatus for automatic lapping control
US4556845A (en) 1982-05-17 1985-12-03 International Business Machines Corporation Method for monitoring deposition rate using an eddy current detector
US4600469A (en) 1984-12-21 1986-07-15 Honeywell Inc. Method for polishing detector material
US4793895A (en) 1988-01-25 1988-12-27 Ibm Corporation In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5287663A (en) 1992-01-21 1994-02-22 National Semiconductor Corporation Polishing pad and method for polishing semiconductor wafers
US5308438A (en) 1992-01-30 1994-05-03 International Business Machines Corporation Endpoint detection apparatus and method for chemical/mechanical polishing
US5337015A (en) 1993-06-14 1994-08-09 International Business Machines Corporation In-situ endpoint detection method and apparatus for chemical-mechanical polishing using low amplitude input voltage
US5508077A (en) 1993-07-30 1996-04-16 Hmt Technology Corporation Textured disc substrate and method
US5700180A (en) * 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5413941A (en) 1994-01-06 1995-05-09 Micron Technology, Inc. Optical end point detection methods in semiconductor planarizing polishing processes
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5597442A (en) 1995-10-16 1997-01-28 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) endpoint method using measurement of polishing pad temperature
US5647952A (en) 1996-04-01 1997-07-15 Industrial Technology Research Institute Chemical/mechanical polish (CMP) endpoint method
US5643050A (en) 1996-05-23 1997-07-01 Industrial Technology Research Institute Chemical/mechanical polish (CMP) thickness monitor
SE508354C2 (sv) 1996-07-05 1998-09-28 Asea Atom Ab Förfarande och anordning för bestämning av skikttjocklek
KR100218309B1 (ko) * 1996-07-09 1999-09-01 구본준 씨엠피장치의 반도체웨이퍼 레벨링 감지장치 및 방법
JPH1034529A (ja) 1996-07-18 1998-02-10 Speedfam Co Ltd 自動定寸装置
US5958148A (en) 1996-07-26 1999-09-28 Speedfam-Ipec Corporation Method for cleaning workpiece surfaces and monitoring probes during workpiece processing
JP3011113B2 (ja) * 1996-11-15 2000-02-21 日本電気株式会社 基板の研磨方法及び研磨装置
JPH10217112A (ja) 1997-02-06 1998-08-18 Speedfam Co Ltd Cmp装置
US6056632A (en) 1997-02-13 2000-05-02 Speedfam-Ipec Corp. Semiconductor wafer polishing apparatus with a variable polishing force wafer carrier head
US6059636A (en) * 1997-07-11 2000-05-09 Tokyo Seimitsu Co., Ltd. Wafer polishing apparatus
US5916015A (en) 1997-07-25 1999-06-29 Speedfam Corporation Wafer carrier for semiconductor wafer polishing machine
US5888120A (en) 1997-09-29 1999-03-30 Lsi Logic Corporation Method and apparatus for chemical mechanical polishing
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
JPH11226865A (ja) 1997-12-11 1999-08-24 Speedfam Co Ltd キャリア及びcmp装置
US5993302A (en) 1997-12-31 1999-11-30 Applied Materials, Inc. Carrier head with a removable retaining ring for a chemical mechanical polishing apparatus
US5972162A (en) 1998-01-06 1999-10-26 Speedfam Corporation Wafer polishing with improved end point detection
JP2000015572A (ja) 1998-04-29 2000-01-18 Speedfam Co Ltd キャリア及び研磨装置
US5985094A (en) 1998-05-12 1999-11-16 Speedfam-Ipec Corporation Semiconductor wafer carrier
US6106662A (en) 1998-06-08 2000-08-22 Speedfam-Ipec Corporation Method and apparatus for endpoint detection for chemical mechanical polishing
US6402589B1 (en) 1998-10-16 2002-06-11 Tokyo Seimitsu Co., Ltd. Wafer grinder and method of detecting grinding amount
US6224461B1 (en) 1999-03-29 2001-05-01 Lam Research Corporation Method and apparatus for stabilizing the process temperature during chemical mechanical polishing
US6146242A (en) * 1999-06-11 2000-11-14 Strasbaugh, Inc. Optical view port for chemical mechanical planarization endpoint detection
US6264532B1 (en) * 2000-03-28 2001-07-24 Speedfam-Ipec Corporation Ultrasonic methods and apparatus for the in-situ detection of workpiece loss
US6375540B1 (en) 2000-06-30 2002-04-23 Lam Research Corporation End-point detection system for chemical mechanical posing applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000117626A (ja) * 1998-10-16 2000-04-25 Tokyo Seimitsu Co Ltd ウェーハ研磨装置及び研磨量検出方法

Also Published As

Publication number Publication date
CN1735480A (zh) 2006-02-15
WO2003082522A1 (en) 2003-10-09
JP2005522024A (ja) 2005-07-21
US6925348B2 (en) 2005-08-02
EP1487611A1 (en) 2004-12-22
US20050054268A1 (en) 2005-03-10
US6937915B1 (en) 2005-08-30
WO2003082522A8 (en) 2004-12-09
KR20040099383A (ko) 2004-11-26
CN100503169C (zh) 2009-06-24
TW200406284A (en) 2004-05-01
TWI258400B (en) 2006-07-21
AU2003220552A1 (en) 2003-10-13

Similar Documents

Publication Publication Date Title
KR101052649B1 (ko) 프로세스 상태와 제어를 위한 화학적 기계적 폴리싱에 있어서 웨이퍼 표면 특성의 변화를 검출하기 위한 장치 및 방법
KR100334203B1 (ko) 화학기계적연마장치용연마패드내의투명윈도우형성방법
KR100297515B1 (ko) 연마공정중에연마패드의마모를모니터하는방법및장치
US5910846A (en) Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JP4963908B2 (ja) ポリッシングパッド
US5663797A (en) Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
EP0738561B1 (en) Apparatus and method for in-situ endpoint detection and monitoring for chemical mechanical polishing operations
US7306506B2 (en) In-situ chemical-mechanical planarization pad metrology using ultrasonic imaging
TW491753B (en) In-situ method and apparatus for end point detection in chemical mechanical polishing
KR100827871B1 (ko) 화학 기계 폴리싱을 위한 인시츄 방식의 엔드포인트 검출및 공정 모니터링 방법 및 장치
KR101037490B1 (ko) 멀티-스텝 시퀀스에서의 금속 잔류물 검출 및 매핑용시스템 및 방법
US20130130413A1 (en) Apparatus and method for in-situ endpoint detection for semiconductor processing operations
JPH097985A6 (ja) ケミカルメカニカルポリシングの操作をインシチュウでモニタするための装置及び方法
US20050118839A1 (en) Chemical mechanical polish process control method using thermal imaging of polishing pad
TWI706457B (zh) 調節研磨墊的方法
JP2011249833A (ja) Cmpプロセス中のインシチュウ終点検出に用いるポリッシングパッド
US6108093A (en) Automated inspection system for residual metal after chemical-mechanical polishing
TWI833270B (zh) 化學機械拋光設備
US6561868B1 (en) System and method for controlling a polishing machine
JP2024509546A (ja) 化学機械研磨のための音響モニタリングおよびセンサ

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E90F Notification of reason for final refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140709

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150707

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee