KR100939593B1 - Method to minimize wet etch undercuts and provide pore sealing of extreme low k less than 2.5 dielectrics - Google Patents

Method to minimize wet etch undercuts and provide pore sealing of extreme low k less than 2.5 dielectrics Download PDF

Info

Publication number
KR100939593B1
KR100939593B1 KR1020070108170A KR20070108170A KR100939593B1 KR 100939593 B1 KR100939593 B1 KR 100939593B1 KR 1020070108170 A KR1020070108170 A KR 1020070108170A KR 20070108170 A KR20070108170 A KR 20070108170A KR 100939593 B1 KR100939593 B1 KR 100939593B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
layer
dielectric constant
low dielectric
carbon
Prior art date
Application number
KR1020070108170A
Other languages
Korean (ko)
Other versions
KR20080046087A (en
Inventor
휴이웬 수
메이-이 쉬크
리-쿤 시아
아미르 알-바야티
데렉 위티
히쳄 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/694,856 external-priority patent/US20070287301A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080046087A publication Critical patent/KR20080046087A/en
Application granted granted Critical
Publication of KR100939593B1 publication Critical patent/KR100939593B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

기판들상의 막들을 처리하는 방법이 제공된다. 일 실시예에서, 방법들은 실리콘, 탄소, 및 선택적으로 산소 및/또는 질소를 포함하는 얇은층을 상기 막상에 증착함으로써 상기 막으로부터 포토레지스트를 제거한 이후, 패턴화된 낮은 유전상수 막을 처리하는 단계를 포함한다. 얇은층은 상기 패턴화된 낮은 유전상수 막에 대해 고-탄소, 소수성 표면을 제공한다. 얇은층은 또한 후속하는 습식 세정 프로세스들로부터 낮은 유전상수 막을 보호하고, 낮은 유전상수 막상에 후속하여 증착되는층들에 대한 프리커서들의 침투로부터 낮은 유전상수 막을 보호한다.A method of treating films on substrates is provided. In one embodiment, the methods include treating a patterned low dielectric constant film after removing the photoresist from the film by depositing a thin layer comprising silicon, carbon, and optionally oxygen and / or nitrogen on the film. Include. The thin layer provides a high-carbon, hydrophobic surface for the patterned low dielectric constant film. The thin layer also protects the low dielectric constant film from subsequent wet clean processes and protects the low dielectric constant film from penetration of precursors to the layers subsequently deposited on the low dielectric constant film.

Description

습식 에칭 언더컷팅들을 최소화하고 k가 2.5 미만인 최저 k 유전체들의 공극 밀봉을 제공하는 방법{METHOD TO MINIMIZE WET ETCH UNDERCUTS AND PROVIDE PORE SEALING OF EXTREME LOW K LESS THAN 2.5 DIELECTRICS}METHOD TO MINIMIZE WET ETCH UNDERCUTS AND PROVIDE PORE SEALING OF EXTREME LOW K LESS THAN 2.5 DIELECTRICS

본 발명의 실시예들은 일반적으로 집적회로들의 제조에 관한 것이다. 보다 구체적으로는, 본 발명의 실시예들은 실리콘, 탄소, 및 선택적으로 산소 및/또는 질소를 포함하는 얇은층들을 낮은 유전상수층들상에 증착하기 위한 프로세스에 관한 것이다.Embodiments of the present invention generally relate to the manufacture of integrated circuits. More specifically, embodiments of the present invention relate to a process for depositing thin layers comprising silicon, carbon, and optionally oxygen and / or nitrogen on low dielectric constant layers.

집적회로 기하학적 구조들은 소자들이 수십년 전에 처음 소개된 이래로 크기가 현저하게 감소되었다. 그 이후, 집적회로들은 일반적으로 2년/절반-사이즈 규칙(종종 무어의 법칙이라 불림)을 따랐고, 이는 2년마다 칩상의 소자들의 수가 두배가 됨을 의미한다. 오늘날의 제조 설비들은 0.13㎛ 및 심지어 0.1㎛ 피쳐 크기들을 가진 소자들을 반복적으로 생산하고 있고, 차세대 설비들은 보다 더 작은 피쳐 크기들을 가진 소자들을 생산할 것이다.Integrated circuit geometries have been significantly reduced in size since devices were first introduced decades ago. Since then, integrated circuits have generally followed the two-year / half-size rule (often referred to as Moore's Law), which means that every two years, the number of devices on a chip doubles. Today's manufacturing facilities repeatedly produce devices with 0.13 μm and even 0.1 μm feature sizes, and next generation facilities will produce devices with smaller feature sizes.

소자 기하학적 구조들의 지속적인 감소는 인접한 금속 라인들간의 용량성 커플링이 집적회로들상의 소자들의 크기를 추가로 감소시키기 위해 감소되어야 하기 때문에 보다 낮은 유전상수(k)를 갖는층간 유전체 막들에 대한 요구를 발생시켰다. 특히, 약 4.0 미만의 낮은 유전상수들을 갖는 절연체들이 바람직하다.The continuous reduction in device geometries has led to the need for interlayer dielectric films with lower dielectric constants (k) because capacitive coupling between adjacent metal lines must be reduced to further reduce the size of devices on integrated circuits. Generated. In particular, insulators having low dielectric constants of less than about 4.0 are preferred.

보다 최근에는, 약 3.0 미만의 유전상수들을 갖는 낮은 유전상수 유기실리콘 막들이 개발되었다. 2.5 미만의 유전상수들을 갖는 최저(extreme low) k(ELK) 유기실리콘 막들도 개발되었다. 낮은 유전상수 및 최저 유전상수 유기실리콘 막들을 개발하는데 사용된 한가지 방법은 열적으로 불안정한 종 또는 휘발성 그룹들을 포함하는 탄화수소와 같은, 화합물 및 유기실리콘 화합물을 포함하는 가스 혼합물로부터 막들을 증착한 다음, 증착된 막들을 후-처리(post-treat)하여 유기 그룹들과 같은 열적으로 불안정한 종 또는 휘발성 그룹들을 증착된 막들로부터 제거하는 것이었다. 증착된 막들로부터 열적으로 불안정한 종 또는 휘발성 그룹들의 제거는 나노미터-크기의 보이들 또는 공극들을 막들에 생성하고, 이는 공기가 약 1의 유전상수를 갖기 때문에, 막들의 유전상수를 감소시킨다.More recently, low dielectric constant organosilicon films with dielectric constants of less than about 3.0 have been developed. Extreme low k (ELK) organosilicon films with dielectric constants of less than 2.5 have also been developed. One method used to develop low dielectric constant and lowest dielectric constant organosilicon films is to deposit films from a gas mixture comprising an organosilicon compound and a compound, such as a hydrocarbon containing thermally labile species or volatile groups, and then depositing The films were post-treatd to remove thermally labile species or volatile groups, such as organic groups, from the deposited films. Removal of thermally labile species or volatile groups from the deposited films creates nanometer-sized voids or voids in the films, which reduces the dielectric constant of the films, since air has a dielectric constant of about 1.

포토레지스트들 또는 저면 반사-방지 코팅들(BARC)을 제거하는 애싱(ashing) 프로세스들은 낮은 k 막들로부터 탄소를 고갈시킬 수 있고, 막들의 표면을 산화시킬 수 있다. 낮은 k 막들의 산화된 표면은 후속하는 습식(wet) 에칭 프로세스들 동안 제거되고, 언더커팅들과 임계 치수(CD) 손실을 초래한다.Ashing processes that remove photoresist or bottom anti-reflective coatings (BARC) can deplete carbon from low k films and oxidize the surface of the films. The oxidized surface of low k films is removed during subsequent wet etching processes, resulting in undercuts and critical dimension (CD) loss.

낮은 유전상수 막들의 공극률(porosity)은 BARC층들 또는 금속간 배리어층들(TaN 등)과 같은, 막들상에 후속층들의 증착에 사용되는 프리커서들의 침투(penetration)를 초래할 수 있다. 공극성 낮은 유전상수 막들로의 배리어층 프리커서들의 확산은 소자에서 전류 누출을 초래한다.Porosity of low dielectric constant films can result in penetration of precursors used in the deposition of subsequent layers on the films, such as BARC layers or intermetallic barrier layers (TaN, etc.). Diffusion of barrier layer precursors into low porosity dielectric films results in current leakage in the device.

따라서, 습식 에칭 프로세스들, 및 BARC층들과 배리어층들과 같은 후속층들의 증착과 같은, 후속 처리 단계들로부터 막들에 대한 손상을 최소화하는 낮은 유전상수 막들을 처리하는 방법이 필요하다.Thus, there is a need for a method of treating low dielectric constant films that minimizes damage to films from wet etching processes and subsequent processing steps, such as the deposition of subsequent layers such as BARC layers and barrier layers.

본 발명은 일반적으로 챔버의 기판상의 낮은 유전상수 막에 얇고 컨포멀한(conformal) 공극-밀봉 표면층을 증착하는 방법을 제공한다. 방법은 패턴화된 낮은 유전상수 막으로부터 포토레지스트를 제거하는 단계, 및 그 다음, 약 4Å 내지 약 100Å의 제어되는 두께를 갖는 얇고 컨포멀한층을 증착함으로써 임의의 종횡비 또는 비아 치수를 갖는 상기 패턴화된 낮은 유전상수 막을 처리하는 단계를 포함하고, 상기 컨포멀한층은 상기 패턴화된 낮은 유전상수 막의 표면상에 실리콘과 탄소 및 선택적으로 산소 및/또는 질소를 포함한다. 일 실시예에서, 상기층을 증착하는 단계는 낮은 레벨의 RF 전력의 존재에서 옥타메틸사이클로테트라실록산(octamethylcyclotetrasiloxane)을 반응시키는 단계를 포함한다. 포토레지스트의 애싱은 낮은 유전상수 막의 표면으로부터 탄소를 고갈시켜, 표면은 친수성(hydrophilic)이 된다. 공극 밀봉(pore sealing)층 표면은 애싱 이후 낮은 유전상수 막의 표면 탄소 농도를 복구시켜, 패턴화된 낮은 유전상수 막에 소수성(hydrophobic) 표면을 제공한다. 낮은 유전상수 막의 습식 에칭률은 그 표면이 소수성일 때 최소화된다. 상기층은 기판상에 수행될 수 있는 후속하는 습식 세정 프로세스들로부터 낮은 유전상수 막을 보호하고, 언더커트들과 CD 손실을 방지한다. 얇은층에 의해 제공되는 소수성 표면은 낮은 유전상수 막들로의 수분 흡수를 방지한다.The present invention generally provides a method of depositing a thin, conformal pore-sealing surface layer on a low dielectric constant film on a substrate of a chamber. The method includes removing the photoresist from the patterned low dielectric constant film, and then depositing a thin conformal layer having a controlled thickness of about 4 GPa to about 100 GPa by patterning the pattern with any aspect ratio or via dimension. Treating the low dielectric constant film, wherein the conformal layer comprises silicon and carbon and optionally oxygen and / or nitrogen on the surface of the patterned low dielectric constant film. In one embodiment, depositing the layer includes reacting octamethylcyclotetrasiloxane in the presence of low levels of RF power. Ashing of the photoresist depletes carbon from the surface of the low dielectric constant film, making the surface hydrophilic. The pore sealing layer surface restores the surface carbon concentration of the low dielectric constant film after ashing, providing a hydrophobic surface to the patterned low dielectric constant film. The wet etch rate of the low dielectric constant film is minimized when the surface is hydrophobic. The layer protects the low dielectric constant film from subsequent wet cleaning processes that can be performed on the substrate and prevents undercuts and CD loss. The hydrophobic surface provided by the thin layer prevents water absorption into the low dielectric constant films.

낮은 유전상수 막 표면은 산화되고 포토레지스트 애싱 이후 히드록실(OH) 그룹들을 함유한다. 표면은 수분을 흡수하고 유전상수를 크게 증가시킨다. 포토레지스트 애싱 이후 얇은층의 증착은 표면에 흡수된 수분을 방출시키고, 낮은 유전상수 막의 표면에서 OH 그룹들을 제거하므로, 낮은 유전상수를 복구시킨다. 얇은층의 증착은 추가적인 수분 흡수를 방지하는 소수성 밀봉층을 제공한다.The low dielectric film surface is oxidized and contains hydroxyl (OH) groups after photoresist ashing. The surface absorbs moisture and greatly increases the dielectric constant. The deposition of a thin layer after photoresist ashing releases the absorbed moisture on the surface and removes the OH groups from the surface of the low dielectric constant film, thus restoring the low dielectric constant. Lamination of the thin layer provides a hydrophobic sealing layer that prevents further moisture absorption.

얇고 컨포멀한층은 표면에 산화물(이를 테면, Cu/CuO 또는 Al/Al2O3)을 가진 금속 막들과 유전체 막들을 포함하며, 표면에서 OH, NH, 또는 NH2 그룹을 포함하는 패턴화된 막 또는 임의의 블랭킷상에, 수분 흡수 및 습식 화학제 에칭을 방지하는 보호층으로서, 또는 프리커서들 또는 화학제들의 침투를 방지하는 공극-밀봉층으로서 증착될 수 있다. 얇은층은 또한 표면에서 OH, NH, 또는 NH2 그룹들을 갖는 금속 막들 또는 다공성 유전체 막들에 대한 공극-밀봉층으로서 작용할 수 있다.The thin, conformal layer includes metal films and dielectric films with oxides (such as Cu / CuO or Al / Al 2 O 3 ) on the surface, and patterned surfaces containing OH, NH, or NH 2 groups On the film or any blanket, it may be deposited as a protective layer to prevent moisture absorption and wet chemical etching, or as a pore-sealing layer to prevent penetration of precursors or chemicals. The thin layer can also serve as a pore-sealing layer for metal films or porous dielectric films having OH, NH, or NH 2 groups at the surface.

본 발명의 상기 인용된 특징들이 상세히 이해될 수 있는 방식으로, 상기에서 간략히 요약된 본 발명의 보다 상세한 설명이 실시예들을 참조로 이루어질 수 있으며, 그 일부는 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로 간주되어서는 안되며, 본 발명은 다른 동일한 효과적인 실시예들에 적용될 수 있다는 것을 유의해야 한다.In a manner in which the above-cited features of the present invention can be understood in detail, a more detailed description of the invention briefly summarized above can be made with reference to the embodiments, some of which are shown in the accompanying drawings. However, it is to be noted that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may be applicable to other equally effective embodiments.

본 발명의 실시예들은 실리콘, 탄소, 및 선택적으로 산소 및/또는 질소를 포함하는 얇고 컨포멀한층을 패턴화된 기판상에 증착하는 방법을 제공한다. 일 특징으로서, 본 발명의 실시예들은 낮은 유전상수 막을 패턴화하는데 사용된 포토레지스트가 막으로부터 제거된 이후, 패턴화된 낮은 유전상수 막을 보호하는 방법을 제공한다. 다른 특징들로서, 본 발명의 실시예들은 상호접속부의 금속 라인의 임계 치수를 제어하는 방법을 제공하고, 약 4Å 내지 약 100Å로 증착된층의 두께를 제어하는 방법을 제공한다.Embodiments of the present invention provide a method of depositing a thin conformal layer on a patterned substrate comprising silicon, carbon, and optionally oxygen and / or nitrogen. In one aspect, embodiments of the present invention provide a method of protecting a patterned low dielectric constant film after the photoresist used to pattern the low dielectric constant film is removed from the film. In other aspects, embodiments of the present invention provide a method for controlling the critical dimension of a metal line of an interconnect, and a method for controlling the thickness of a deposited layer from about 4 kV to about 100 kV.

일 실시예에서, 기판상의 낮은 유전상수 막은 포토레지스트와 포토리소그래피를 이용하여 패턴화되어 수직 상호접속 또는 수평 상호접속 개구를 그 내부에 형성한다. 낮은 유전상수 막은 실리콘, 탄소, 및 선택적으로 산소 및/또는 질소를 포함하는 막일 수 있다. 낮은 유전상수 막은 유기실란 또는 유기실록산과 같은 유기실리콘 화합물을 포함하는 가스 혼합물로부터 증착될 수 있다. 가스 혼합물은 또한 산화 가스를 포함할 수 있다. 일 실시예에서, 가스 혼합물은 막이 증착되어 막에 보이드들 또는 공극들이 생성되고 막의 유전상수가 낮아진 이후 막으로부터 제거되는 탄화수소와 같은, 유기실리콘 화합물 및 포로겐(porogen)을 포함한다. 포로겐은 UV 처리, 전자빔 처리, 열 처리, 또는 이들의 조합에 의해 제거될 수 있다. 다공성 낮은 유전상수 막들을 형성하는 방법은 공동 출원된 미국특허 제6,936,551호 및 공동 출원된 미국특허 제7,060,330호에서 추가로 기술되고, 이들은 참조로 본 발명에 포함된다. 다른 조성물들을 갖거나 및/또는 상이한 가스 혼합물들로부터 증착되는 낮은 유전상수 막들이 본 발명의 실시예들에 사용될 수 있다는 것을 유의한다.In one embodiment, the low dielectric constant film on the substrate is patterned using photoresist and photolithography to form vertical interconnects or horizontal interconnect openings therein. The low dielectric constant film can be a film comprising silicon, carbon, and optionally oxygen and / or nitrogen. Low dielectric constant films may be deposited from gas mixtures comprising organosilicon compounds such as organosilanes or organosiloxanes. The gas mixture may also include an oxidizing gas. In one embodiment, the gas mixture includes organosilicon compounds and porogens, such as hydrocarbons that are removed from the film after the film is deposited to form voids or voids in the film and the dielectric constant of the film is lowered. Porogens can be removed by UV treatment, electron beam treatment, heat treatment, or a combination thereof. Methods of forming porous low dielectric constant films are further described in co-pending US Pat. No. 6,936,551 and co-applying US Pat. No. 7,060,330, which are incorporated herein by reference. Note that low dielectric constant films having other compositions and / or deposited from different gas mixtures can be used in embodiments of the present invention.

또한, 표면에서 OH, NH, 또는 NH2 그룹들을 함유한 임의의 막들과 같이, 낮은 유전상수 막들 이외의 막들이 실시예들에 사용될 수 있다는 것을 유의한다. 일반적으로, 사용될 수 있는 막들은 상부에 실리콘, 탄소, 및 선택적으로 산소 및/또는 질소를 포함하는 박막의 선택적 증착을 허용하는 고-산소(oxygen-rich) 또는 고-질소(nitrogen-rich) 표면을 갖는다. 본 발명에서 규정되는 것처럼, 고-산소 표면은 약 1:1 내지 약 1:3의 Si:O(실리콘:산소) 비율을 갖는다. 본 발명에서 규정되는 것처럼, 고-질소 표면은 약 1:1 내지 약 1:2의 Si:N(실리콘:질소) 비율을 갖는다.It is also noted that films other than low dielectric constant films may be used in the embodiments, such as any films containing OH, NH, or NH 2 groups at the surface. In general, films that can be used are oxygen-rich or nitrogen-rich surfaces that allow selective deposition of thin films comprising silicon, carbon, and optionally oxygen and / or nitrogen thereon. Has As defined herein, the high-oxygen surface has a Si: O (silicon: oxygen) ratio of about 1: 1 to about 1: 3. As defined herein, the high-nitrogen surface has a Si: N (silicon: nitrogen) ratio of about 1: 1 to about 1: 2.

막들이 고-산소 또는 고-질소 표면상에 증착될 수 있지만, 막들은 전형적으로 고-탄소 표면들상에서 성장하지 않고, 이에 따라 고-산소 또는 고-질소 표면들상에 막들의 증착은 선택적인 증착 프로세스들로서 기술될 수 있다.Although the films can be deposited on high-oxygen or high-nitrogen surfaces, the films typically do not grow on high-carbon surfaces, so deposition of films on high-oxygen or high-nitrogen surfaces is selective. It may be described as deposition processes.

옥타메틸사이클로테트라실록산(OMCTS)은 본 발명에서 기술되는 얇은층들을 증착시키는데 사용될 수 있는 프리커서의 일 예이다. 옥타메틸사이클로테트라실록산과 더불어, 디메틸디메톡시실란 (CH3)2-Si-(O-CH3)2와 같은 일반식 Rx-Si-(OR')y를 갖는 프리커서들이 적절한 프로세스 윈도우를 가진 얇고 컨포멀한층을 증착시키는데 사용될 수도 있으며, 여기서 각각 R= H, CH3, CH2CH3, 또는 다른 알킬 그룹이고, 각각 R'= CH3, CH2CH3 또는 다른 알킬 그룹이며, x는 0 내지 4이고, y는 0 내지 4이며, x+y는 4이다. 사용될 수 있는 다른 프리커서들은 1,3-디메틸디실록산 (CH3-SiH2-O-SiH2-CH3),1,1,3,3-테트라메틸디실록산 ((CH3)2-SiH-O-SiH-(CH3)2), 헥사메틸디실록산 ((CH3)3-Si-O-Si-(CH3)3) 등과 같이, 구조 (RX-Si-O-Si-RY)Z를 갖는 유기디실록산들을 포함한다. 사용될 수 있는 다른 프리커서들은 사이클릭 유기실록산들 (RX-Si-O)Y를 포함하고, 여기서 y는 2보다 더 크고, x는 1 내지 2이며, RX= CH3, CH2CH3, 또는 다른 알킬 그룹이다. 사용될 수 있는 사이클릭 유기실리콘 화합물들은 3개 이상의 실리콘 원자들을 갖는 링 구조를 포함할 수 있고, 링 구조는 하나 이상의 산소 원자들을 추가로 포함할 수 있다. 상업적으로 이용가능한 사이클릭 유기실리콘 화합물들은 실리콘 원자들에 결합된 하나 또는 2개의 알킬 그룹들을 가진 교번하는(alternating) 실리콘 및 산소 원자들을 갖는 링들을 포함한다. 예를 들어, 사이클릭 유기실리콘 화합물들은 이하의 화합물들 중 하나 이상을 포함할 수 있다:Octamethylcyclotetrasiloxane (OMCTS) is an example of a precursor that can be used to deposit the thin layers described herein. In addition to octamethylcyclotetrasiloxane, precursors having the general formula R x -Si- (OR ') y , such as dimethyldimethoxysilane (CH 3 ) 2 -Si- (O-CH 3 ) 2 , provide suitable process windows. And may be used to deposit thin and conformal layers having R = H, CH 3 , CH 2 CH 3 , or other alkyl groups, respectively, and R '= CH 3 , CH 2 CH 3 or other alkyl groups, x Is 0 to 4, y is 0 to 4, and x + y is 4. Other precursors that may be used include 1,3-dimethyldisiloxane (CH 3 -SiH 2 -O-SiH 2 -CH 3 ), 1,1,3,3-tetramethyldisiloxane ((CH 3 ) 2 -SiH -O-SiH- (CH 3 ) 2 ), hexamethyldisiloxane ((CH 3 ) 3 -Si-O-Si- (CH 3 ) 3 ), etc., the structure (R X -Si-O-Si-R Y ) organodisiloxanes having Z. Other precursors that may be used include cyclic organosiloxanes (R X -Si-O) Y , where y is greater than 2, x is 1 to 2, and R X = CH 3 , CH 2 CH 3 Or other alkyl group. Cyclic organosilicon compounds that can be used can include a ring structure having three or more silicon atoms, and the ring structure can further include one or more oxygen atoms. Commercially available cyclic organosilicon compounds include rings having alternating silicon and oxygen atoms with one or two alkyl groups bonded to silicon atoms. For example, the cyclic organosilicon compounds may comprise one or more of the following compounds:

헥사메틸사이클로트리실록산 (-Si(CH3)2-O-)3- 사이클릭,Hexamethylcyclotrisiloxane (-Si (CH 3 ) 2 -O-) 3 -cyclic,

1,3,5,7-테트라메틸사이클로테트라실록산 (TMCTS) (-SiH(CH3)-O-)4- 사이클릭,1,3,5,7-tetramethylcyclotetrasiloxane (TMCTS) (-SiH (CH 3 ) -O-) 4 -cyclic,

옥타메틸사이클로테트라실록산 (OMCTS) (-Si(CH3)2-O-)4- 사이클릭, 및Octamethylcyclotetrasiloxane (OMCTS) (-Si (CH 3 ) 2 -O-) 4 -cyclic, and

1,3,5,7,9-펜타메틸사이클로펜타실록산 (-SiH(CH3)-O-)5- 사이클릭.1,3,5,7,9-pentamethylcyclopentasiloxane (-SiH (CH 3 ) -0-) 5 -cyclic.

얇은층은 실리콘, 탄소, 및 선택적으로 산소를 포함한다. 다른 실시예에서, 프리커서는 실리콘, 질소, 및 선택적으로 탄소를 포함하는 얇고 컨포멀한층을 증착하는데 사용되는 실리콘 및 질소-함유 프리커서일 수 있다. 프리커서는 선형 실라제인들(linear silazanes)과 사이클릭 실라제인들을 포함할 수 있다. 선형 실라제인들은 R-Si-NH-Si-R' 구조를 포함할 수 있으며, 여기서 R= CH3, CH2CH3, 또는 다른 알킬 그룹이고, R'= H, CH3, CH2CH3, 또는 다른 알킬 그룹이다. 사이클릭 실라제인들은 (RX-Si-NH)Y 구조를 포함할 수 있으며, 여기서 y는 2보다 더 크고, x는 1 내지 2이며, RX= CH3, CH2CH3, 또는 다른 알킬 그룹이다. 사이클릭 실라제인 화합물들은 3개 이상의 실리콘 원자들을 갖는 링 구조를 포함할 수 있고, 링 구조는 하나 이상의 질소 원자들을 추가로 포함할 수 있다. 상업적으로 이용가능한 사이클릭 실라제인 화합물들은 실리콘 원자들에 결합된 하나 또는 2개의 알킬 그룹들을 가진 교번하는 실리콘 및 질소 원자들을 갖는 링들을 포함한다. 예를 들어, 사이클릭 실라제인 화합물들은 다음을 포함할 수 있다:The thin layer comprises silicon, carbon, and optionally oxygen. In other embodiments, the precursor may be a silicon and nitrogen-containing precursor used to deposit a thin, conformal layer comprising silicon, nitrogen, and optionally carbon. Precursors can include linear silazanes and cyclic silazanes. Linear silazanes may comprise a structure R—Si—NH—Si—R ′, wherein R = CH 3 , CH 2 CH 3 , or another alkyl group, and R ′ = H, CH 3 , CH 2 CH 3 Or other alkyl group. Cyclic silazines can include a (R X -Si-NH) Y structure, where y is greater than 2, x is 1 to 2, and R X = CH 3 , CH 2 CH 3 , or other alkyl Group. Compounds that are cyclic silases may include a ring structure having three or more silicon atoms, and the ring structure may further include one or more nitrogen atoms. Commercially available cyclic silases compounds include rings with alternating silicon and nitrogen atoms with one or two alkyl groups bonded to silicon atoms. For example, compounds that are cyclic silases can include:

1,2,3,4,5,6,7,8-옥타메틸사이클로테트라실라제인,1,2,3,4,5,6,7,8-octamethylcyclotetrasilase,

1,2,3,4,5,6-헥사메틸사이클로트리실라제인,1,2,3,4,5,6-hexamethylcyclotrisilase,

1,1,3,3,5,5-헥사메틸사이클로트리실라제인, 및1,1,3,3,5,5-hexamethylcyclotrisilase, and

1,1,3,3,5,5,7,7-옥타메틸사이클로테트라실라제인.1,1,3,3,5,5,7,7-octamethylcyclotetrasilase.

도 1a는 기판(100)상의 낮은 유전상수 막(102)의 일 예를 도시한다. 도 1b는 낮은 유전상수 막(102)상의 패턴화된 포토레지스트(104)를 도시한다.1A shows an example of a low dielectric constant film 102 on a substrate 100. 1B shows patterned photoresist 104 on low dielectric constant film 102.

그 다음, 포토레지스트는 예를 들어, 스트립핑(stripping) 또는 애싱에 의해 낮은 유전상수 막으로부터 제거된다. 도 1c는 상호접속부(106)를 형성하기 위해 포토레지스트(104)에 의해 패턴화되고 포토레지스트가 제거된 이후, 낮은 유전상수 막(102)을 도시한다. 그 다음, 실리콘, 탄소, 및 선택적으로 산소 및/또는 질소를 포함하는 얇고 컨포멀한층(108), 즉 약 4Å 내지 약 100Å의 두께를 갖는층이 도 1d에 도시된 것처럼, 패턴화된 낮은 유전상수 막의 표면상에 증착된다. 층은 RF 전력의 존재에서, 실리콘, 산소, 및 탄소를 포함하는 가스 혼합물과 같은 가스 혼합물을 반응시킴으로써 증착될 수 있다. 옥타메틸사이클로테트라실록산과 같은 유기실리콘 화합물에 의해 실리콘, 산소, 및 탄소가 제공될 수 있다. 유기실리콘 화합물은 전형적으로 캐리어 가스를 통해 챔버로 유입된다. 바람직하게는, 캐리어 가스는 헬륨이다. 그러나, 아르곤 또는 질소와 같은 다른 불활성 가스들이 사용될 수 있다.The photoresist is then removed from the low dielectric film by, for example, stripping or ashing. 1C shows the low dielectric constant film 102 after patterning by the photoresist 104 and removing the photoresist to form the interconnect 106. Next, a thin, conformal layer 108 comprising silicon, carbon, and optionally oxygen and / or nitrogen, ie, a layer having a thickness of about 4 GPa to about 100 GPa, is patterned low dielectric as shown in FIG. 1D. It is deposited on the surface of the constant film. The layer may be deposited by reacting a gas mixture, such as a gas mixture comprising silicon, oxygen, and carbon, in the presence of RF power. Silicon, oxygen, and carbon may be provided by organosilicon compounds such as octamethylcyclotetrasiloxane. The organosilicon compound is typically introduced into the chamber via a carrier gas. Preferably, the carrier gas is helium. However, other inert gases such as argon or nitrogen can be used.

층이 증착된 이후, 기판은 예를 들어, 100:1 HF 용액을 통해 습식 세정될 수 있다. 그 다음, 도 1e에 도시된 것처럼, 예를 들어 ALD 알루미늄 질화물(TaN)층과 같이, PVD 배리어층 또는 ALD 배리어층과 같은층(110)이 상기층에 증착될 수 있다. 선택적으로, 도 1f에 도시된 것처럼, 저면 반사-방지 코팅(BARC)층(120)과 같은층이층(108)상에 증착되고 상호접속부(106)를 충진시킬 수 있다.After the layer is deposited, the substrate can be wet cleaned, for example, via a 100: 1 HF solution. Then, as shown in FIG. 1E, a layer 110, such as a PVD barrier layer or an ALD barrier layer, for example, such as an ALD aluminum nitride (TaN) layer, may be deposited on the layer. Optionally, as shown in FIG. 1F, a layer, such as a bottom anti-reflective coating (BARC) layer 120, may be deposited on layer 108 and fill interconnect 106.

실리콘, 탄소, 및 선택적으로 산소 및/또는 질소를 포함하는층은 화학적 기상 증착 챔버 또는 플라즈마 강화 화학적 기상 증착 챔버에서 RF 전력의 존재하에 유기실리콘 화합물을 포함하는 가스 혼합물을 반응시킴으로써 증착될 수 있다. 층을 증착하는데 사용될 수 있는 챔버들의 예들은 2개의 고립된 처리 영역들을 가진 PRODUCER® 챔버 및 DxZ® 챔버를 포함하고, 이 둘은 캘리포니아, 산타클레라의 어플라이드 머티어리얼스 사로부터 이용가능하다. 본 발명에서 제공되는 처리 조건들은 2개의 고립된 처리 영역들을 가진 300mm PRODUCER® 챔버에 제공된다. 따라서, 기판과 각각의 기판 처리 영역당 제공되는 유량들은 챔버로의 유량들의 절반이다.The layer comprising silicon, carbon, and optionally oxygen and / or nitrogen may be deposited by reacting a gas mixture comprising an organosilicon compound in the presence of RF power in a chemical vapor deposition chamber or a plasma enhanced chemical vapor deposition chamber. Examples of chambers that can be used to deposit a layer include a PRODUCER® chamber and a DxZ® chamber with two isolated processing regions, both available from Applied Materials, Inc. of Santa Clara, California. The processing conditions provided in the present invention are provided in a 300 mm PRODUCER® chamber with two isolated processing areas. Thus, the flow rates provided per substrate and each substrate processing region are half of the flow rates into the chamber.

챔버에서 기판상의층의 증착 동안, 기판은 전형적으로 약 150℃ 내지 약 400℃의 온도에서 유지된다. 300mm 기판에 대해, 약 30W 내지 약 75W와 같은 약 100W 이하의 전력 레벨에서 RF 전력이 제공된다. 일반적으로, RF 전력은 약 0.033 W/cm2 내지 약 0.082 W/cm2 과 같이, 약 0.109 W/cm2 이하로 제공될 수 있다. RF 전력은 샤워헤드, 즉 가스 분배 어셈블리 및/또는 챔버의 기판 지지체에 제공될 수 있다. RF 전력은 약 13 MHz 내지 14 MHz, 바람직하게는 약 13.56 MHz의 고주파수에서 제공된다. RF 전력은 주기적이거나 펄스형태일 수 있다. RF 전력은 연속적 또는 비연속적일 수도 있다. 샤워헤드와 기판 지지체 사이의 간격은 약 200mils 내지 약 400mils와 같이, 약 200mils보다 더 크다. 챔버의 압력은 약 1.5 Torr 내지 약 8 Torr와 같이, 약 1.5 Torr 이상이다.During deposition of the layer on the substrate in the chamber, the substrate is typically maintained at a temperature of about 150 ° C to about 400 ° C. For 300 mm substrates, RF power is provided at a power level of about 100W or less, such as about 30W to about 75W. In general, RF power may be provided at about 0.109 W / cm 2 or less, such as about 0.033 W / cm 2 to about 0.082 W / cm 2 . RF power may be provided to the showerhead, ie, the substrate support of the gas distribution assembly and / or chamber. RF power is provided at a high frequency of about 13 to 14 MHz, preferably about 13.56 MHz. RF power may be periodic or pulsed. RF power may be continuous or discontinuous. The spacing between the showerhead and the substrate support is greater than about 200 mils, such as about 200 mils to about 400 mils. The pressure in the chamber is at least about 1.5 Torr, such as from about 1.5 Torr to about 8 Torr.

유기실리콘 화합물은 약 100sccm 내지 약 1000sccm의 유량에서 챔버로 유입될 수 있다. 캐리어 가스는 약 100sccm 내지 약 7,000sccm의 유량에서 챔버로 유입될 수 있다. 챔버로 유입되는, 예를 들어 옥타메틸사이클로테트라실록산(OMCTS, sccm)과 같은 유기실리콘 화합물의 유량 대 예를 들어 헬륨(sccm)과 같은 캐리어 가스의 유량의 비율은 약 0.1 이상이다. 층은 약 4Å 내지 약 100Å의 두께로층을 증착하기 위해, 패턴화된 구조물의 종횡비에 따라, 약 0.1초 내지 약 600초와 같은 시간 주기 동안 증착될 수 있다. 전형적으로,층은 보다 높은 종횡비들이 컨포멀한 표면을 제공하기 위해 사용될 때 더 긴 시간 주기 동안 증착된다.The organosilicon compound may be introduced into the chamber at a flow rate of about 100 sccm to about 1000 sccm. Carrier gas may enter the chamber at a flow rate of about 100 sccm to about 7,000 sccm. The ratio of the flow rate of the organosilicon compound, such as, for example, octamethylcyclotetrasiloxane (OMCTS, sccm) to the chamber, to the flow rate of the carrier gas, for example helium (sccm), is at least about 0.1. The layer may be deposited for a period of time, such as from about 0.1 seconds to about 600 seconds, depending on the aspect ratio of the patterned structure, to deposit the layer to a thickness of about 4 ms to about 100 ms. Typically, layers are deposited for longer periods of time when higher aspect ratios are used to provide a conformal surface.

자체-포화(self-saturating) 유기실리콘 화합물이층을 증착시키기 위한 프리커서로서 사용될 때, 전술한 RF 전력 레벨들, 간격, 압력, 및 유량 비율들을 이용하여, 단지 약 4Å 내지 약 100Å의 두께를 갖는 얇고 균일하고 컨포멀한층이 신뢰성있게 증착될 수 있다는 것이 발견되었다. 단일의 300mm 기판내에서 1Å 두께 범위의층이 본 발명에서 제공된 조건들을 이용하여 획득되었다. 본 발명에서 규정되는 바와 같이, "자체-포화 프리커서"는 예를 들어, 기판상에 증착 시간 길이를 무시하는 프리커서의 단지 하나의 분자층과 같이, 하나의 얇은층을 증착시키는 프리커서이다. 상이한 프리커서들이 상이한 분자 크기들을 갖고, 상이한 프리커서들에 대한 하나의 분자층에 대해 상이한 두께들을 초래하기 때문에, 프리커서의 선택에 의해 두께가 제어될 수 있다. 얇은층의 존재는 얇은층을 증착하는데 사용되는 처리 조건들 하에서 프리커서로부터 부가적인층들의 추가적인 증착을 방지한다. 일반적으로, 자체-포화 프리커서는 얇은층의 지속적인 성장을 억제하도록 선택된 메틸 그룹을 포함할 수 있다. 메틸 그룹들의 탄소는 상부에 추가적 증착을 실질적으로 방지하는 고-탄소 막 표면을 제공하기 때문에, OMCTS는층의 자체-포화 증착을 초래하는 다수의 메틸 그룹들을 포함함으로써 바람직한 자체-포화 프리커서이다. 즉, 하부에 놓이는 기판의 표면이 OMCTS 분자들로 커버되자마자, 증착된층의 표면에서 Si-CH3 결합들의 존재가 몇몇 메틸 그룹들이층의 몇몇 다른 처리에 의해 제거될 때까지 추가적인 증착을 방지하는 고-탄소 표면을 제공하기 때문에, 컨포멀한 제 1층이 OMCTS로부터 증착될 수 있다. 따라서, OMCTS의 각 분자층의 증착은 양호하게 제어될 수 있고, 최종층의 스텝 커버리지를 향상시킨다.When a self-saturating organosilicon compound is used as a precursor for depositing a layer, using the aforementioned RF power levels, spacing, pressure, and flow rate ratios, a thickness of only about 4 kPa to about 100 kPa can be obtained. It has been found that a thin, uniform and conformal layer having withdrawn can be deposited reliably. Layers in the 1 mm thickness range in a single 300 mm substrate were obtained using the conditions provided in the present invention. As defined herein, a "self-saturated precursor" is a precursor that deposits one thin layer, such as only one molecular layer of the precursor that ignores the deposition time length on the substrate. . Since different precursors have different molecular sizes and result in different thicknesses for one molecular layer for different precursors, the thickness can be controlled by the choice of precursor. The presence of the thin layer prevents further deposition of additional layers from the precursor under the processing conditions used to deposit the thin layer. In general, self-saturating precursors may include a methyl group selected to inhibit sustained growth of the thin layer. Since the carbon of methyl groups provides a high-carbon film surface that substantially prevents further deposition on top, OMCTS is a preferred self-saturated precursor by including multiple methyl groups resulting in self-saturated deposition of the layer. That is, as soon as the surface of the underlying substrate is covered with OMCTS molecules, the presence of Si—CH 3 bonds at the surface of the deposited layer prevents further deposition until some methyl groups are removed by some other treatment of the layer. As it provides a high-carbon surface, a conformal first layer can be deposited from the OMCTS. Thus, the deposition of each molecular layer of the OMCTS can be controlled well, improving the step coverage of the final layer.

옥타메틸사이클로테트라실록산 이외에, 사용될 수 있는 프리커서들은 디에톡시메틸실란(DEMS), 헥사메틸디실록산(HMDOS), 및 헥사메틸디실란(HMDS)을 포함한다. 트리메틸실란, 테트라메틸실란 등과 같이, Si, C, 및 H를 포함하는 다른 프리커서들이 프로세스에 사용될 수 있다.In addition to octamethylcyclotetrasiloxane, precursors that can be used include diethoxymethylsilane (DEMS), hexamethyldisiloxane (HMDOS), and hexamethyldisilane (HMDS). Other precursors including Si, C, and H may be used in the process, such as trimethylsilane, tetramethylsilane, and the like.

X-선 광전자 현미경(XPS) 분석이 애싱 프로세스에 노출되지 않았던 낮은 유전상수 막들, 및 포토레지스트 애싱에 노출되지 않았던 낮은 유전상수 막들에 수행된다. XPS 분석은 또한 포토레지스트 애싱에 노출된 다음 그 상부에 얇은층을 증착함으로써 처리된 낮은 유전상수 막들에 수행되며, 얇은층은 본 발명의 실시예들에 따라 OMCTS로부터 증착되고 실리콘, 탄소, 및 산소를 포함한다. XPS 분석은 애싱된 낮은 유전상수 막들상에 얇은층을 증착하는 것이 그 상부에 얇은층을 증착함으로써 처리되지 않은 낮은 유전상수 막들에 비해 그러한 막들의 표면에서 더 높은 탄소 함량(원자%의 탄소)을 제공한다는 것을 보여준다. 예를 들어, 애싱된 낮은 유전상수 막들은 약 3원자%의 탄소를 가질 수 있는 반면에, 애싱된 낮은 유전상수 막들상의 얇은층은 표면에서 약 15원자% 탄소를 제공한다. 따라서, 일 실시예에서, 얇은층은 고-탄소층이다. 얇은층은 약 5원자% 내지 약 30원자%의 탄소 함량을 가질 수 있다. 애싱은 낮은 유전상수 막의 표면에서 탄소 농도를 고갈시키는 반면에, 애싱된 낮은 유전상수 막상에 얇은층을 증착하는 것은 표면 탄소 농도를 복구시킨다.X-ray photoelectron microscopy (XPS) analysis is performed on low dielectric constant films that were not exposed to the ashing process and low dielectric constant films that were not exposed to photoresist ashing. XPS analysis is also performed on low dielectric constant films that have been exposed to photoresist ashing and then treated by depositing a thin layer thereon, the thin layer being deposited from OMCTS in accordance with embodiments of the present invention and deposited on silicon, carbon, and oxygen It includes. XPS analysis shows that depositing a thin layer on ashed low dielectric constant films results in a higher carbon content (atomic% carbon) at the surface of those films compared to low dielectric constant films that are not treated by depositing a thin layer thereon. To show. For example, ashed low dielectric constant films may have about 3 atomic percent carbon, while a thin layer on ashed low dielectric constant films provides about 15 atomic percent carbon at the surface. Thus, in one embodiment, the thin layer is a high-carbon layer. The thin layer may have a carbon content of about 5 atomic% to about 30 atomic%. Ashing depletes the carbon concentration at the surface of the low dielectric constant film, while depositing a thin layer on the ashed low dielectric constant film restores the surface carbon concentration.

XPS 분석은 또한 애싱된 막들의 표면에서 OH 그룹들이 탄소를 포함하는 얇은층으로 대체되기 때문에, 얇은층으로 처리된 낮은 유전상수 막들의 표면에서 산소 함량이 애싱 이후 얇은층으로 처리되지 않은 낮은 유전상수 막들의 표면에서 산소 함량보다 더 낮다는 것을 보여준다. 또한, 애싱된 막들의 표면에서 OH 그룹들을 탄소를 포함하는 얇은층으로 대체하는 것은 애싱된 막들의 유전상수를 낮춘다. 도 2는 낮은 유전상수 막들상에서 OMCTS를 이용하여 얇은층을 증착하는 것이 3개의 상이한 애싱 프로세스들 중 하나로 처리되는 막들의 후-애싱(post-ashing) 유전상수를 감소시킨다는 것을 보여준다.The XPS analysis also shows that the low dielectric constant in which the oxygen content is not treated in the thin layer after ashing because the OH groups are replaced by a thin layer containing carbon at the surface of the ashed membranes. It is lower than the oxygen content at the surface of the films. In addition, replacing OH groups with a thin layer containing carbon at the surface of the ashed films lowers the dielectric constant of the ashed films. FIG. 2 shows that depositing a thin layer using OMCTS on low dielectric constant films reduces the post-ashing dielectric constant of films treated in one of three different ashing processes.

낮은 유전상수 막들 전-애싱 및 후-애싱(도 3에서 각각, ELK ILD, 즉 최저 k층간 유전체, 및 애싱된 ELK ILD)에 대한 습윤 각도, 및 낮은 유전상수 막들 후-애싱 및 그 상부에 얇은 OMCTS층을 구비하는 것(도 3에 OMCTS 증착에 의한 애싱된 ELK ILD)에 대한 습윤 각도가 측정된다. 그 결과들은 도 3에 도시된다. 도 3에 도시된 것처럼, 낮은 유전상수 막들 후-애싱에 얇은 OMCTS층을 증착하는 것은 낮은 유전상수 막들의 습윤 각도를 증가시켰다. 증가된 습윤 각도는 얇은 OMCTS층이 낮은 유전상수 막들의 표면들의 소수성을 증가시켰음을 나타낸다. 소수성의 그러한 증가는 소수성 표면이 막 성능에 영향을 미치거나 적어도 수분을 제거하기 위해 시간 소모적인 단계들의 필요성을 초래할 수 있는 낮은 유전상수 막들로의 수분 흡수를 방지하기 때문에 바람직하다. Wetting angle for low dielectric constant films pre-ashing and post-ashing (ELK ILD, ie lowest k interlayer dielectric, and ashed ELK ILD in FIG. 3, respectively), and low dielectric constant films post-ashing and thin on top The wetting angle for having an OMCTS layer (ashed ELK ILD by OMCTS deposition in FIG. 3) is measured. The results are shown in FIG. As shown in FIG. 3, depositing a thin OMCTS layer on low dielectric constant films after-ashing increased the wetting angle of the low dielectric constant films. Increased wetting angle indicates that the thin OMCTS layer increased the hydrophobicity of the surfaces of low dielectric constant films. Such an increase in hydrophobicity is desirable because the hydrophobic surface prevents water absorption into low dielectric constant films that can affect membrane performance or at least result in the need for time consuming steps to remove moisture.

또한, 후 애싱 습식 세정 이후 상호접속부들의 프로파일 상에 얇고 컨포멀한 OMCTS층의 증착 효과가 검사되었다. 막들이 습식 세정 프로세스에서 100:1 HF 용액에서 딥핑된 이후, 그 상부에 얇은 OMCTS층이 있는 것과 없는 것의 낮은 유전상수 막들에서, 고밀도들의 트랜치들과 저밀도들의 트랜치들을 갖는 영역들의 트랜치 프로파일들이 검사되었다. In addition, the deposition effect of the thin conformal OMCTS layer on the profile of the interconnects after post ashing wet cleaning was examined. After the films were dipped in 100: 1 HF solution in a wet clean process, trench profiles of regions with high density trenches and low density trenches were examined in low dielectric constant films with and without a thin OMCTS layer thereon. .

도 4a-4c는 고밀도의 트랜치들을 갖는 영역들의 트랜치 프로파일들을 나타낸다. 도 4a는 애싱 이후 및 습식 세정 이후 트랜치 프로파일을 나타낸다. 도 4b 및 도 4c는 각각, 그 상부에 얇은 OMCTS층이 있는 것과 없는 것의 낮은 유전상수 막들에 대해, 애싱 이후 및 습식 세정 이후 트랜치 프로파일을 나타낸다. 도 4b는 습식 세정이 상부에 얇은 OMCTS층이 없는 낮은 유전상수 막의 트랜치들에 대해 약 30nm의 임계 치수 손실을 초래한다는 것을 보여준다. 도 4c는 낮은 유전상수 막이 습식 세정 이전에 상부에 증착되는 얇은 OMCTS층을 가질 때 그러한 CD 손실이 관찰되지 않음을 보여준다.4A-4C show trench profiles of regions with high density trenches. 4A shows the trench profile after ashing and after wet clean. 4B and 4C show trench profiles after ashing and after wet cleaning, for low dielectric constant films with and without a thin OMCTS layer thereon, respectively. 4B shows that wet cleaning results in a critical dimension loss of about 30 nm for trenches in low dielectric constant films without a thin OMCTS layer on top. 4C shows that no CD loss is observed when the low dielectric constant film has a thin OMCTS layer deposited over it prior to wet cleaning.

도 5a-5c는 저밀도의 트랜치들을 갖는 영역들의 트랜치 프로파일들을 나타낸다. 도 5a는 애싱 이후 및 습식 세정 이전 트랜치 프로파일을 나타낸다. 도 5b 및 도 5c는 각각, 그 상부에 얇은 OMCTS층을 갖는 것과 없는 것의 낮은 유전상수 막들에 대해, 애싱 이후 및 습식 세정 이후 트랜치 프로파일을 나타낸다. 도 5b는 습식 세정이 그 상부에 얇은 OMCTS층이 없는 낮은 유전상수 막의 트랜치들에 대해 약 30nm보다 더 큰 언더컷팅을 초래한다는 것을 나타낸다. 도 5c는 낮은 유전상수 막이 습식 세정이전에 그 상부에 증착되는 얇은 OMCTS층을 가질 때 그러한 언더컷팅이 관찰되지 않았음을 나타낸다.5A-5C show trench profiles of regions with low density trenches. 5A shows the trench profile after ashing and before wet clean. 5B and 5C show trench profiles after ashing and after wet cleaning, for low dielectric constant films with and without a thin OMCTS layer thereon, respectively. 5B shows that wet cleaning results in undercutting greater than about 30 nm for trenches in low dielectric constant films without a thin OMCTS layer thereon. 5C shows that no undercutting was observed when the low dielectric constant film had a thin OMCTS layer deposited thereon prior to the wet clean.

따라서, 얇은 OMCTS층은 고 탄소 표면을 제공하고, 또한 습식 에칭 프로세스들 동안 낮은 k 막들의 언더컷팅과 임계 치수 손실을 방지하는 소수성 표면을 제공한다.Thus, the thin OMCTS layer provides a high carbon surface and also provides a hydrophobic surface that prevents undercutting and critical dimensional loss of low k films during wet etching processes.

또한, 본 발명의 실시예들에 따라 제공되는 얇은층들은 후속적으로 증착되는 BARC층에 대한 BARC 물질과 같은 물질, 또는 후속적으로 증착되는 배리어층에 대한 PVD 배리어 프리커서 또는 ALD TaN 프리커서와 같은 ALD 배리어 프리커서와 같은 물질이 얇은층들을 증착시킬 수 있는 다공성 낮은 k 막들로 침투하는 것을 방지할 수 있는, 조밀한 공극-밀봉층들로서 작용한다는 것을 발견하였다.Further, the thin layers provided in accordance with embodiments of the present invention may be formed of a material such as a BARC material for a subsequently deposited BARC layer, or a PVD barrier precursor or ALD TaN precursor for a subsequently deposited barrier layer. It has been found that a material such as ALD barrier precursor acts as a dense pore-sealing layer, which can prevent penetration into porous low k films that can deposit thin layers.

예를 들어, 얇은층은 비아 제 1 다마신 프로세스에서 비아 에칭 및 포토레지스트 애싱 이후 낮은 유전상수 막상에 증착될 수 있다. 후속적인 BARC 충진이 얇은층상에 수행될 수 있다. 얇은층은 BARC 물질이 유전체 막으로 침투하는 것을 방지하는 공극-밀봉층을 제공한다. 그 다음, 낮은 유전상수 막, 및 구리와 같은 하부에 놓인 전도성 물질 사이에 있는 유전체 배리어는 트랜치 에칭과 포토레지스트 제거 이후 하부에 놓인 전도성 물질을 노출시키도록 에칭될 수 있다. 유전체 배리어 에칭 이후, 유전체 배리어의 제거에 의해 노출된 전도성 표면을 세정하고 구리 산화물(CuO)과 같은 표면으로부터 산화물을 제거하기 위해, 환원 화학제가 사용될 수 있다. 그 다음, 얇은층이 비아 및 트랜치의 측벽들상에 증착된다. 얇은층은 후속하는 배리어층 프리커서들이 낮은 유전상수 막으로 침투하는 것을 방지하는 공극-밀봉층을 제공한다.For example, a thin layer can be deposited on a low dielectric constant film after via etching and photoresist ashing in the via first damascene process. Subsequent BARC filling can be performed on a thin layer. The thin layer provides a void-sealing layer that prevents BARC material from penetrating into the dielectric film. The dielectric barrier between the low dielectric constant film and the underlying conductive material such as copper may then be etched to expose the underlying conductive material after trench etching and photoresist removal. After the dielectric barrier etch, a reducing chemical may be used to clean the exposed conductive surface by removal of the dielectric barrier and to remove the oxide from a surface such as copper oxide (CuO). A thin layer is then deposited on the sidewalls of the vias and trenches. The thin layer provides a pore-sealing layer that prevents subsequent barrier layer precursors from penetrating into the low dielectric constant film.

기판의 습식 세정 이후 BARC층이 얇은층상에 증착되는 실시예들에서, 얇은층은 얇은층의 표면에서 탄소 농도 및 얇은층의 습윤 각도를 조절하기 위해 후-처리되는 헬륨(또는 다른 불활성 가스) 플라즈마일 수 있다. 습윤 각도는 BARC층의 습윤 및 증착을 개선하기 위해 약 70도 이하로 감소될 수 있다. 도 6은 습윤 각도가 플라즈마 처리 시간을 증가시킴으로써 감소되는 것을 나타낸다. 플라즈마 처리가 얇은층의 공극-밀봉 특성을 손상시키지 않도록, 관대한(mild) 처리 조건들, 즉 약 30W 내지 약 100W의 RF 전력, 및 약 100sccm 내지 약 10,000sccm의 He 유량이 사용된다.In embodiments in which a BARC layer is deposited on a thin layer after wet cleaning of the substrate, the thin layer is a helium (or other inert gas) plasma that is post-treated to adjust the carbon concentration and the wetting angle of the thin layer at the surface of the thin layer. Can be. The wetting angle can be reduced below about 70 degrees to improve the wetting and deposition of the BARC layer. 6 shows that the wetting angle is reduced by increasing the plasma treatment time. Mild processing conditions, that is, RF power of about 30 W to about 100 W, and He flow rates of about 100 sccm to about 10,000 sccm, are used so that the plasma treatment does not impair the thin layer pore-sealing properties.

또한, 표면 습윤 또는 접촉 각도가 조절될 필요가 있는 경우, 얇은층은 ALD 배리어층들과 같이, 그 상부의 BARC층들 이외의층들의 증착 이전에 헬륨 플라즈마 후-처리될 수 있다. 얇은층은 O2, CO2, N2O, NH3, H2, 헬륨, 질소, 아르곤, 또는 이들의 조합물과 같은 상이한 가스들로 플라즈마 후-처리될 수 있다. 플라즈마 후-처리는 표면 장력 및 표면 접촉 각도와 같은,층의 표면 특성 및 특징들을 변경시킬 수 있다.In addition, where surface wetting or contact angles need to be adjusted, the thin layer can be post-treated with helium plasma prior to deposition of layers other than BARC layers thereon, such as ALD barrier layers. The thin layer can be plasma post-treated with different gases, such as O 2 , CO 2 , N 2 O, NH 3 , H 2 , helium, nitrogen, argon, or a combination thereof. Plasma post-treatment can alter the surface properties and characteristics of the layer, such as surface tension and surface contact angle.

다른 실시예에서, 상호접속부에서 금속 라인의 임계 치수를 제어하는 방법이 제공된다. 상기 방법은 상기 실시예들에 기술된 것처럼, 패턴화된 낮은 유전상수 막상에 얇은층을 증착시키는 단계를 포함한다. 패턴화된 낮은 유전상수 막은 그 상부에 얇은층의 증착 이전에 고-산소 또는 고-질소 표면을 포함할 수 있다. 층이 증착된 이후, OMCTS와 같은층을 증착시키는데 사용된 프리커서의 유동이 종료된 다음, 임의의 잔류 프리커서는 He 캐리어 가스와 같은 캐리어 가스만을 챔버로 유입시킴으로써 챔버로부터 정화된다. 챔버는 정화 또는 펌핑, 또는 정화 및 펌핑될 수 있다.In another embodiment, a method of controlling the critical dimension of a metal line at an interconnect is provided. The method includes depositing a thin layer on a patterned low dielectric constant film, as described in the embodiments above. The patterned low dielectric constant film may comprise a high-oxygen or high-nitrogen surface prior to the deposition of a thin layer thereon. After the layer is deposited, the flow of the precursor used to deposit the layer, such as OMCTS, is terminated, and then any residual precursor is purged from the chamber by introducing only carrier gas, such as He carrier gas, into the chamber. The chamber may be purged or pumped, or purged and pumped.

챔버가 정화 및/또는 펌핑된 이후, 일 실시예에서, 산소 플라즈마 처리가 챔버에 수행되어, 프리커서로부터 기판상에 증착되는층을 처리하고 OMCTS 증착과 같은 증착의 다음 사이클을 개시한다. 다른 실시예에서, 질소-도핑된 산화물 또는 SiN층이 바람직하다면, H2의 부가가 있거나 부가가 없는 NH3 플라즈마 처리가 사용될 수 있다. 산소 플라즈마는층의 표면을 산화시키는 산소 라디칼들을 생성할 수 있는 임의의 산소-함유 가스에 의해 제공될 수 있다. 예를 들어, 가스는 O2, CO2, N2O, 또는 이들의 조합물을 포함할 수 있다. 산소-함유 가스는 일정 유량에서 챔버로 유입될 수 있다. 산소-함유 가스는 비아/트랜치 패턴 프로파일에 따라 약 0.1초 내지 약 60초와 같은 시간 주기 동안 챔버로 유동될 수 있다. 산소 플라즈마는 13.56MHz의 주파수에서 챔버에 약 50W 내지 약 1000W의 RF 전력을 인가함으로써 제공될 수 있다. 혼합된 주파수 RF 전력이 사용될 수 있다. 하부층(낮은 유전상수 막과 같은)상에 플라즈마 처리의 손상 또는 충격을 최소화하기 위해, 약 0.033W/cm2 내지 약 0.082 W/cm2에 해당하는 약 30W 내지 약 100W와 같은 낮은 레벨의 고주파수 RF 전력이 바람직하다.After the chamber has been purged and / or pumped, in one embodiment, an oxygen plasma treatment is performed on the chamber to treat the layer deposited on the substrate from the precursor and initiate the next cycle of deposition, such as OMCTS deposition. In other embodiments, if a nitrogen-doped oxide or SiN layer is desired, NH 3 plasma treatment with or without addition of H 2 can be used. The oxygen plasma may be provided by any oxygen-containing gas capable of producing oxygen radicals that oxidize the surface of the layer. For example, the gas may comprise O 2 , CO 2 , N 2 O, or a combination thereof. Oxygen-containing gas may enter the chamber at a constant flow rate. The oxygen-containing gas may flow into the chamber for a time period such as about 0.1 seconds to about 60 seconds depending on the via / trench pattern profile. The oxygen plasma may be provided by applying RF power of about 50 W to about 1000 W to the chamber at a frequency of 13.56 MHz. Mixed frequency RF power may be used. The lower layer to minimize the damage or impact of the plasma treatment on (such as low dielectric constant film), about 0.033W / cm 2 to about 0.082 W / cm 2 for the approximately 30W to the high-frequency low-level, such as about 100W RF Power is preferred.

플라즈마 처리는 챔버로의 산소-함유 가스의 유동을 종료시킴으로써 종료될 수 있다. 선택적으로, 증착된층의 두께가 후속 측정된다. 그 다음, 부가적인 양의 얇은층을 증착하기 위해 챔버로의 프리커서의 유동이 재개된다. 챔버가 정화된 다음, 전술한 바와 같은 산소 플라즈마 처리도 수행된다. 증착, 정화, 및 플라즈마 처리의 다중 사이클들이 목표된 두께의층이 획득될 때까지 수행될 수 있다. 상호접속부에 증착된층의 두께를 제어함으로써, 상호접속부에 후속 증착된 금속 라인의 두께가 제어될 수 있다.The plasma treatment may be terminated by terminating the flow of the oxygen-containing gas into the chamber. Optionally, the thickness of the deposited layer is subsequently measured. The flow of precursor to the chamber is then resumed to deposit additional amounts of thin layers. After the chamber is cleaned, the oxygen plasma treatment as described above is also performed. Multiple cycles of deposition, purification, and plasma processing may be performed until a layer of desired thickness is obtained. By controlling the thickness of the layer deposited on the interconnects, the thickness of metal lines subsequently deposited on the interconnects can be controlled.

다른 실시예에서, 기판 상에 약 4Å 내지 약 100Å으로층의 두께를 제어하는 방법이 제공된다. 고-산소 또는 고-질소 표면을 포함할 수 있는 기판은 플라즈마의 존재에서 실리콘-함유 프리커서에 노출되어 기판상에층이 증착되고, 그 다음 O2, CO2 및 N2O로 이루어진 그룹에서 선택된 산소-함유 가스로부터의 플라즈마를 통해, 또는 H2를 갖거나 갖지 않는 NH3로부터의 플라즈마를 통해층이 처리된다. 층을 증착시키기 위해 실리콘-함유 프리커서에 기판의 노출 및 플라즈마를 통한층의 처리는 목표된 두께의층이 획득될 때까지 반복된다.In another embodiment, a method of controlling the thickness of a layer from about 4 kPa to about 100 kPa on a substrate is provided. The substrate, which may include a high-oxygen or high-nitrogen surface, is exposed to a silicon-containing precursor in the presence of a plasma to deposit a layer on the substrate, and then in a group consisting of O 2 , CO 2, and N 2 O The layer is processed via a plasma from the selected oxygen-containing gas or through a plasma from NH 3 with or without H 2 . The exposure of the substrate to the silicon-containing precursor to deposit the layer and the treatment of the layer through the plasma are repeated until a layer of the desired thickness is obtained.

추가적인 실시예에서, 산화물 또는 질화물을 포함하는 조밀한 유전체 스페이서를 형성하는 방법이 제공된다. 방법은 고-산소 또는 고-질소 표면을 포함할 수 있는 게이트를 포함하는 패턴화된 기판을 플라즈마의 존재에서 실리콘-함유 프리커서에 노출시켜서 게이트상에층을 증착시키는 단계, 및 그 다음, O2, CO2, N2O, 질소-함유 가스, 및 H2를 갖거나 갖지 않는 NH3로 이루어진 그룹에서 선택된 산소-함유 가스 또는 질소-함유 가스로부터의 플라즈마로 상기층을 처리하는 단계를 포함한다. 상호접속부의 금속 라인의 임계 치수를 제어하는 방법에 관하여 제공된 플라즈마 처리들과 실리콘-함유 프리커서들은 조밀한 유전체 스페이서를 형성하는 방법 및 약 4Å 내지 약 100Å으로층의 두께를 제어하는 방법에 모두 사용될 수도 있다.In a further embodiment, a method of forming a dense dielectric spacer comprising an oxide or nitride is provided. The method comprises exposing a patterned substrate comprising a gate, which may include a high-oxygen or high-nitrogen surface, to a silicon-containing precursor in the presence of a plasma to deposit a layer on the gate, and then O Treating the layer with a plasma from an oxygen-containing gas or a nitrogen-containing gas selected from the group consisting of 2 , CO 2 , N 2 O, nitrogen-containing gas, and NH 3 with or without H 2 . do. Plasma treatments and silicon-containing precursors provided for the method of controlling the critical dimension of the metal line of the interconnect can be used both in forming dense dielectric spacers and in controlling the thickness of the layer from about 4 kV to about 100 kV. It may be.

전술한 상세한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들과 추가적인 실시예들이 그 기본 범주를 벗어남이 없이 안출될 수 있으며, 그 범주는 이하의 청구범위에 의해 결정된다.While the foregoing detailed description is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from its basic scope, the scope of which is determined by the claims that follow. .

도 1a-1e는 본 발명의 일 실시예에 따른 프로세스 시퀀스의 상이한 단계들에서 기판 구조물의 개념적 단면도들을 도시한다.1A-1E illustrate conceptual cross-sectional views of a substrate structure at different stages of a process sequence in accordance with one embodiment of the present invention.

도 2는 본 발명의 일 실시예에 따른 애싱 이후 그 상부에 증착되는 얇은 OMCTS층을 가진 낮은 유전상수 막들의 애싱 이전 및 이후 낮은 유전상수 막들의 유전상수(k)를 나타내는 그래프이다.2 is a graph showing the dielectric constant k of low dielectric constant films before and after ashing of low dielectric constant films having a thin OMCTS layer deposited thereon after ashing according to an embodiment of the present invention.

도 3은 본 발명의 일 실시예에 따른 애싱 이후 그 상부에 장착되는 얇은 OMCTS층을 갖는 낮은 유전상수 막들의 애싱 이전 및 이후 낮은 유전상수 막들의 습윤 각도를 나타내는 그래프이다.3 is a graph showing wetting angles of low dielectric constant films before and after ashing of low dielectric constant films having a thin OMCTS layer mounted thereon after ashing according to an embodiment of the present invention.

도 4a는 종래기술에 따른 습식 에칭 이전 및 애싱 이후 트랜치 프로파일(조밀한 어레이)의 스케치이다.4A is a sketch of a trench profile (dense array) before and after wet etching according to the prior art.

도 4b는 종래기술에 따른 습식 세정 및 애싱 이후 트랜치 프로파일(조밀한 어레이)의 스케치이다.4B is a sketch of a trench profile (dense array) after wet cleaning and ashing according to the prior art.

도 4c는 본 발명의 일 실시예에 따른 습식 세정 및 애싱 이후 트랜치 프로파일(조밀한 어레이)의 스케치이다.4C is a sketch of a trench profile (dense array) after wet cleaning and ashing according to one embodiment of the present invention.

도 5a는 종래기술에 따른 습식 세정 이전 및 애싱 이후 트랜치 프로파일(iso 구조물/개방 영역)의 스케치이다. 5A is a sketch of a trench profile (iso structure / open area) before and after wet cleaning according to the prior art.

도 5b는 종래기술에 따른 습식 세정 및 애싱 이후 트랜치 프로파일(iso 구조물/개방 영역)의 스케치이다. 5B is a sketch of a trench profile (iso structure / open area) after wet cleaning and ashing according to the prior art.

도 5c는 본 발명의 일 실시예에 따른 습식 세정 및 애싱 이후 트랜치 프로파일(iso 구조물/개방 영역)의 스케치이다.5C is a sketch of a trench profile (iso structure / open area) after wet cleaning and ashing according to one embodiment of the present invention.

도 6은층의 헬륨 플라즈마 후-처리의 시간 길이에 대한 본 발명의 일 실시예에 따른 얇은 OMCTS층의 습윤 각도를 나타내는 그래프이다.FIG. 6 is a graph showing the wetting angle of a thin OMCTS layer in accordance with one embodiment of the present invention over the time length of helium plasma post-treatment of the layer.

Claims (22)

기판 처리 방법으로서,As a substrate processing method, 적어도 실리콘, 산소 및 탄소의 다공성(porous) 물질을 포함하는 제 1 유전체층을 증착하는 단계;Depositing a first dielectric layer comprising at least a porous material of silicon, oxygen, and carbon; 상기 제 1 유전체층 상에 레지스트 물질을 증착 및 패터닝하는 단계;Depositing and patterning a resist material on the first dielectric layer; 상기 제 1 유전체층을 에칭하는 단계;Etching the first dielectric layer; 상기 제 1 유전체층으로부터 상기 레지스트 물질을 제거하는 단계; 및Removing the resist material from the first dielectric layer; And 상기 제 1 유전체층 상에 적어도 실리콘, 산소 및 탄소의 비-다공성(non-porous) 물질을 포함하는 제 2 유전체층을 증착하는 단계Depositing a second dielectric layer comprising at least a non-porous material of silicon, oxygen, and carbon on the first dielectric layer 를 포함하는, 기판 처리 방법.Substrate processing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층은 RF 전력의 존재하에 프리커서와의 반응을 통해 증착되며, 상기 프리커서는,The second dielectric layer is deposited through reaction with a precursor in the presence of RF power, the precursor, 일반식 Rx-Si-(OR')y를 갖는 프리커서들 - 여기서 R=H, CH3, CH2CH3, 또는 다른 알킬(alkyl) 그룹이고, R'=CH3, CH2CH3, 또는 다른 알킬 그룹이며, x는 0 내지 4이고, y는 0 내지 4이며, x+y=4임 -;Precursors having the general formula R x -Si- (OR ') y , wherein R = H, CH 3 , CH 2 CH 3 , or another alkyl group, and R' = CH 3 , CH 2 CH 3 Or another alkyl group, x is 0-4, y is 0-4 and x + y = 4; (RX-Si-O-Si-RY)z 구조를 갖는 유기디실록산들(organodisiloxanes) - 여기서 RX=CH3, CH2CH3, 또는 다른 알킬 그룹이고, RY=H, CH3, CH2CH3, 또는 다른 알킬 그룹임 -;Organodisiloxanes having a (R X -Si-O-Si-R Y ) z structure, where R X = CH 3 , CH 2 CH 3 , or another alkyl group, and R Y = H, CH 3 , CH 2 CH 3 , or another alkyl group; (RX-Si-O)Y 구조를 포함하는 사이클릭 유기실록산들 - 여기서 RX= CH3, CH2CH3, 또는 다른 알킬 그룹임 -;Cyclic organosiloxanes comprising a (R X -Si-O) Y structure, wherein R X = CH 3 , CH 2 CH 3 , or another alkyl group; 3개 이상의 실리콘 원자들을 갖는 링 구조를 포함하는 사이클릭 유기실리콘 화합물들 - 상기 링 구조는 하나 이상의 산소 원자들을 선택적으로 포함함 -; 및Cyclic organosilicon compounds comprising a ring structure having three or more silicon atoms, the ring structure optionally comprising one or more oxygen atoms; And 실리콘 원자들과 결합된 하나 또는 두 개의 알킬 그룹들을 갖는 교번하는 실리콘 및 산소 원자들을 갖는 링들을 포함하는 사이클릭 유기실리콘 화합물들Cyclic organosilicon compounds comprising rings with alternating silicon and oxygen atoms having one or two alkyl groups bonded to silicon atoms 로 이루어진 그룹에서 선택되는, 기판 처리 방법.The substrate processing method selected from the group consisting of. 제 2 항에 있어서,The method of claim 2, 상기 프리커서는 상기 제 2 유전체층의 지속적인 성장을 억제하도록 선택되는 알킬 그룹을 포함하는, 기판 처리 방법.Wherein said precursor comprises an alkyl group selected to inhibit sustained growth of said second dielectric layer. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층은 상기 제 1 유전체층 보다 큰 탄소 함량을 가지며, 상기 제 2 유전체층은 상기 제 1 유전체층 상에 탄소-포화(carbon-saturated) 표면층을 제공하는, 기판 처리 방법.Wherein the second dielectric layer has a greater carbon content than the first dielectric layer, and the second dielectric layer provides a carbon-saturated surface layer on the first dielectric layer. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층이 증착된 이후, 상기 기판을 습식 세정하는 단계를 더 포함하는, 기판 처리 방법.And wet cleaning the substrate after the second dielectric layer is deposited. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층은 0.033W/㎠ 내지 0.109W/㎠의 전력 레벨에서 RF 전력 인가에 의한 플라즈마 강화 화학적 기상 증착 프로세스에 의해 증착되는, 기판 처리 방법.And the second dielectric layer is deposited by a plasma enhanced chemical vapor deposition process by applying RF power at a power level of 0.033 W / cm 2 to 0.109 W / cm 2. 제 1 항에 있어서,The method of claim 1, 상기 챔버내의 압력은 1.5 Torr 이상인, 기판 처리 방법.And the pressure in the chamber is at least 1.5 Torr. 제 1 항에 있어서,The method of claim 1, 상기 챔버내의 샤워헤드와 상기 챔버내의 기판 지지체 간의 간격은 200mils 이상인, 기판 처리 방법.And a spacing between the showerhead in the chamber and the substrate support in the chamber is at least 200 mils. 제 1 항에 있어서,The method of claim 1, O2, CO2, N2O, NH3, H2, 헬륨, 아르곤, 및 질소로 이루어진 그룹에서 선택된 가스를 이용하여, 상기 제 2 유전체층을 플라즈마 후-처리(post-treating)하는 단계를 더 포함하는, 기판 처리 방법.Plasma post-treating the second dielectric layer using a gas selected from the group consisting of O 2 , CO 2 , N 2 O, NH 3 , H 2 , helium, argon, and nitrogen. It includes a substrate processing method. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층을 플라즈마 후-처리하는 단계를 더 포함하고, 상기 플라즈마 후-처리는 상기 제 2 유전체층의 표면 특성들을 변경시키며, 상기 표면 특성들은 표면 장력 및 표면 접촉 각도로 이루어진 그룹에서 선택되는, 기판 처리 방법.Plasma post-treating the second dielectric layer, wherein the plasma post-treatment alters surface properties of the second dielectric layer, wherein the surface properties are selected from the group consisting of surface tension and surface contact angle, Substrate processing method. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층상에 저면 반사-방지 코팅(BARC)을 증착하는 단계를 더 포함하는, 기판 처리 방법.Depositing a bottom anti-reflective coating (BARC) on the second dielectric layer. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층 상에 원자층 증착 또는 물리적 기상 증착에 의해 배리어층을 증착하는 단계를 더 포함하는, 기판 처리 방법.Depositing a barrier layer on the second dielectric layer by atomic layer deposition or physical vapor deposition. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층은 BARC 물질 또는 ALD 또는 PVD 배리어층 프리커서들이 상기 제 2 유전체층으로 침투하는 것을 방지하는 조밀한(dense)층을 제공하는, 기판 처리 방법.And the second dielectric layer provides a dense layer that prevents BARC material or ALD or PVD barrier layer precursors from penetrating into the second dielectric layer. 제 1 항에 있어서,The method of claim 1, 상기 제 1 유전체층은 상기 제 1 유전체층으로부터 상기 레지스트 물질을 제거한 이후에 친수성(hydrophilic) 표면을 포함하며, 상기 제 2 유전체층은 소수성(hydrophobic) 표면을 포함하는, 기판 처리 방법.Wherein the first dielectric layer comprises a hydrophilic surface after removing the resist material from the first dielectric layer, and the second dielectric layer comprises a hydrophobic surface. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층은 4Å 내지 100Å의 두께를 갖는, 기판 처리 방법.And the second dielectric layer has a thickness of 4 kPa to 100 kPa. 제 14 항에 있어서,The method of claim 14, 상기 제 1 유전체층은 상기 제 1 유전체층으로부터 상기 레지스트 물질을 제거한 이후에 고-산소(oxygen-rich) 표면을 포함하며, 상기 제 2 유전체층은 고-탄소(carbon-rich) 물질을 포함하는, 기판 처리 방법.Wherein the first dielectric layer comprises a high-oxygen-rich surface after removing the resist material from the first dielectric layer, and the second dielectric layer comprises a high carbon-rich material. Way. 제 2 항에 있어서,The method of claim 2, 상기 프리커서는,The precursor, 헥사메틸시클로트리실록산, 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 1,3,5,7,9-펜타메틸시클로펜타실록산, 디에톡시메틸실란, 헥사메틸디실록산, 및 헥사메틸디실란, 트리메틸실란, 테트라메틸실란, 및 이들의 조합으로 이루어진 그룹에서 선택되는, 기판 처리 방법.Hexamethylcyclotrisiloxane, 1,3,5,7-tetramethylcyclotetrasiloxane, octamethylcyclotetrasiloxane, 1,3,5,7,9-pentamethylcyclopentasiloxane, diethoxymethylsilane, hexamethyldi Siloxane, and hexamethyldisilane, trimethylsilane, tetramethylsilane, and combinations thereof. 제 1 항에 있어서,The method of claim 1, O2, CO2, N2O, NH3, H2, 헬륨, 아르곤, 및 질소로 이루어진 그룹에서 선택된 가스를 이용하여, 상기 제 2유전체층을 플라즈마 후-처리하는(post-treating) 단계를 더 포함하는, 기판 처리 방법.Plasma post-treating the second dielectric layer using a gas selected from the group consisting of O 2 , CO 2 , N 2 O, NH 3 , H 2 , helium, argon, and nitrogen. It includes a substrate processing method. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층은 30W 내지 100W의 전력 레벨에서 RF 전력의 인가에 의한 플라즈마 강화 화학적 기상 증착 프로세스에 의해 증착되는, 기판 처리 방법.And the second dielectric layer is deposited by a plasma enhanced chemical vapor deposition process by application of RF power at a power level of 30W to 100W. 제 19 항에 있어서,The method of claim 19, 상기 RF 전력은 펄스형태로 공급되는(pulsed), 기판 처리 방법.And the RF power is pulsed. 제 1 항에 있어서,The method of claim 1, 상기 제 2 유전체층의 증착 이후에, 상기 제 2 유전체층을 플루오르화 수소산(HF)을 포함하는 습식 세정 화학제에 노출시킴으로써 상기 기판을 습식 세정하는 단계를 더 포함하는, 기판 처리 방법.After deposition of the second dielectric layer, wet cleaning the substrate by exposing the second dielectric layer to a wet cleaning chemical comprising hydrofluoric acid (HF). 제 21 항에 있어서,The method of claim 21, 상기 제 2 유전체층의 습식 세정 이후에 상기 제 2 유전체층 상에 배리어층 또는 BARC층을 증착하는 단계를 더 포함하는, 기판 처리 방법.Depositing a barrier layer or BARC layer on the second dielectric layer after wet cleaning of the second dielectric layer.
KR1020070108170A 2006-11-21 2007-10-26 Method to minimize wet etch undercuts and provide pore sealing of extreme low k less than 2.5 dielectrics KR100939593B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US86677006P 2006-11-21 2006-11-21
US60/866,770 2006-11-21
US11/694,856 US20070287301A1 (en) 2006-03-31 2007-03-30 Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US11/694,856 2007-03-30

Publications (2)

Publication Number Publication Date
KR20080046087A KR20080046087A (en) 2008-05-26
KR100939593B1 true KR100939593B1 (en) 2010-02-01

Family

ID=39517296

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070108170A KR100939593B1 (en) 2006-11-21 2007-10-26 Method to minimize wet etch undercuts and provide pore sealing of extreme low k less than 2.5 dielectrics

Country Status (4)

Country Link
JP (1) JP5174435B2 (en)
KR (1) KR100939593B1 (en)
CN (1) CN100550318C (en)
TW (1) TWI392024B (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
CN101740332B (en) * 2008-11-13 2012-04-25 中芯国际集成电路制造(北京)有限公司 Etching method of semiconductor element
US20120122320A1 (en) * 2010-11-17 2012-05-17 Applied Materials, Inc. Method Of Processing Low K Dielectric Films
US9034770B2 (en) * 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
CN103839871B (en) * 2012-11-21 2017-09-08 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor devices
CN105448705B (en) * 2014-06-18 2018-05-04 无锡华润上华科技有限公司 The method and its oxide-film of particulate on a kind of elimination chip oxide film
CN105244257B (en) * 2014-07-08 2020-06-23 中芯国际集成电路制造(上海)有限公司 Method for improving protrusion defect of porous low-k film
CN105702619A (en) * 2014-11-27 2016-06-22 中芯国际集成电路制造(上海)有限公司 Formation method of semiconductor structure
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN111863610A (en) * 2020-05-12 2020-10-30 中国电子科技集团公司第十一研究所 Method for preparing electrode hole and computer readable storage medium
CN113667976A (en) * 2021-08-27 2021-11-19 中国科学院兰州化学物理研究所 Corrosion-resistant DLC film with hole sealing top layer and preparation method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040058955A (en) * 2002-12-27 2004-07-05 주식회사 하이닉스반도체 Method of forming a dual damascene pattern
KR20050014231A (en) * 2003-07-30 2005-02-07 매그나칩 반도체 유한회사 A method for forming a semiconductor device
KR20060059913A (en) * 2003-08-14 2006-06-02 어플라이드 머티어리얼스, 인코포레이티드 Stress reduction of sioc low k films

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077196A (en) * 1999-09-08 2001-03-23 Sony Corp Manufacture of semiconductor device
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
KR100573484B1 (en) * 2003-06-30 2006-04-24 에스티마이크로일렉트로닉스 엔.브이. Semiconductor device and a method of forming the same
JP2005050954A (en) * 2003-07-31 2005-02-24 Toshiba Corp Semiconductor device and manufacturing method therefor
JP4015976B2 (en) * 2003-08-28 2007-11-28 株式会社東芝 Manufacturing method of electronic device
JP2005203568A (en) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc Fabrication process of semiconductor device, and semiconductor device
JP2006332408A (en) * 2005-05-27 2006-12-07 Sony Corp Manufacturing method of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040058955A (en) * 2002-12-27 2004-07-05 주식회사 하이닉스반도체 Method of forming a dual damascene pattern
KR20050014231A (en) * 2003-07-30 2005-02-07 매그나칩 반도체 유한회사 A method for forming a semiconductor device
KR20060059913A (en) * 2003-08-14 2006-06-02 어플라이드 머티어리얼스, 인코포레이티드 Stress reduction of sioc low k films

Also Published As

Publication number Publication date
JP5174435B2 (en) 2013-04-03
CN101202227A (en) 2008-06-18
JP2008147644A (en) 2008-06-26
TWI392024B (en) 2013-04-01
TW200826196A (en) 2008-06-16
KR20080046087A (en) 2008-05-26
CN100550318C (en) 2009-10-14

Similar Documents

Publication Publication Date Title
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k&lt;2.5) dielectrics
KR100939593B1 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k less than 2.5 dielectrics
KR102447498B1 (en) Densification of Silicon Carbide Films Using Remote Plasma Treatment
KR102376352B1 (en) Method and composition for providing pore sealing layer on porous low dielectric constant films
KR101061331B1 (en) How to improve interlayer adhesion
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
KR100960755B1 (en) A method of depositing dielectric materials in damascene applications
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7115534B2 (en) Dielectric materials to prevent photoresist poisoning
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US8481422B2 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
TWI673826B (en) Flowable film curing penetration depth improvement and stress tuning
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
KR20140010449A (en) Uv assisted silylation for recovery and pore sealing of damaged low k films
CN1522313A (en) Method for improving nucleation and adhesion of cvd and ald films deposited onto low-dielectric-constant dielectrics
TW201823257A (en) Use of sillyl bridged alkyl compounds for dense osg films
JP4408816B2 (en) Manufacturing method of semiconductor device
KR20050084286A (en) Nitrogen-free dielectric anti-reflective coating and hardmask

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee