JP5174435B2 - Method for minimizing wet etch undercut and pore sealing ultra-low K (K <2.5) dielectrics - Google Patents

Method for minimizing wet etch undercut and pore sealing ultra-low K (K <2.5) dielectrics Download PDF

Info

Publication number
JP5174435B2
JP5174435B2 JP2007298307A JP2007298307A JP5174435B2 JP 5174435 B2 JP5174435 B2 JP 5174435B2 JP 2007298307 A JP2007298307 A JP 2007298307A JP 2007298307 A JP2007298307 A JP 2007298307A JP 5174435 B2 JP5174435 B2 JP 5174435B2
Authority
JP
Japan
Prior art keywords
layer
oxygen
precursor
film
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007298307A
Other languages
Japanese (ja)
Other versions
JP2008147644A5 (en
JP2008147644A (en
Inventor
シュウ フイウェン
シェク メイ−イー
シャ リ−クン
アル−ヴァヤティ アミール
ウィッティー デレク
ムサード ハイチェム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/694,856 external-priority patent/US20070287301A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008147644A publication Critical patent/JP2008147644A/en
Publication of JP2008147644A5 publication Critical patent/JP2008147644A5/ja
Application granted granted Critical
Publication of JP5174435B2 publication Critical patent/JP5174435B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明の実施形態は、一般的には、集積回路の製造に関する。より詳細には、本発明の実施形態は、低誘電率層上にシリコンと、炭素とを含み、所望により酸素及び/又は窒素とを含んでもよい薄層を堆積させる方法に関する。
Field of Invention
[0001] Embodiments of the present invention generally relate to the manufacture of integrated circuits. More particularly, embodiments of the present invention relate to a method of depositing a thin layer comprising silicon and carbon and optionally oxygen and / or nitrogen on a low dielectric constant layer.

関連技術の説明
[0002]集積回路の形状は、このようなデバイスが最初に導入された数十年前からサイズが劇的に減少してきた。その後、集積回路は、一般的に、チップ上のデバイスの数が二年毎に二倍になることを意味する、二年/ハーフサイズのルール(しばしばムーアの法則と呼ばれる)に従ってきた。今日の製造施設は、通常0.13μm、0.1μmもの特徴部サイズを持つデバイスを製造している。将来の施設はまもなく、更に小さい特徴部を持つデバイスを製造するであろう。
Explanation of related technology
[0002] Integrated circuit geometries have dramatically decreased in size since decades before such devices were first introduced. Since then, integrated circuits have generally followed a two-year / half-size rule (often called Moore's Law), which means that the number of devices on a chip doubles every two years. Today's manufacturing facilities typically produce devices with feature sizes of 0.13 μm and 0.1 μm. Future facilities will soon produce devices with smaller features.

[0003]デバイスの形状の縮小の持続によって、隣接した金属ライン間の容量結合が集積回路上のデバイスのサイズのさらなる減少のために減少させなければならないため、低誘電率(k)値を持つ中間層誘電体膜の需要が発生してきた。特に、約4.0未満の低誘電率を持つ絶縁体が望ましい。   [0003] With continued reduction in device geometry, capacitive coupling between adjacent metal lines must be reduced to further reduce the size of the device on the integrated circuit, thus having a low dielectric constant (k) value. There has been a demand for interlayer dielectric films. In particular, an insulator having a low dielectric constant of less than about 4.0 is desirable.

[0004]最近になって、約3.0未満の誘電率を持つ低誘電率有機シリコン膜が開発された。2.5未満の誘電率を持つ超低誘電率(ELK)有機シリコン膜もまた開発された。低誘電率と超誘電率有機シリコン膜を開発するために用いられた一つの方法は、有機シリコン化合物と、熱に不安定な化学種もしくは揮発性基を含む、炭化水素のような化合物を包含するガス混合物から膜を堆積させ、その後、堆積した膜を後処理して、有機基のような熱に不安定な化学種もしくは揮発性基を堆積した膜から除去することであった。堆積した膜からの熱に不安定な化学種もしくは揮発性基の除去は、空気が約1の誘電率を持つので、膜の低誘電率を下げる膜内にナノメータサイズのボイドもしくはポアを生成させる。   [0004] Recently, low dielectric constant organic silicon films with a dielectric constant of less than about 3.0 have been developed. An ultra low dielectric constant (ELK) organosilicon film having a dielectric constant of less than 2.5 has also been developed. One method used to develop low dielectric constant and superdielectric organic silicon films includes organosilicon compounds and compounds such as hydrocarbons containing thermally labile species or volatile groups. The film was deposited from a gas mixture that was then post-treated to remove thermally labile species or volatile groups such as organic groups from the deposited film. Removal of thermally labile species or volatile groups from the deposited film creates nanometer-sized voids or pores in the film that lower the dielectric constant of the film because air has a dielectric constant of about 1. .

[0005]フォトレジストもしくは底面反射防止膜コーティング(BARC)を除去するアッシングプロセスは、低k膜から炭素を消耗させるとともに膜の表面を酸化させることができる。低k膜の酸化した表面は、続いてのウェットエッチングプロセスの間に除去され、アンダカットや限界寸法(CD)損失の一因となる。   [0005] An ashing process that removes the photoresist or bottom antireflective coating (BARC) can consume carbon from the low-k film and oxidize the surface of the film. The oxidized surface of the low-k film is removed during the subsequent wet etch process, contributing to undercut and critical dimension (CD) loss.

[0006]低誘電率膜の多孔性により、膜上の続いての層、例えば、BARC層又は金属間バリヤ層(TaN等)の堆積に用いられる前駆物質の浸透が生じ得る。多孔質低誘電率膜へのバリヤ層前駆物質の拡散により、デバイスの電流漏れが生じる。   [0006] The porosity of a low dielectric constant film can cause penetration of precursors used in the deposition of subsequent layers on the film, such as BARC layers or intermetallic barrier layers (such as TaN). Diffusion of the barrier layer precursor into the porous low dielectric constant film results in device current leakage.

[0007]それ故、続いてのプロセスステップ、例えば、ウェットエッチングプロセス、また、BARC層やバリヤ層のような、続いての層の堆積からの膜への損傷を最小にする低誘電率膜の処理方法が依然として求められている。   [0007] Therefore, a low dielectric constant film that minimizes damage to the film from subsequent process steps, eg, wet etch processes, and subsequent layer deposition, such as BARC layers and barrier layers. There remains a need for treatment methods.

発明の概要Summary of the Invention

[0008]本発明は、一般的に、チャンバ内で基板上の低誘電率膜上の薄いコンフォーマルポアシーリング表面層を堆積させる方法を提供する。本方法は、パターン形成された低誘電率膜からのフォトレジストを除去するステップと、その後、約4オングストローム〜約100オングストロームの厚さに制御された薄いコンフォーマル層を堆積させることによってあらゆるアスペクト比又はバイア寸法を持つパターン形成された低誘電率膜を処理をするステップであって、薄いコンフォーマル層がパターン形成された低誘電率層の表面上にシリコンと炭素を含み、所望により酸素及び/又は窒素とを含んでもよい、前記ステップとを含む。一実施形態において、層を堆積するステップは、低レベルのRF電力の存在下にオクタメチルシクロテトラシロキサンを反応させる工程を含む。フォトレジストをアッシングすると低誘電率膜の表面から炭素が消耗し、表面が親水性になる。ポアシーリング層表面は、アッシング後の低誘電率膜の表面炭素濃度を回復させ、パターン形成された低誘電率膜に疎水性面を与える。低誘電率膜のウェットエッチング速度は、その表面が疎水性であるときに最小になる。層は、基板上で行うことができる、続いての湿式洗浄プロセスから低誘電率層を保護し、アンダカットやCD損失を防止する。薄層で得られた疎水性表面は、低誘電率膜への水分吸着を防止する。   [0008] The present invention generally provides a method of depositing a thin conformal pore sealing surface layer on a low dielectric constant film on a substrate in a chamber. The method removes the photoresist from the patterned low dielectric constant film and then deposits a thin conformal layer controlled to a thickness of about 4 angstroms to about 100 angstroms for any aspect ratio. Or processing a patterned low dielectric constant film having via dimensions, wherein a thin conformal layer comprises silicon and carbon on the surface of the patterned low dielectric constant layer, optionally with oxygen and / or Or the above step, which may comprise nitrogen. In one embodiment, depositing the layer includes reacting octamethylcyclotetrasiloxane in the presence of low levels of RF power. Ashing the photoresist consumes carbon from the surface of the low dielectric constant film, making the surface hydrophilic. The surface of the pore sealing layer restores the surface carbon concentration of the low dielectric constant film after ashing, and gives a hydrophobic surface to the patterned low dielectric constant film. The low dielectric constant film wet etch rate is minimized when its surface is hydrophobic. The layer protects the low dielectric constant layer from subsequent wet cleaning processes that can be performed on the substrate and prevents undercutting and CD loss. The hydrophobic surface obtained with a thin layer prevents moisture adsorption on the low dielectric constant film.

[0009]低誘電率膜表面は、酸化され、フォトレジストアッシング後、ヒドロキシル(OH)基を含有する。表面は、水分を吸収し、誘電率を大幅に増加する。フォトレジストアッシング後の薄層の堆積は、表面に吸収された水分を追い出し、低誘電率の表面のOH基を除去するので、低誘電率を回復させる。薄層の堆積は、水分吸着を更に防止させる疎水性のシーリング層を与える。   [0009] The low dielectric constant film surface is oxidized and contains hydroxyl (OH) groups after photoresist ashing. The surface absorbs moisture and greatly increases the dielectric constant. The deposition of the thin layer after photoresist ashing expels moisture absorbed on the surface and removes the OH groups on the low dielectric constant surface, thus restoring the low dielectric constant. Thin layer deposition provides a hydrophobic sealing layer that further prevents moisture adsorption.

[0010]薄いコンフォーマル層は、誘電体膜と、水分吸着とウェット化学エッチングを防止する保護層として、又は前駆物質もしくは化学物質の浸透を防止するポアシーリング層として表面に酸化物(例えば、Cu/CuO又はAl/Al)を有する金属膜を含む、あらゆるブランケットもしくは表面にOH基、NH基、又はNH基を含有するパターン形成された膜上に堆積され得る。薄層もまた、表面にOH基、NH基又はNH基を有する多孔質誘電体膜又は金属膜のポアシーリング層として使用し得る。 [0010] A thin conformal layer is formed on the surface with a dielectric film and an oxide (eg, Cu / CuO or Al / Al 2 O 3 ) can be deposited on any blanket or patterned film containing OH groups, NH groups, or NH 2 groups on the surface. A thin layer can also be used as a pore sealing layer of a porous dielectric film or metal film having OH groups, NH groups or NH 2 groups on its surface.

[0011]本発明の上記特徴が更に詳細に理解され得るように、上で簡単にまとめた本発明の更に具体的な説明が実施形態によって参照することができ、その一部が添付の図面に示されている。しかしながら、添付の図面は、単に本発明の典型的な実施形態を示すので、本発明の範囲を制限するものとはみなされず、本発明は他の等しく有効な実施形態を許容することができることは留意されるべきである。   [0011] In order that the above features of the present invention may be more fully understood, a more particular description of the invention briefly summarized above may be referred to by way of example, some of which are illustrated in the accompanying drawings. It is shown. However, the attached drawings are merely illustrative of exemplary embodiments of the present invention and are not considered to limit the scope of the present invention, and that the present invention may allow other equally effective embodiments. It should be noted.

詳細な説明Detailed description

[0018]本発明の実施形態は、パターン形成された基板上にシリコンと炭素を含み、所望により酸素及び/又は窒素とを含んでもよいコンフォーマル薄層を堆積させる方法を提供する。一態様において、本発明の実施形態は、低誘電率膜をパターン形成するために用いられたフォトレジストが膜から除去された後に、パターン形成された低誘電率膜を保護する方法を提供する。他の態様において、本発明の実施形態は、相互接続部における金属ラインの限界寸法を制御する方法及び堆積された層の厚さを約4オングストローム〜約100オングストロームに制御する方法を提供する。   [0018] Embodiments of the present invention provide a method of depositing a conformal thin layer comprising silicon and carbon and optionally oxygen and / or nitrogen on a patterned substrate. In one aspect, embodiments of the present invention provide a method for protecting a patterned low dielectric constant film after the photoresist used to pattern the low dielectric constant film is removed from the film. In another aspect, embodiments of the present invention provide a method for controlling the critical dimension of a metal line at an interconnect and a method for controlling the thickness of a deposited layer from about 4 angstroms to about 100 angstroms.

[0019]一実施形態において、基板上の低誘電率膜は、フォトレジストとフォトリソグラフィを用いてパターン形成して、その中に開口している垂直の相互接続部又は水平の相互接続部を形成する。低誘電率膜は、シリコンと、炭素とを含み、所望により酸素及び/又は窒素とを含んでもよい膜であってもよい。低誘電率膜は、有機シラン又は有機シロキサンのような有機シリコン化合物を含むガス混合物から堆積させてもよい。ガス混合物は、酸化ガスを含んでもよい。一実施形態において、ガス混合物は、膜を堆積させて膜内にボイド又はポアを生成させるとともに膜の低誘電率を低下させた後に、膜から除去される、有機シリコン化合物と炭化水素のようなポロジェンを含む。ポロジェンは、UV処理、電子ビーム処理、熱処理、又はそれらの組み合わせによって除去することができる。多孔質低誘電率膜を形成する方法は、共同譲渡された米国特許第6,936,551号と共同譲渡された米国特許第7,060,330号に更に記載され、これらの開示内容は本明細書に援用されている。他の組成を持つ及び/又は異なるガス混合物から堆積される低誘電率膜が、本発明の実施形態に使用し得ることは留意される。   [0019] In one embodiment, the low dielectric constant film on the substrate is patterned using photoresist and photolithography to form a vertical interconnect or a horizontal interconnect opening therein. To do. The low dielectric constant film may be a film containing silicon and carbon and optionally containing oxygen and / or nitrogen. The low dielectric constant film may be deposited from a gas mixture comprising an organosilicon compound such as organosilane or organosiloxane. The gas mixture may include an oxidizing gas. In one embodiment, the gas mixture is removed from the film after depositing the film to create voids or pores in the film and lowering the low dielectric constant of the film, such as organosilicon compounds and hydrocarbons. Includes porogen. The porogen can be removed by UV treatment, electron beam treatment, heat treatment, or a combination thereof. Methods for forming porous low dielectric constant films are further described in co-assigned US Pat. No. 6,936,551 and co-assigned US Pat. No. 7,060,330, the disclosures of which are hereby incorporated by reference. Which is incorporated herein by reference. It is noted that low dielectric constant films having other compositions and / or deposited from different gas mixtures can be used in embodiments of the present invention.

[0020]低誘電率膜以外の膜、例えば、表面にOH基、NH基、又はNH2基を有するいかなる膜も実施形態に使用し得ることも留意される。一般的に、用いることができる膜は、その上にシリコン、炭素を含み、所望により酸素及び/又は窒素を含んでもよい薄膜の選択的な堆積を許容する酸素を多く含む(酸素リッチな)又は窒素を多く含む(窒素リッチな)表面を持つ。ここに定義される酸素を多く含む表面は、約1:1〜約1:3のSi:O(シリコン:酸素)比を持つ。ここに定義される窒素を多く含む表面は、約1:1〜約1:2のSi:O(シリコン:窒素)比を持つ。 [0020] low dielectric constant film other than the film, for example, OH groups on the surface, NH group, or any film having a NH 2 group is also noted that may be used in embodiments. In general, the films that can be used are rich in oxygen (oxygen-rich) that allows selective deposition of thin films that may contain silicon, carbon, and optionally oxygen and / or nitrogen, or containing a large amount of nitrogen (nitrogen-rich) with the table surface. The oxygen rich surface defined herein has a Si: O (silicon: oxygen) ratio of about 1: 1 to about 1: 3. The nitrogen rich surface defined herein has a Si: O (silicon: nitrogen) ratio of about 1: 1 to about 1: 2.

[0021]膜は酸素を多く含む又は窒素を多く含む表面上に堆積されてもよいが、膜は、典型的には、炭素多く含む表面上では成長しないので、酸素を多く含む又は窒素を多く含む表面上の膜の堆積は、選択的な堆積プロセスとして記載されてもよい。   [0021] Although films may be deposited on oxygen rich or nitrogen rich surfaces, films typically do not grow on carbon rich surfaces, so they are oxygen rich or nitrogen rich. The deposition of the film on the containing surface may be described as a selective deposition process.

[0022]オクタメチルシクロテトラシロキサン(OMCTS)は、ここに記載された薄層を堆積させるために用いることができる前駆物質の一例である。オクタメチルシクロテトラシロキサンに加え、一般式R-Si-(OR’)(式中、各々R=H、CH、CHCH、又は他のアルキル基、各々R’=CH、CHCH、又は他のアルキル基、xは0〜4であり、yは0〜4であり、x+y=4である)を有する前駆物質、例えば、ジメチルジメトキシシランもまた、適切なプロセスウインドウによりコンフォーマル薄層を堆積させるために用いることができる。用いることができる他の前駆物質としては、構造(R-Si-O-Si-Rを有する有機ジシロキサン、例えば、1,3-ジメチルジシロキサン(CH-SiH-O-SiH-CH)、1,1,3,3-テトラメチルジシロキサン((CH-SiH-O-SiH-(CH)、ヘキサメチルジシロキサン((CH-Si-O-Si-(CH)等が挙げられる。用いることができる他の前駆物質としては、環状有機シロキサン(R-Si-O)(式中、yは2を超え、xは1〜2であり、R=CH、CHCH、又は他のアルキル基である)が挙げられる。用いることができる環状有機シロキサン化合物は、三つ以上のシリコン原子を有する環構造を含み、環構造は、更に、一つ以上の酸素原子を含むことができる。市販の環状有機シロキサン化合物には、シリコン原子と酸素原子と、シリコン原子に結合した一つ又は二つのアルキル基とを交互にした環が含まれる。例えば、環状有機シロキサン化合物は、下記化合物の一つ以上を含むことができる:
ヘキサメチルシクロトリシロキサン (-Si(CH-O-)- 環状、
1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS) (-SiH(CH)-O-)- 環状、
オクタメチルシクロテトラシロキサン(OMCTS) (-Si(CH-O-)- 環状、
1,3,5,7,9-ペンタメチルシクロペンタシロキサン (-SiH(CH)-O-)- 環状。
[0022] Octamethylcyclotetrasiloxane (OMCTS) is an example of a precursor that can be used to deposit the thin layers described herein. In addition to octamethylcyclotetrasiloxane, general formula R x -Si- (OR ') y ( wherein each R = H, CH 3, CH 2 CH 3, or other alkyl group, each R' = CH 3, CH 2 CH 3 , or other alkyl groups, where x is 0-4, y is 0-4 and x + y = 4), for example, dimethyldimethoxysilane is also suitable process window Can be used to deposit conformal thin layers. Other precursors that can be used include organic disiloxanes having the structure (R X —Si—O—Si—R Y ) Z , such as 1,3-dimethyldisiloxane (CH 3 —SiH 2 —O—). SiH 2 —CH 3 ), 1,1,3,3-tetramethyldisiloxane ((CH 3 ) 2 —SiH—O—SiH— (CH 3 ) 2 ), hexamethyldisiloxane ((CH 3 ) 3 − Si-O-Si- (CH 3 ) 3 ) and the like. Other precursors that can be used include cyclic organosiloxanes (R X —Si—O) Y (wherein y is greater than 2, x is 1-2, R X = CH 3 , CH 2 CH 3 or other alkyl group). The cyclic organosiloxane compound that can be used includes a ring structure having three or more silicon atoms, and the ring structure can further include one or more oxygen atoms. Commercially available cyclic organosiloxane compounds include rings with alternating silicon atoms, oxygen atoms, and one or two alkyl groups bonded to the silicon atoms. For example, the cyclic organosiloxane compound can include one or more of the following compounds:
Hexamethylcyclotrisiloxane (—Si (CH 3 ) 2 —O—) 3 -cyclic,
1,3,5,7-tetramethylcyclotetrasiloxane (TMCTS) (—SiH (CH 3 ) —O—) 4 -cyclic,
Octamethylcyclotetrasiloxane (OMCTS) (—Si (CH 3 ) 2 —O—) 4 -cyclic,
1,3,5,7,9-pentamethylcyclopentasiloxane (-SiH (CH 3 ) -O-) 5 -cyclic.

[0023]薄層は、シリコン、炭素を含み、所望により酸素を含んでもよい。他の実施形態において、前駆物質は、シリコン、窒素を含み、所望により炭素を含むコンフォーマル薄層を堆積するために用いられるシリコン及び窒素含有前駆物質であるのがよい。前駆物質には、直鎖シラザンや環状シラザンが含まれてもよい。直鎖シラザンには、構造R-Si-NH-Si-R’(式中、R=CH、CHCH、又は他のアルキル基、R’=H、CH、CHCH又は他のアルキル基)が含まれてもよい。環状シラザンには、構造(R-Si-NH)(式中、yは2を超え、xは1〜2であり、R=CH、CHCH、又は他のアルキル基である)が含まれてもよい。環状シラザン化合物には、三つ以上のシリコン原子を持つ環構造が含まれてもよく、環構造には、更に、一つ以上の窒素原子を含んでもよい。市販の環状シラザン化合物には、シリコン原子と窒素原子と、シリコン原子に結合した一つ又は二つのアルキル基と交互した環が含まれる。例えば、環状シラザン化合物は下記が含まれるのがよい;
1,2,3,4,5,6,7,8-オクタメチルシクロテトラシラザン、
1,2,3,4,5,6-ヘキサメチルシクロテトラシラザン、
1,1,3,3,5,5-ヘキサメチルシクロテトラシラザン、
1,1,3,3,5,5,7,7-オクタメチルシクロテトラシラザン。
[0023] The thin layer includes silicon, carbon, and may optionally include oxygen. In other embodiments, the precursor may be silicon and nitrogen containing precursors, including silicon, nitrogen, and optionally used to deposit a conformal thin layer containing carbon. The precursor may include linear silazane and cyclic silazane. Linear silazanes include the structure R—Si—NH—Si—R ′ (where R═CH 3 , CH 2 CH 3 , or other alkyl groups, R ′ = H, CH 3 , CH 2 CH 3 or Other alkyl groups) may be included. Cyclic silazanes have the structure (R X —Si—NH) Y where y is greater than 2 and x is 1 to 2 and R X = CH 3 , CH 2 CH 3 , or other alkyl groups May be included). The cyclic silazane compound may include a ring structure having three or more silicon atoms, and the ring structure may further include one or more nitrogen atoms. Commercially available cyclic silazane compounds include silicon atoms, nitrogen atoms, and rings alternating with one or two alkyl groups bonded to the silicon atoms. For example, the cyclic silazane compound may include:
1,2,3,4,5,6,7,8-octamethylcyclotetrasilazane,
1,2,3,4,5,6-hexamethylcyclotetrasilazane,
1,1,3,3,5,5-hexamethylcyclotetrasilazane,
1,1,3,3,5,5,7,7-octamethylcyclotetrasilazane.

[0024]図1Aは、基板100上の低誘電率膜102を示す一例である。図1Bは、低誘電率膜102上にパターン形成されたフォトレジスト104を示す図である。   FIG. 1A is an example showing a low dielectric constant film 102 on a substrate 100. FIG. 1B is a view showing the photoresist 104 patterned on the low dielectric constant film 102.

[0025]フォトレジストは、その後、例えば、ストリッピング又はアッシングによって低誘電率膜から除去される。図1Cは、フォトレジストによってパターン形成して相互接続部106を形成し、フォトレジストが除去された後の、低誘電率膜102を示す図である。シリコン、炭素を含み、所望により酸素及び/又は窒素を含む、コンフォーマル薄層108、即ち、約4オングストローム〜100オングストロームの厚さを持つ層は、その後、図1Dに示されるようにパターン形成された低誘電率膜の表面上に堆積される。層は、RF電力の存在下に、シリコン、酸素、炭素を含むガス混合物のようなガス混合物を反応させることによって堆積させることができる。シリコン、酸素、炭素は、オクタメチルシクロテトラシロキサンのような有機シリコン化合物によって供給することができる。有機シリコン化合物は、典型的には、キャリアガスと共にチャンバに導入される。好ましくは、キャリアガスはヘリウムである。しかしながら、アルゴン又は窒素のような他の不活性ガスが用いられてもよい。   [0025] The photoresist is then removed from the low dielectric constant film, for example, by stripping or ashing. FIG. 1C shows the low dielectric constant film 102 after patterning with photoresist to form interconnects 106 and the photoresist is removed. A conformal thin layer 108 containing silicon, carbon, and optionally oxygen and / or nitrogen, ie, a layer having a thickness of about 4 angstroms to 100 angstroms, is then patterned as shown in FIG. 1D. Deposited on the surface of a low dielectric constant film. The layer can be deposited by reacting a gas mixture, such as a gas mixture containing silicon, oxygen, and carbon, in the presence of RF power. Silicon, oxygen, and carbon can be supplied by an organosilicon compound such as octamethylcyclotetrasiloxane. The organosilicon compound is typically introduced into the chamber along with a carrier gas. Preferably, the carrier gas is helium. However, other inert gases such as argon or nitrogen may be used.

[0026]層が堆積された後、基板は、例えば、100:1HF溶液で、湿式洗浄することができる。その後、図1Eに示されるように、PVDバリヤ層又はALDバリヤ層、例えば、ALD窒化タンタル(TaN)層のような層110を層上に堆積させることができる。或いは、図1Fに示されるように、バリヤ反射防止コーティング(BARC)層120のような層を、層108上に堆積させるとともに相互接続部106を充填することができる。   [0026] After the layer is deposited, the substrate can be wet cleaned, for example, with a 100: 1 HF solution. Thereafter, as shown in FIG. 1E, a layer 110 such as a PVD barrier layer or an ALD barrier layer, eg, an ALD tantalum nitride (TaN) layer, can be deposited on the layer. Alternatively, as shown in FIG. 1F, a layer such as a barrier anti-reflective coating (BARC) layer 120 can be deposited on the layer 108 and fill the interconnect 106.

[0027]シリコン、炭素を含み、所望により酸素及び/又は窒素を含んでもよい層は、RF電力存在下に有機シリコン化合物を含むガス混合物を反応させることによって、化学気相堆積チャンバ及び/又はプラズマ増強型化学気相堆積チャンバ内で堆積させることができる。層を堆積するために用いることができるチャンバの例としては、いずれもカリフォルニア州サンタクララのアプライドマテリアルズ社から入手できる、二つの絶縁された処理領域を持つPRODUCER(登録商標)チャンバ、DxZ(登録商標)チャンバが挙げられる。ここに示された処理条件は、二つの絶縁された領域を有する300mmのPRODUCER(登録商標)チャンバを示す。従って、各基板処理領域と基板ごとに受ける流量は、チャンバ内への流量の半分である。   [0027] The layer comprising silicon, carbon, and optionally oxygen and / or nitrogen may be reacted by reacting a gas mixture comprising an organosilicon compound in the presence of RF power to form a chemical vapor deposition chamber and / or plasma. It can be deposited in an enhanced chemical vapor deposition chamber. An example of a chamber that can be used to deposit a layer is a PRODUCER® chamber, DxZ (registered trademark) with two insulated processing regions, both available from Applied Materials, Inc., Santa Clara, California. Trademarked) chamber. The processing conditions shown here represent a 300 mm PRODUCER® chamber with two insulated regions. Therefore, the flow rate received for each substrate processing region and each substrate is half of the flow rate into the chamber.

[0028]チャンバ内で基板上に層を堆積する間、基板は、典型的には、約150℃〜400℃の温度に維持される。RF電力は、300mmの基板に対して、約100W以下、例えば、約30W〜約75Wの電力レベルで供給される。一般的に、RF電力は、約0.109W/cm以下、例えば、約0.033W/cm〜約0.082W/cmで供給することができる。RF電力は、シャワーヘッド、即ち、ガス分配アセンブリ、及び/又はチャンバの基板支持体へ供給することができる。RF電力は、約13MHz〜14MHz、好ましくは約13.56MHzの高周波で供給される。RF電力は、サイクルであってもパルスであってもよい。RF電力は、また、連続であっても不連続であってもよい。シャワーヘッドと基板支持体の間の間隔は、約200ミリを超え、約200ミル〜約1400ミルである。チャンバ内の圧力は、約1.5トール以上、約1.5トール〜約8トールである。 [0028] During the deposition of the layer on the substrate in the chamber, the substrate is typically maintained at a temperature of about 150 ° C to 400 ° C. RF power is supplied to a 300 mm substrate at a power level of about 100 W or less, for example, about 30 W to about 75 W. Generally, RF power can be provided at about 0.109 W / cm 2 or less, for example, from about 0.033 W / cm 2 to about 0.082 W / cm 2 . RF power can be supplied to the showerhead, ie, the gas distribution assembly, and / or the substrate support of the chamber. The RF power is supplied at a high frequency of about 13 MHz to 14 MHz, preferably about 13.56 MHz. The RF power may be a cycle or a pulse. The RF power may also be continuous or discontinuous. The spacing between the showerhead and the substrate support is greater than about 200 millimeters and is between about 200 mils and about 1400 mils. The pressure in the chamber is about 1.5 Torr or more, about 1.5 Torr to about 8 Torr.

[0029]有機シリコン化合物は、約100sccm〜約1000sccmの流量でチャンバに導入することができる。キャリアガスは、約100sccm〜約7000sccmの流量でチャンバに導入されてもよい。チャンバへの有機シリコン化合物、例えば、オクタメチルシクロテトラシロキサン(OMCTS、sccm)の流量と、キャリアガス、例えば、ヘリウム(sccm)の流量との比は、約0.1以上である。層を約4オングストローム〜約100オングストロームの厚さの層に堆積させるために、パターン形成された構造のアスペクト比によっては約0.1秒〜約600秒の時間層を堆積させることができる。典型的には、層は、コンフォーマル表面を供給するために高いアスペクト比が用いられる場合に長い時間堆積される。   [0029] The organosilicon compound can be introduced into the chamber at a flow rate between about 100 seem and about 1000 seem. The carrier gas may be introduced into the chamber at a flow rate between about 100 seem and about 7000 seem. The ratio of the flow rate of the organosilicon compound, eg, octamethylcyclotetrasiloxane (OMCTS, sccm) to the chamber, and the flow rate of the carrier gas, eg, helium (sccm), is about 0.1 or greater. In order to deposit the layer on a layer having a thickness of about 4 angstroms to about 100 angstroms, a time layer of about 0.1 seconds to about 600 seconds can be deposited, depending on the aspect ratio of the patterned structure. Typically, the layer is deposited for a long time when a high aspect ratio is used to provide a conformal surface.

[0030]上記のRF電力レベル、間隔、圧力、流量比を用いて、わずか約4オングストローム〜約100オングストロームの厚さを持つ均一なコンフォーマル薄層が、前駆物質として自己飽和有機シリコン化合物を用いて層を堆積させる場合に、確実に堆積され得ることがわかった。単一300mm内の1オングストロームの厚さの範囲の層は、ここに示された条件を用いて得られた。ここに定義される“自己飽和前駆物質”は、基板上に、一つの薄層、例えば、堆積時間の長さと無関係に前駆物質の一つだけの分子層を堆積させる前駆物質である。異なる前駆物質が異なる分子サイズを持つので、前駆物質の選択によって厚さを制御することができ、異なる前駆物質に対して一つの分子層の異なる厚さが得られる。薄層の存在は、薄層を堆積させるために用いられる処理条件下で前駆物質から追加の層の堆積を妨害する。一般的に、自己飽和前駆物質は、薄層の継続した成長のを抑えるために選択されるメチル基を含んでもよい。メチル基の炭素がその上に更に堆積させることをほとんど妨害する炭素を多く含む膜表面を与えるので、層の自己飽和堆積を生じる多くのメチル基を含有するOMCTSが好ましい自己飽和前駆物質である。言い換えると、下に横たわる基板の表面ができるだけ早くCMCTS分子で覆われ、堆積された層の表面のSi-CH結合の存在が、層のいくつかの他の処理によって除去されるまでメチル基の一部が更に堆積を妨害する炭素を多く含む表面を与えることから、第一コンフォーマル層は、OMCTSから堆積させてもよい。従って、OMCTSの各分子層の堆積は、充分に制御することができ、最後の層のステップカバレッジが高められる。 [0030] Using the above RF power level, spacing, pressure, flow rate ratio, a uniform conformal thin layer with a thickness of only about 4 angstroms to about 100 angstroms uses a self-saturated organosilicon compound as a precursor. It has been found that when depositing a layer, it can be deposited reliably. A layer in the thickness range of 1 angstrom within a single 300 mm was obtained using the conditions shown here. As defined herein, a “self-saturated precursor” is a precursor that deposits a thin layer, eg, only one molecular layer of precursor, regardless of the length of deposition time. Since different precursors have different molecular sizes, the thickness can be controlled by the choice of precursor, resulting in different thicknesses of one molecular layer for different precursors. The presence of a thin layer prevents the deposition of additional layers from the precursor under the processing conditions used to deposit the thin layer. In general, the self-saturated precursor may include methyl groups that are selected to prevent continued growth of the thin layer. OMCTS containing many methyl groups that result in self-saturated deposition of the layer is a preferred self-saturated precursor because it provides a film surface that is rich in carbon that substantially hinders further deposition of methyl group carbon thereon. In other words, the surface of the underlying substrate is covered with CMCTS molecules as soon as possible and the presence of Si—CH 3 bonds on the surface of the deposited layer is removed by some other treatment of the layer. The first conformal layer may be deposited from OMCTS because some provide a carbon rich surface that further impedes deposition. Thus, the deposition of each molecular layer of OMCTS can be well controlled and the step coverage of the last layer is increased.

[0031]用いることができるオクタメチルシクロテトラシロキサン以外の前駆物質としては、ジエトキシメチルシラン(DEMS)、ヘキサメチルジシロキサン(HMDOS)、ヘキサメチルジシラン(HMDS)が挙げられる。Si、C、Hを含有する他の前駆物質、例えば、トリメチルシラン、テトラメチルシラン等もプロセスにおいて用いることができる。   [0031] Precursors other than octamethylcyclotetrasiloxane that can be used include diethoxymethylsilane (DEMS), hexamethyldisiloxane (HMDOS), hexamethyldisilane (HMDS). Other precursors containing Si, C, H, such as trimethylsilane, tetramethylsilane, etc. can also be used in the process.

[0032]X線光電子分光法(XPS)解析は、アッシングプロセスにさらされていない低誘電率膜上とフォトレジストアッシングにさらされた低誘電率膜上で行った。XPS解析は、また、フォトレジストアッシングにさらされた低誘電率誘電体上で行い、その後、その上に薄層を堆積させることによって処理し、薄層は、本発明の実施形態に従って、OMCTSから堆積され、シリコン、炭素、酸素を含んだ。XPS解析は、アッシングされた低誘電率膜上に薄層を堆積させることによって、その上に薄層を堆積させることによって処理されない低誘電率膜と比較して、それらの膜の表面における炭素含量(原子%炭素)が高いことを示した。例えば、アッシングされた低誘電率膜は約3原子%炭素を持つことができるが、アッシングされた低誘電率膜上の薄層は表面に約15原子%炭素を示す。従って、一態様において、薄層は炭素を多く含む層である。薄層は、約5原子%〜約30原子%の炭素含量を持つことができる。アッシングは低誘電率膜の表面の炭素濃度を消耗させ、アッシングされた低誘電率膜上に薄層を堆積させると炭素濃度が回復する。   [0032] X-ray photoelectron spectroscopy (XPS) analysis was performed on low dielectric constant films that were not exposed to an ashing process and on low dielectric constant films that were exposed to photoresist ashing. XPS analysis is also performed on a low dielectric constant dielectric that has been subjected to photoresist ashing, and then processed by depositing a thin layer thereon, from which the thin layer is obtained from OMCTS according to embodiments of the present invention. Deposited and contained silicon, carbon and oxygen. XPS analysis shows the carbon content at the surface of those films by depositing a thin layer on the ashed low dielectric constant film compared to low dielectric constant films that are not treated by depositing a thin layer thereon. (Atom% carbon) was high. For example, an ashed low dielectric constant film can have about 3 atomic percent carbon, while a thin layer on the ashed low dielectric constant film exhibits about 15 atomic percent carbon on the surface. Thus, in one embodiment, the thin layer is a carbon rich layer. The thin layer can have a carbon content of about 5 atomic% to about 30 atomic%. Ashing consumes the carbon concentration on the surface of the low dielectric constant film, and the carbon concentration recovers when a thin layer is deposited on the ashed low dielectric constant film.

[0033]XPS解析は、また、アッシングされた膜の表面のOH基が炭素を含む薄層で置き換えられるので、薄層で処理された低誘電率膜の表面の酸素含量は、アッシング後に薄膜で処理されない低誘電率誘電体の表面の酸素含量より低いことを示した。炭素を含む薄層でアッシングされた膜の表面のOH基を置き換えると、アッシングされた膜の誘電率が低下する。図2は、低誘電率膜上のOMCTSを用いて薄層を堆積させると、三つの異なるアッシングプロセスの一つに供された膜のアッシング後の低誘電率が低下したことを示している。   [0033] XPS analysis also replaces the OH groups on the surface of the ashed film with a thin layer containing carbon, so that the oxygen content on the surface of the low dielectric constant film treated with the thin layer is reduced after the ashing It was shown to be lower than the oxygen content of the surface of the low dielectric constant dielectric that was not treated. Replacing the OH group on the surface of the ashed film with a thin layer containing carbon lowers the dielectric constant of the ashed film. FIG. 2 shows that depositing a thin layer using OMCTS on a low dielectric constant film decreased the low dielectric constant after ashing of the film subjected to one of three different ashing processes.

[0034]アッシング前後の低誘電率膜(それぞれ図3における、ELK ILD、即ち、超低k中間層誘電体と、アッシングされたELK ILD)と、アッシング後で、その上にOMCTS薄層を持つ低誘電率膜(図3において、アッシングされたELKILDとOMCTS堆積)のぬれ角も測定した。結果を図3に示す。図3に示されるように、アッシング後に低誘電率膜上にOMCTS薄層を堆積させると、低誘電率膜のぬれ角が増加した。ぬれ角の増加は、OMCTS薄層が低誘電率膜の表面の疎水性を増加させたことを示している。このような疎水性の増加は、疎水性表面が膜特性に影響があり得る又は少なくとも水分を除去する時間を消費するステップの要求が少なくとも生じ得る低誘電率膜への水分の吸着を防止するので望ましい。   [0034] Low dielectric constant films before and after ashing (ELK ILD, respectively, ultra-low k interlayer dielectric and ashed ELK ILD in FIG. 3), and after ashing, OMCTS thin layer on it The wetting angle of the low dielectric constant film (ashed ELKILD and OMCTS deposits in FIG. 3) was also measured. The results are shown in FIG. As shown in FIG. 3, when an OMCTS thin layer was deposited on the low dielectric constant film after ashing, the wetting angle of the low dielectric constant film increased. The increase in wetting angle indicates that the OMCTS thin layer increased the hydrophobicity of the surface of the low dielectric constant film. Such an increase in hydrophobicity prevents the adsorption of moisture to a low dielectric constant film, where the hydrophobic surface can affect film properties or at least require a time consuming step to remove moisture. desirable.

[0035]アッシング後湿式洗浄後の相互接続部のプロファイル上のコンフォーマルOMCTS薄層の堆積の影響も調べた。その上にOMCTS薄層を有する、また、OMCTS薄層を有しない低誘電率膜のトレンチの密度が高いものとトレンチの密度が低いものの領域のトレンチプロファイルを、膜が湿式洗浄プロセスにおいて100:1HF溶液に浸漬された後に試験した。   [0035] The effect of deposition of a conformal OMCTS thin layer on the interconnect profile after post-ash wet cleaning was also investigated. The trench profile of the low-dielectric-constant film with the OMCTS thin layer on it and without the OMCTS thin-layer is compared with the trench profile in the region with the low trench density. Tested after being immersed in the solution.

[0036]図4A-図4Cは、トレンチの密度が高い領域のトレンチプロファイルを示す。図4Aは、アッシング後と湿式洗浄前のトレンチプロファイルを示す。図4Bと図4Cはそれぞれ、その上にOMCTS薄層を有する、また、OMCTS薄層を有しない低誘電率膜のアッシング後と湿式洗浄後のトレンチプロファイルを示す。図4Bは、湿式洗浄が、その上にOMCTS薄層を有しない低誘電率膜のトレンチについて約30nmの限界寸法の損失を引き起こすことを示している。図4Cは、低誘電率膜が湿式洗浄前にその上に堆積されたOMCTS薄層を持つ場合にこのようなCD損失が見られなかったことを示している。   [0036] FIGS. 4A-4C show trench profiles for regions of high trench density. FIG. 4A shows the trench profile after ashing and before wet cleaning. 4B and 4C respectively show the trench profiles after ashing and after wet cleaning of a low dielectric constant film with and without an OMCTS thin layer thereon. FIG. 4B shows that the wet clean causes a critical dimension loss of about 30 nm for low dielectric constant film trenches without an OMCTS thin layer thereon. FIG. 4C shows that such CD loss was not seen when the low dielectric constant film had a thin OMCTS layer deposited thereon prior to wet cleaning.

[0037]図5A-図5Cは、トレンチの密度が低い領域のトレンチプロファイルを示す。図5Aは、アッシング後と湿式洗浄前のトレンチプロファイルを示している。図5Bと5Cは、それぞれ、その上にOMCTS薄層を有しない又はOMCTS薄層を有する低誘電率膜のアッシング後と湿式洗浄後のトレンチプロファイルを示している。図5Bは、湿式洗浄がその上にOMCTS薄層を有しない低誘電率膜のトレンチについて約30nmを超えるアンダカットを引き起こすことを示している。図5Cは、低誘電率膜が湿式洗浄前にその上に堆積されたOMCTS薄層を持つ場合にこのようなアンダカットが見られないことを示している。   [0037] FIGS. 5A-5C illustrate trench profiles in regions of low trench density. FIG. 5A shows the trench profile after ashing and before wet cleaning. FIGS. 5B and 5C show the trench profiles after ashing and wet cleaning, respectively, of a low dielectric constant film with or without an OMCTS thin layer thereon. FIG. 5B shows that wet cleaning causes undercuts greater than about 30 nm for low dielectric constant film trenches without an OMCTS thin layer thereon. FIG. 5C shows that such an undercut is not seen when the low dielectric constant film has an OMCTS thin layer deposited thereon prior to wet cleaning.

[0038]従って、OMCTS薄層は、炭素を多く含む表面を与え、ウェットエッチングプロセスの間、限界寸法の損失と低k膜のアンダカットを防止する疎水性表面を与える。   [0038] Accordingly, the OMCTS thin layer provides a carbon rich surface and a hydrophobic surface that prevents critical dimension loss and undercut of the low-k film during the wet etch process.

[0039]本発明の実施形態に従って得られた薄層は、物質、例えば、続いて堆積されたBARC層のBARC物質、又は続いて堆積されるバリヤ層のPVDバリヤ前駆物質又はALDバリヤ前駆物質、例えば、ALDTaN前駆物質の薄層が堆積されてもよい多孔質低k膜への浸透を防止し得る密度の高いポアシーリング層として働くこともわかった。   [0039] The thin layer obtained in accordance with an embodiment of the present invention comprises a material, for example, a BARC material of a subsequently deposited BARC layer, or a PVD barrier precursor or ALD barrier precursor of a subsequently deposited barrier layer, For example, it has also been found that it acts as a dense pore-sealing layer that can prevent penetration into a porous low-k film where a thin layer of ALDTaN precursor may be deposited.

[0040]例えば、薄層は、バイア第一ダマシンプロセスにおいてバイアエッチングとフォトレジストアッシングの後に低誘電率膜上に堆積されることがよい。続いてのBARC充填は、薄層で行われてもよい。薄層は、BARC物質の誘電体への浸透を防止するポアシーリング層を与える。低誘電率膜と銅のような下に横たわる導電材料の間の誘電体バリヤ層は、その後、トレンチエッチングとフォトレジスト除去後に、下に横たわる導電材料をさらすためにエッチングすることができる。誘電体バリヤがエッチングされた後、誘電体バリヤの除去によってさらされた導電性表面を洗浄するために、また、表面から酸化物、例えば、酸化銅(CuO)を除去するために、還元化学が用いられる。薄層は、その後、バイアとトレンチの側壁上に堆積される。薄層は、続いてのバリヤ層前駆物質の低誘電率膜への浸透を防止するポアシーリング層を与える。   [0040] For example, a thin layer may be deposited on the low dielectric constant film after via etching and photoresist ashing in a via first damascene process. Subsequent BARC filling may be performed in a thin layer. The thin layer provides a pore sealing layer that prevents penetration of the BARC material into the dielectric. The dielectric barrier layer between the low dielectric constant film and the underlying conductive material, such as copper, can then be etched to expose the underlying conductive material after trench etching and photoresist removal. After the dielectric barrier is etched, reducing chemistry is used to clean the conductive surface exposed by the removal of the dielectric barrier and to remove oxides such as copper oxide (CuO) from the surface. Used. A thin layer is then deposited on the via and trench sidewalls. The thin layer provides a pore sealing layer that prevents subsequent penetration of the barrier layer precursor into the low dielectric constant film.

[0041]BARC層が基板の湿式洗浄した後に薄層上に堆積される実施形態において、薄層は、薄層の表面の炭素濃度と薄層のぬれ角を調整するためにヘリウム(又は他の不活性ガス)プラズマ後処理することができる。ぬれ角は、BARC層の湿潤と堆積を高めるために約70℃以下に減少してもよい。図6は、ぬれ角が、プラズマ処理時間が増加するにつれて減少することを示している。マイルドな処理条件、即ち、約30W〜約100WのRF電力と約100sccm〜10000sccmのHe流量は、プラズマ処理が薄層のポアシーリングの本質を損傷しないように用いられる。   [0041] In embodiments where the BARC layer is deposited on the thin layer after wet cleaning of the substrate, the thin layer is helium (or other material) to adjust the carbon concentration of the surface of the thin layer and the wetting angle of the thin layer Inert gas) plasma post-treatment. The wetting angle may be reduced below about 70 ° C. to enhance the wetting and deposition of the BARC layer. FIG. 6 shows that the wetting angle decreases as the plasma treatment time increases. Mild processing conditions, i.e., RF power of about 30 W to about 100 W and He flow rate of about 100 sccm to 10,000 sccm are used so that the plasma processing does not damage the essence of the thin layer pore sealing.

[0042]薄層は、また、表面のぬれ角又は接触角が調整を必要とする場合には、その上にBARC層以外の層、例えば、ALDバリヤ層が堆積される前にヘリウムプラズマ後処理されてもよい。薄層は、O、CO、NO、NH、H、ヘリウム、窒素、アルゴン、又はそれらの組合せのような異なるガスでプラズマ後処理されてもよい。プラズマ後処理は、表面張力や表面接触角のような層の表面性と特性を変化させ得る。 [0042] The thin layer may also be post-treated with a helium plasma before a layer other than the BARC layer, eg, an ALD barrier layer, is deposited thereon if the wetting angle or contact angle of the surface requires adjustment. May be. The thin layer may be plasma post-treated with different gases such as O 2 , CO 2 , N 2 O, NH 3 , H 2 , helium, nitrogen, argon, or combinations thereof. Plasma post-treatment can change the surface properties and properties of the layer, such as surface tension and surface contact angle.

[0043]他の実施形態において、相互接続部の金属ラインの限界寸法を制御する方法が提供される。方法は、上の実施形態に記載されるように、パターン形成された低誘電率膜上に薄層を堆積させるステップを含む。パターン形成された低誘電率膜は、その上に薄層を堆積させる前に酸素を多く含む表面又は窒素を多く含む表面を含むことができる。層が堆積された後に、OMCTSのような、層を堆積するために用いられる前駆物質の流れを終了し、キャリアガスのみ、例えば、Heキャリアガスをチャンバへ導入することによっていかなる残存する前駆物質もチャンバからパージされる。チャンバは、パージされてもポンプで送られてもよく、パージされポンプで送られてもよい。   [0043] In another embodiment, a method is provided for controlling a critical dimension of an interconnect metal line. The method includes depositing a thin layer on the patterned low dielectric constant film, as described in the above embodiment. The patterned low dielectric constant film can include an oxygen rich surface or a nitrogen rich surface prior to depositing a thin layer thereon. After the layer is deposited, any remaining precursor, such as OMCTS, is terminated by terminating the precursor flow used to deposit the layer and introducing only the carrier gas, eg, He carrier gas, into the chamber. Purged from chamber. The chamber may be purged or pumped, purged and pumped.

[0044]一実施形態において、チャンバがパージ及び/又はポンプで送られた後、酸素プラズマ処理が、前駆物質から基板上に堆積された層を処理するとともに次の堆積サイクル、例えば、OMCTS)を開始させためにチャンバ内で行われる。他の実施形態において、窒素ドープされた酸化物又はSiN層が望まれる場合には、Hが追加された又は追加されないNHプラズマ処理が使用し得る。酸素プラズマは、層の表面を酸化させる酸素基を生成させることができるいかなる酸素含有ガスによっても供給することができる。例えば、ガスは、O、CO、NO、又はそれらの組合せを含むことがよい。酸素含有ガスは、ある流量でチャンバに導入することができる。酸素含有ガスは、バイア/トレンチパターンプロファイルによっては約0.1秒〜約60秒のような時間チャンバへ流されるのがよい。酸素プラズマは、13.56MHzの周波数で、チャンバ内で約50W〜約1000WのRF電力を印加することによって供給するのがよい。混合周波数RF電力が使用し得る。下に横たわる層(例えば、低誘電率膜)上のプラズマ処理の影響又は損傷を最小にするために、低レベルの高周波RF電力、例えば、約0.033W/cm〜約0.082W/cmに対応する約30W〜約100Wが好ましい。 [0044] In one embodiment, after the chamber is purged and / or pumped, an oxygen plasma treatment treats the layer deposited on the substrate from the precursor and the next deposition cycle, eg, OMCTS). To be started in the chamber. In other embodiments, if a nitrogen doped oxide or SiN layer is desired, NH 3 plasma treatment with or without the addition of H 2 may be used. The oxygen plasma can be supplied by any oxygen-containing gas that can generate oxygen groups that oxidize the surface of the layer. For example, the gas may include O 2 , CO 2 , N 2 O, or combinations thereof. The oxygen-containing gas can be introduced into the chamber at a flow rate. The oxygen-containing gas may be flowed into the chamber for a time such as about 0.1 seconds to about 60 seconds, depending on the via / trench pattern profile. The oxygen plasma may be supplied by applying RF power of about 50 W to about 1000 W in the chamber at a frequency of 13.56 MHz. Mixed frequency RF power may be used. To minimize plasma processing effects or damage on the underlying layer (eg, low dielectric constant film), low levels of high frequency RF power, eg, about 0.033 W / cm 2 to about 0.082 W / cm. About 30 W to about 100 W corresponding to 2 is preferable.

[0045]プラズマ処理は、酸素含有ガスのチャンバへのフローを終了することによって終了させることができる。その後、所望により、堆積された層の厚さが測定される。その後、薄層の追加量を堆積させるためにチャンバへの前駆物質のフローを続行させる。チャンバがパージされ、その後、上述した酸素プラズマ処理が行われる。堆積、パージ、プラズマ処理の複数のサイクルが、所望の厚さの層が得られるまで行うことができる。相互接続部内に堆積された層の厚さを制御することによって、相互接続において続いて堆積された金属ラインの厚さを制御することができる。   [0045] The plasma treatment can be terminated by terminating the flow of oxygen-containing gas into the chamber. Thereafter, the thickness of the deposited layer is measured if desired. Thereafter, the flow of precursor to the chamber is continued to deposit an additional amount of a thin layer. The chamber is purged and then the oxygen plasma treatment described above is performed. Multiple cycles of deposition, purge, and plasma treatment can be performed until a layer of the desired thickness is obtained. By controlling the thickness of the layer deposited in the interconnect, the thickness of the metal lines subsequently deposited in the interconnect can be controlled.

[0046]他の実施形態において、基板上の約4オングストローム〜約100オングストロームの層の厚さを制御する方法が提供される。酸素を多く含む表面又は窒素を多く含む表面を含むことができる基板は、プラズマの存在下にシリコン含有前駆物質にさらして基板上に層を堆積させるので、層は、Hを有する又は有しないNHからのプラズマで、又はO、CO、及びNOを含む群より選ばれる酸素含有ガスからのプラズマで処理される。層を堆積するためにシリコン含有前駆物質に基板をさらすこととプラズマで層を処理することは、層の所望の厚さが得られるまで繰り返される。 [0046] In other embodiments, a method of controlling a layer thickness of about 4 angstroms to about 100 angstroms on a substrate is provided. Board oxygen may a comprise a number containing surface-rich surface or nitrogen, so exposed to the silicon-containing precursor to deposit a layer on a substrate in the presence of plasma, layers, with or without of H 2 It is treated with a plasma from NH 3 or a plasma from an oxygen-containing gas selected from the group comprising O 2 , CO 2 , and N 2 O. Exposing the substrate to a silicon-containing precursor to deposit the layer and treating the layer with plasma is repeated until the desired thickness of the layer is obtained.

[0047]実施形態において、更に、酸化物か又は窒化物の双方を含む密度の高い誘電スペーサを生成させる方法が提供される。方法は、酸素を多く含む表面又は窒素を多く含む表面を含むことができるゲートを含むパターン形成された基板を、プラズマ存在下にシリコン含有前駆物質にさらして、ゲート上に層を堆積させるステップと、その後、O、CO、NO、窒素含有ガス、及びHを有する又は有しないNHからなる群より選ばれる酸素含有ガス又は窒素含有ガスからのプラズマで層を処理するステップとを含む。相互接続部における金属ラインの限界寸法を制御する方法に関して上に示されたシリコン含有前駆物質とプラズマ処理は、密度の高い誘電スペーサを生成させる方法と層の厚さを約4オングストローム〜約100オングストロームに制御する方法の双方に用いることができる。 [0047] In an embodiment, there is further provided a method for producing a dense dielectric spacer comprising both oxides or nitrides. The method includes exposing a patterned substrate including a gate that can include an oxygen-rich surface or a nitrogen-rich surface to a silicon-containing precursor in the presence of a plasma to deposit a layer on the gate; Then treating the layer with plasma from an oxygen-containing gas or nitrogen-containing gas selected from the group consisting of O 2 , CO 2 , N 2 O, a nitrogen-containing gas, and NH 3 with or without H 2 ; including. The silicon-containing precursor and plasma treatment shown above with respect to the method for controlling the critical dimension of the metal lines in the interconnects provides a method for producing dense dielectric spacers and a layer thickness of about 4 angstroms to about 100 angstroms. It can be used for both methods.

[0048]上記は本発明の実施形態に関するが、本発明の他の多くの実施形態が本発明の基本範囲から逸脱せずに構成されてもよく、本発明の範囲は以下の特許請求の範囲によって決定される。   [0048] While the above is directed to embodiments of the invention, many other embodiments of the invention may be made without departing from the basic scope of the invention, the scope of the invention being as set forth in the claims below. Determined by.

図1Aは、本発明の実施形態に従ったプロセス順序の異なる段階での基板構造を示す概略断面図である。FIG. 1A is a schematic cross-sectional view illustrating a substrate structure at different stages in the process sequence according to an embodiment of the present invention. 図1Bは、本発明の実施形態に従ったプロセス順序の異なる段階での基板構造を示す概略断面図である。FIG. 1B is a schematic cross-sectional view illustrating the substrate structure at different stages in the process sequence according to an embodiment of the present invention. 図1Cは、本発明の実施形態に従ったプロセス順序の異なる段階での基板構造を示す概略断面図である。FIG. 1C is a schematic cross-sectional view illustrating the substrate structure at different stages in the process sequence according to an embodiment of the present invention. 図1Dは、本発明の実施形態に従ったプロセス順序の異なる段階での基板構造を示す概略断面図である。FIG. 1D is a schematic cross-sectional view illustrating the substrate structure at different stages of the process sequence according to an embodiment of the present invention. 図1Eは、本発明の実施形態に従ったプロセス順序の異なる段階での基板構造を示す概略断面図である。FIG. 1E is a schematic cross-sectional view illustrating the substrate structure at different stages in the process sequence according to an embodiment of the present invention. 図1Fは、本発明の実施形態に従ったプロセス順序の異なる段階での基板構造を示す概略断面図である。FIG. 1F is a schematic cross-sectional view illustrating the substrate structure at different stages in the process sequence according to an embodiment of the present invention. 図2は、本発明の実施形態に従った、アッシング前後の低誘電率膜とアッシング後にその上に堆積された薄いOMCTS層を持つ低誘電率膜の誘電率(k)を示すグラフである。FIG. 2 is a graph illustrating the dielectric constant (k) of a low dielectric constant film having a low dielectric constant film before and after ashing and a thin OMCTS layer deposited thereon after ashing according to an embodiment of the present invention. 図3は、本発明の実施形態に従った、アッシング前後の低誘電率膜とアッシング後にその上に堆積された薄いOMCTS層を持つ低誘電率膜のぬれ角を示すグラフである。FIG. 3 is a graph illustrating the wetting angle of a low dielectric constant film with a low dielectric constant film before and after ashing and a thin OMCTS layer deposited thereon after ashing according to an embodiment of the present invention. 図4Aは、従来の技術に従った、アッシング後と湿式洗浄前のトレンチプロファイル(密度の高いアレイ)の略図である。FIG. 4A is a schematic illustration of a trench profile (dense array) after ashing and before wet cleaning, according to the prior art. 図4Bは、従来の技術に従った、アッシングと湿式洗浄後のトレンチプロファイル(密度の高いアレイ)の略図である。FIG. 4B is a schematic illustration of a trench profile (dense array) after ashing and wet cleaning, according to the prior art. 図4Cは、本発明の実施形態に従った、アッシングと湿式洗浄後のトレンチプロファイル(密度の高いアレイ)の略図である。FIG. 4C is a schematic illustration of a trench profile (dense array) after ashing and wet cleaning, in accordance with an embodiment of the present invention. 図5Aは、従来の技術に従った、アッシング後と湿式洗浄前のトレンチプロファイル(等構造/開口面積)の略図である。FIG. 5A is a schematic diagram of a trench profile (isostructure / opening area) after ashing and before wet cleaning, according to the prior art. 図5Bは、従来の技術に従った、アッシングと湿式洗浄後のトレンチプロファイル(等構造/開口面積)の略図である。FIG. 5B is a schematic diagram of a trench profile (isostructure / opening area) after ashing and wet cleaning, according to the prior art. 図5Cは、本発明の実施形態に従った、アッシングと湿式洗浄後のトレンチプロファイル(等構造/開口面積)の略図である。FIG. 5C is a schematic illustration of a trench profile (isostructure / open area) after ashing and wet cleaning, in accordance with an embodiment of the present invention. 図6は、本発明の実施形態に従ったOMCTS薄層のぬれ角と層のヘリウムプラズマ後処理の時間の長さとを示すグラフである。FIG. 6 is a graph showing the wetting angle of an OMCTS thin layer and the length of time of the helium plasma post-treatment of the layer according to an embodiment of the present invention.

符号の説明Explanation of symbols

100…基板、102…膜、104…フォトレジスト、106…相互接続部、108…層、110…層、120…層。   DESCRIPTION OF SYMBOLS 100 ... Board | substrate, 102 ... Film | membrane, 104 ... Photoresist, 106 ... Interconnection part, 108 ... Layer, 110 ... Layer, 120 ... Layer.

Claims (12)

チャンバ内で基板上の膜を処理する方法であって、
該膜の酸素リッチな表面又は窒素リッチな表面上に4オングストローム〜100オングストロームの厚さを有し且つシリコンと炭素とを含み、所望により酸素又は窒素とを含んでもよい薄層を選択的に堆積させることによって該膜を処理するステップであって、該層を堆積させるステップがRF電力の存在下にSiとCとHとを含む前駆物質を反応させるステップ、及び
前記薄層の表面を、酸素含有ガスを含むプラズマで後処理するステップ
を逐次的に含み、
該前駆物質が、一般式Rx-Si-(OR’)y(式中、R=H、CH3、CH2CH3、又は他のアルキル基、R’=CH3、CH2CH3、又は他のアルキル基、xは0〜4であり、yは0〜4であり、x+y=4である)を有する前駆物質、構造(RX-Si-O-Si-RYZ(式中、RX=CH3、CH2CH3、又は他のアルキル基、RY=H、CH3、CH2CH3、又は他のアルキル基)を有する有機ジシロキサン、構造(RX-Si-O)Y(式中、RX=CH3、CH2CH3、又は他のアルキル基)を含む環状有機シロキサン、三つ以上のシリコン原子を有する環構造と所望により一つ以上の酸素原子を含んでもよい環構造を含む環状有機シリコン化合物、及びシリコン原子と酸素原子と、該シリコン原子に結合した一つ又は二つのアルキル基と交互した環を含む環状有機シリコン化合物からなる群より選ばれ、Siは4つの共有結合を有し、Oは2つの共有結合を有する、前記方法。
A method for processing a film on a substrate in a chamber, comprising:
Selectively depositing a thin layer on the oxygen-rich or nitrogen-rich surface of the film having a thickness of 4 angstroms to 100 angstroms and comprising silicon and carbon, optionally containing oxygen or nitrogen Treating the film by reacting , wherein depositing the layer reacts a precursor comprising Si, C, and H in the presence of RF power ; and
Sequentially post- treating the surface of the thin layer with a plasma comprising an oxygen-containing gas ;
The precursor is represented by the general formula R x —Si— (OR ′) y, where R═H, CH 3 , CH 2 CH 3 , or other alkyl groups, R ′ = CH 3 , CH 2 CH 3 , Or a precursor having the structure (R X —Si—O—Si—R Y ) Z (formula), or other alkyl group, x is 0 to 4, y is 0 to 4, and x + y = 4. Rx = CH 3 , CH 2 CH 3 , or other alkyl groups, R Y = H, CH 3 , CH 2 CH 3 , or other alkyl groups), an organic disiloxane having a structure (R X -Si -O) Y (wherein R X = CH 3 , CH 2 CH 3 , or another alkyl group), a cyclic organosiloxane, a ring structure having three or more silicon atoms, and optionally one or more oxygen atoms A cyclic organic silicon compound containing a ring structure, which may contain, a silicon atom and an oxygen atom, and one or two bonded to the silicon atom The method of claim 1, wherein the compound is selected from the group consisting of cyclic organosilicon compounds containing rings alternating with alkyl groups, wherein Si has 4 covalent bonds and O has 2 covalent bonds.
該前駆物質が、該薄層の成長継続を抑制するために選ばれるメチル基を含み、露出したメチル基により該薄層の成長継続が抑制される、請求項1記載の方法。   The method of claim 1, wherein the precursor comprises a methyl group selected to inhibit continuation of growth of the thin layer, and the continuation of growth of the thin layer is inhibited by exposed methyl groups. 該層が該膜の該酸素リッチな表面又は窒素リッチな表面より炭素含量が大きく、該層が該膜上に炭素飽和表面層を与える、請求項1記載の方法。   The method of claim 1, wherein the layer has a higher carbon content than the oxygen-rich or nitrogen-rich surface of the film, and the layer provides a carbon-saturated surface layer on the film. 該層が堆積された後に該基板を湿式洗浄するステップを更に含む、請求項1記載の方法。   The method of claim 1, further comprising wet cleaning the substrate after the layer is deposited. 前記プラズマが、2、CO2、N2O、NH3、H2、ヘリウム、アルゴン、及び窒素からなる群より選ばれるガスを更に含む、請求項1記載の方法。 The method of claim 1 , wherein the plasma further comprises a gas selected from the group consisting of O 2 , CO 2 , N 2 O, NH 3 , H 2 , helium, argon, and nitrogen. 前記プラズマ後処理が該層の該表面特性を変化させ、該表面特性が表面張力及び表面接触角からなる群より選ばれる、請求項1記載の方法。 The method of claim 1, wherein the plasma post-treatment changes the surface properties of the layer, and the surface properties are selected from the group consisting of surface tension and surface contact angle. 該層上に底面反射防止コーティング(BARC)を堆積させるステップを更に含む、請求項1記載の方法。   The method of claim 1, further comprising depositing a bottom antireflective coating (BARC) on the layer. 4オングストローム〜100オングストロームの厚さを有し且つシリコンと炭素とを含み、所望により酸素及び/又は窒素とを含んでもよい原子層堆積又は物理気相堆積によってバリヤ層を堆積させるステップを更に含む、請求項1記載の方法。 Further comprising depositing the barrier layer by atomic layer deposition or physical vapor deposition having a thickness of 4 angstroms to 100 angstroms and comprising silicon and carbon and optionally oxygen and / or nitrogen; The method of claim 1. 該薄層が、BARC物質とALD又はPVDバリヤ層前駆物質の該膜への浸透を防止する密度の高い層を与える、請求項1記載の方法。   The method of claim 1, wherein the thin layer provides a dense layer that prevents penetration of BARC material and ALD or PVD barrier layer precursor into the membrane. 低k誘電体膜がその表面上に堆積した基板を処理する方法であって、
RF電力の存在下に前駆物質を反応させることにより該膜の酸素リッチな表面又は窒素リッチな表面上に炭素リッチな層を選択的に堆積させるステップであって、該前駆物質がシリコン、炭素、酸素、及び窒素からなる群より選ばれる元素を含む、前記ステップ、及び
前記炭素リッチな層の表面を、酸素含有ガスを含むプラズマで後処理するステップ
を逐次的に含み、
該前駆物質が、一般式Rx-Si-(OR’)y(式中、R=H、CH3、CH2CH3、又は他のアルキル基、R’=CH3、CH2CH3、又は他のアルキル基、xは0〜4であり、yは0〜4であり、x+y=4である)を有する前駆物質、構造(RX-Si-O-Si-RYZ(式中、RX=CH3、CH2CH3、又は他のアルキル基、RY=H、CH3、CH2CH3、又は他のアルキル基)を有する有機ジシロキサン、構造(RX-Si-O)Y(式中、RX=CH3、CH2CH3、又は他のアルキル基)を含む環状有機シロキサン、三つ以上のシリコン原子を有する環構造と所望により一つ以上の酸素原子を含んでもよい環構造を含む環状有機シリコン化合物、及びシリコン原子と酸素原子と、該シリコン原子に結合した一つ又は二つのアルキル基とを交互にした環を含む環状有機シリコン化合物からなる群より選ばれ、Siは4つの共有結合を有し、Oは2つの共有結合を有する、前記方法。
A method of processing a substrate having a low-k dielectric film deposited on its surface, comprising:
Selectively depositing a carbon-rich layer on an oxygen-rich or nitrogen-rich surface of the film by reacting the precursor in the presence of RF power, wherein the precursor is silicon, carbon, The step comprising an element selected from the group consisting of oxygen and nitrogen ; and
Sequentially post- treating the surface of the carbon-rich layer with a plasma containing an oxygen-containing gas ;
The precursor is represented by the general formula R x —Si— (OR ′) y, where R═H, CH 3 , CH 2 CH 3 , or other alkyl groups, R ′ = CH 3 , CH 2 CH 3 , Or a precursor having the structure (R X —Si—O—Si—R Y ) Z (formula), or other alkyl group, x is 0 to 4, y is 0 to 4, and x + y = 4. Rx = CH 3 , CH 2 CH 3 , or other alkyl groups, R Y = H, CH 3 , CH 2 CH 3 , or other alkyl groups), an organic disiloxane having a structure (R X -Si -O) Y (wherein R X = CH 3 , CH 2 CH 3 , or another alkyl group), a cyclic organosiloxane, a ring structure having three or more silicon atoms, and optionally one or more oxygen atoms A cyclic organic silicon compound containing a ring structure, which may contain, a silicon atom and an oxygen atom, and one or two bonded to the silicon atom The method as described above, wherein the compound is selected from the group consisting of cyclic organic silicon compounds containing rings with alternating alkyl groups, wherein Si has 4 covalent bonds and O has 2 covalent bonds.
該前駆物質が自己飽和である、請求項10記載の方法。   The method of claim 10, wherein the precursor is self-saturated. 前記プラズマが、2、CO2、N2O、NH3、H2、ヘリウム、アルゴン、及び窒素からなる群より選ばれるガスを更に含む、請求項10記載の方法。 The method of claim 10 , wherein the plasma further comprises a gas selected from the group consisting of O 2 , CO 2 , N 2 O, NH 3 , H 2 , helium, argon, and nitrogen.
JP2007298307A 2006-11-21 2007-11-16 Method for minimizing wet etch undercut and pore sealing ultra-low K (K <2.5) dielectrics Active JP5174435B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US86677006P 2006-11-21 2006-11-21
US60/866,770 2006-11-21
US11/694,856 US20070287301A1 (en) 2006-03-31 2007-03-30 Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US11/694,856 2007-03-30

Publications (3)

Publication Number Publication Date
JP2008147644A JP2008147644A (en) 2008-06-26
JP2008147644A5 JP2008147644A5 (en) 2010-12-02
JP5174435B2 true JP5174435B2 (en) 2013-04-03

Family

ID=39517296

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007298307A Active JP5174435B2 (en) 2006-11-21 2007-11-16 Method for minimizing wet etch undercut and pore sealing ultra-low K (K <2.5) dielectrics

Country Status (4)

Country Link
JP (1) JP5174435B2 (en)
KR (1) KR100939593B1 (en)
CN (1) CN100550318C (en)
TW (1) TWI392024B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
CN101740332B (en) * 2008-11-13 2012-04-25 中芯国际集成电路制造(北京)有限公司 Etching method of semiconductor element
US20120122320A1 (en) * 2010-11-17 2012-05-17 Applied Materials, Inc. Method Of Processing Low K Dielectric Films
US9034770B2 (en) * 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
CN103839871B (en) * 2012-11-21 2017-09-08 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor devices
CN105448705B (en) * 2014-06-18 2018-05-04 无锡华润上华科技有限公司 The method and its oxide-film of particulate on a kind of elimination chip oxide film
CN105244257B (en) * 2014-07-08 2020-06-23 中芯国际集成电路制造(上海)有限公司 Method for improving protrusion defect of porous low-k film
CN105702619A (en) * 2014-11-27 2016-06-22 中芯国际集成电路制造(上海)有限公司 Formation method of semiconductor structure
CN111863610A (en) * 2020-05-12 2020-10-30 中国电子科技集团公司第十一研究所 Method for preparing electrode hole and computer readable storage medium
CN113667976A (en) * 2021-08-27 2021-11-19 中国科学院兰州化学物理研究所 Corrosion-resistant DLC film with hole sealing top layer and preparation method thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077196A (en) * 1999-09-08 2001-03-23 Sony Corp Manufacture of semiconductor device
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
KR100909175B1 (en) * 2002-12-27 2009-07-22 매그나칩 반도체 유한회사 How to form a dual damascene pattern
KR100573484B1 (en) * 2003-06-30 2006-04-24 에스티마이크로일렉트로닉스 엔.브이. Semiconductor device and a method of forming the same
KR20050014231A (en) * 2003-07-30 2005-02-07 매그나칩 반도체 유한회사 A method for forming a semiconductor device
JP2005050954A (en) * 2003-07-31 2005-02-24 Toshiba Corp Semiconductor device and manufacturing method therefor
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
JP4015976B2 (en) * 2003-08-28 2007-11-28 株式会社東芝 Manufacturing method of electronic device
JP2005203568A (en) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc Fabrication process of semiconductor device, and semiconductor device
JP2006332408A (en) * 2005-05-27 2006-12-07 Sony Corp Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
TWI392024B (en) 2013-04-01
KR20080046087A (en) 2008-05-26
KR100939593B1 (en) 2010-02-01
JP2008147644A (en) 2008-06-26
CN101202227A (en) 2008-06-18
TW200826196A (en) 2008-06-16
CN100550318C (en) 2009-10-14

Similar Documents

Publication Publication Date Title
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k&lt;2.5) dielectrics
JP5174435B2 (en) Method for minimizing wet etch undercut and pore sealing ultra-low K (K &lt;2.5) dielectrics
KR102376352B1 (en) Method and composition for providing pore sealing layer on porous low dielectric constant films
JP5031987B2 (en) Double-layer film for next-generation damascene barrier applications with good oxidation resistance
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US8927442B1 (en) SiCOH hardmask with graded transition layers
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6541397B1 (en) Removable amorphous carbon CMP stop
KR100743775B1 (en) Method and apparatus for treating l0w k dielectric layers to reduce diffusion
US6699784B2 (en) Method for depositing a low k dielectric film (K&gt;3.5) for hard mask application
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
KR100960755B1 (en) A method of depositing dielectric materials in damascene applications
US7115534B2 (en) Dielectric materials to prevent photoresist poisoning
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
WO2002017374A1 (en) Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
CN110648961B (en) Semiconductor structure and forming method thereof
JP2011526078A (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using thin barrier layers
JP2010267971A (en) Dielectric barrier deposition using nitrogen containing precursor
US20050124151A1 (en) Novel method to deposit carbon doped SiO2 films with improved film quality
JP2010287655A (en) Method for manufacturing semiconductor device
JP4408816B2 (en) Manufacturing method of semiconductor device
KR101106425B1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101018

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101105

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120705

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121011

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121228

R150 Certificate of patent or registration of utility model

Ref document number: 5174435

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250