KR100900141B1 - Method for manufacturing of semiconductor device - Google Patents

Method for manufacturing of semiconductor device Download PDF

Info

Publication number
KR100900141B1
KR100900141B1 KR1020060134072A KR20060134072A KR100900141B1 KR 100900141 B1 KR100900141 B1 KR 100900141B1 KR 1020060134072 A KR1020060134072 A KR 1020060134072A KR 20060134072 A KR20060134072 A KR 20060134072A KR 100900141 B1 KR100900141 B1 KR 100900141B1
Authority
KR
South Korea
Prior art keywords
nitride film
forming
film
gate
semiconductor device
Prior art date
Application number
KR1020060134072A
Other languages
Korean (ko)
Other versions
KR20080060016A (en
Inventor
이정석
황창연
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020060134072A priority Critical patent/KR100900141B1/en
Publication of KR20080060016A publication Critical patent/KR20080060016A/en
Application granted granted Critical
Publication of KR100900141B1 publication Critical patent/KR100900141B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 소자의 제조방법에 관한 것으로, 셀 영역의 게이트 간의 간격을 확보하기 위해, 셀 영역과 주변회로 영역의 반도체 기판 상부에 게이트를 형성하는 단계와, 게이트 상부에 버퍼 산화막, 제 1 질화막을 형성하는 단계와, 등방성 식각공정으로 셀 영역의 제 1 질화막을 선택적으로 제거하는 단계와, 제 1 질화막 상부에 제 2 질화막을 형성하여 게이트 측벽에 스페이서를 완성하는 단계와, 전체 표면 상부에 평탄화된 층간절연막을 형성하는 단계와, 랜딩플러그 콘택 마스크를 이용하여 셀 영역의 반도체 기판을 노출시키는 랜딩플러그 콘택홀을 형성하는 단계를 포함하여, 랜딩플러그 콘택홀 형성시 낫 오픈(not open)되는 현상을 방지할 수 있고, 콘택 저항(Rc)을 감소시켜 tWR 불량을 방지할 수 있는 기술이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, the method comprising: forming a gate over a semiconductor substrate in a cell region and a peripheral circuit region to secure a gap between gates of a cell region, a buffer oxide film, and a first nitride film over the gate; Forming a second nitride film over the first nitride film, forming a second nitride film over the first nitride film, and forming a spacer on the gate sidewall; Forming a landing plug contact hole that exposes a semiconductor substrate in a cell region using a landing plug contact mask and forming a landing plug contact hole. Can be prevented, and the contact resistance (Rc) can be reduced to prevent the tWR failure.

랜딩플러그 콘택, CDE(Chemical Dry Etching) Landing Plug Contact, Chemical Dry Etching (CDE)

Description

반도체 소자의 제조방법{METHOD FOR MANUFACTURING OF SEMICONDUCTOR DEVICE}Manufacturing method of semiconductor device {METHOD FOR MANUFACTURING OF SEMICONDUCTOR DEVICE}

도 1a 내지 도 1d는 종래기술에 따른 반도체 소자의 제조방법을 도시한 단면도.1A to 1D are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 2는 종래기술에 따른 반도체 소자의 제조방법의 문제점을 설명하기 위한 사진.Figure 2 is a photograph for explaining the problem of the manufacturing method of a semiconductor device according to the prior art.

도 3a 내지 도 3e는 본 발명에 따른 반도체 소자의 제조방법을 도시한 단면도.3A to 3E are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention.

본 발명은 반도체 소자의 제조방법에 관한 것으로, 특히 반도체 소자의 랜딩 플러그 콘택(LPC; Landing Plug Contact) 형성방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of forming a landing plug contact (LPC) of a semiconductor device.

도 1a 내지 도 1d는 종래기술에 따른 반도체 소자의 제조방법을 도시한 단면도이다.1A to 1D are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 1a를 참조하면, 셀 영역(A)과 주변회로 영역(B)이 구분된 반도체 기판(10)에 활성영역(12)을 정의하는 소자분리막(14)을 형성한다.Referring to FIG. 1A, an isolation layer 14 defining an active region 12 is formed in a semiconductor substrate 10 in which a cell region A and a peripheral circuit region B are divided.

그 다음, 상기 반도체 기판(10) 상부에 제 1 감광막(미도시)을 형성하고, 리세스 게이트 영역을 정의하는 마스크로 상기 제 1 감광막을 노광 및 현상하여 제 1 감광막 패턴(미도시)을 형성한다.Next, a first photoresist layer (not shown) is formed on the semiconductor substrate 10, and the first photoresist layer is exposed and developed with a mask defining a recess gate region to form a first photoresist pattern (not shown). do.

그 다음, 상기 제 1 감광막 패턴을 마스크로 상기 반도체 기판(10)을 식각하여 리세스 게이트 영역(미도시)을 형성하고, 상기 제 1 감광막 패턴을 제거한다.Next, the semiconductor substrate 10 is etched using the first photoresist pattern as a mask to form a recess gate region (not shown), and the first photoresist pattern is removed.

그 다음, 상기 리세스 게이트 영역 내측에 게이트 산화막(미도시)을 형성하고, 상기 게이트 산화막 상부에 폴리실리콘막(미도시), 텅스텐막(미도시) 및 하드마스크막(미도시)을 순차적으로 형성한다.Next, a gate oxide film (not shown) is formed inside the recess gate region, and a polysilicon film (not shown), a tungsten film (not shown), and a hard mask film (not shown) are sequentially formed on the gate oxide film. Form.

그 다음, 게이트 마스크(미도시)를 이용한 사진 식각공정으로 상기 하드마스크막, 상기 텅스텐막, 상기 폴리실리콘막을 식각하여 하드마스크막 패턴(16a), 텅스텐막 패턴(16b) 및 폴리실리콘막 패턴(16c)으로 이루어진 게이트(16)를 형성한다.Next, the hard mask layer, the tungsten layer, and the polysilicon layer are etched by a photolithography process using a gate mask (not shown) to form a hard mask layer pattern 16a, a tungsten layer pattern 16b, and a polysilicon layer pattern ( A gate 16 made of 16c is formed.

그 다음, 상기 게이트(16) 상부에 버퍼 산화막(18), 제 1 질화막(20) 및 희생 산화막(22)을 형성한다.Next, a buffer oxide film 18, a first nitride film 20, and a sacrificial oxide film 22 are formed on the gate 16.

이때, 상기 제 1 질화막(20)은 70Å~120Å의 두께로 형성하고, 상기 희생 산화막(22)은 TEOS(Tetra Ethyle Ortho Silicate)막으로 형성한다.In this case, the first nitride film 20 is formed to a thickness of 70 ~ 120 Å, the sacrificial oxide film 22 is formed of a TEOS (Tetra Ethyle Ortho Silicate) film.

그 다음, 주변회로 영역(B)의 상기 희생 산화막(22), 상기 제 1 질화막(20) 및 상기 버퍼 산화막(18)을 식각하여 상기 게이트(16) 측벽에 스페이서(24b)를 1차적으로 형성한다.Next, the sacrificial oxide layer 22, the first nitride layer 20, and the buffer oxide layer 18 in the peripheral circuit region B are etched to form spacers 24b on the sidewalls of the gate 16. do.

도 1b를 참조하면, 전체 표면 상부에 제 2 감광막(미도시)을 형성하고, 셀 오픈(Open) 마스크(미도시)로 상기 제 2 감광막을 노광 및 현상하여 제 2 감광막 패턴(26)을 형성한다.Referring to FIG. 1B, a second photoresist layer (not shown) is formed over the entire surface, and the second photoresist layer is exposed and developed with a cell open mask (not shown) to form a second photoresist layer pattern 26. do.

그 다음, 셀 영역(A)에 대한 습식식각 공정을 수행하여 상기 희생 산화막(22)을 제거한다.Next, the sacrificial oxide layer 22 is removed by performing a wet etching process on the cell region A. FIG.

그 다음, 상기 제 2 감광막 패턴(26)을 제거한다.Next, the second photosensitive film pattern 26 is removed.

도 1c를 참조하면, 상기 반도체 기판(10) 전면에 제 2 질화막(28)을 형성하여 셀 영역(A)에서는 제 2 질화막(28)/제 1 질화막(20)/버퍼 산화막(18) 구조의 스페이서(24a)를 형성하고, 주변회로 영역(B)에서는 제 2 질화막(28)/희생 산화막(22)/제 1 질화막(20)/버퍼 산화막(18) 구조의 스페이서(24c)를 형성한다.Referring to FIG. 1C, a second nitride film 28 is formed on the entire surface of the semiconductor substrate 10. In the cell region A, the second nitride film 28, the first nitride film 20, and the buffer oxide film 18 may be formed. The spacer 24a is formed, and in the peripheral circuit region B, a spacer 24c having a structure of the second nitride film 28 / sacrificial oxide film 22 / first nitride film 20 / buffer oxide film 18 is formed.

이때, 상기 제 2 질화막(28)은 130Å~160Å의 두께로 형성한다.In this case, the second nitride film 28 is formed to a thickness of 130 kPa ~ 160 kPa.

그 다음, 전체 표면 상부에 층간절연막(30)을 형성한다.Then, the interlayer insulating film 30 is formed over the entire surface.

이때, 상기 층간절연막(30)은 붕소(B)와 인(P) 성분이 포함된 BPSG(Boro-Phospho-Silicate-Glass)막으로 형성한다.In this case, the interlayer insulating film 30 is formed of a BPSG (Boro-Phospho-Silicate-Glass) film containing boron (B) and phosphorus (P).

그 다음, 상기 층간절연막(30)에 대한 어닐(Anneal) 공정을 수행하여 막질을 치밀화한다.Next, an annealing process is performed on the interlayer insulating film 30 to densify the film.

그 다음, 상기 제 2 질화막(28)이 노출될 때까지 상기 층간절연막(30)에 대한 평탄화 공정을 수행한다.Next, the planarization process is performed on the interlayer insulating film 30 until the second nitride film 28 is exposed.

도 1d를 참조하면, 랜딩플러그 콘택 마스크(미도시)를 이용하여 상기 반도체 기판(10)을 노출시키는 랜딩플러그 콘택홀(32)을 형성한다.Referring to FIG. 1D, a landing plug contact hole 32 exposing the semiconductor substrate 10 is formed using a landing plug contact mask (not shown).

도 2는 종래기술에 따른 반도체 소자의 제조방법의 문제점을 설명하기 위한 사진이다.2 is a photograph illustrating a problem of a method of manufacturing a semiconductor device according to the prior art.

도 2를 참조하면, 상기 제 1 및 제 2 질화막(20, 28)은 각각 활성영역(12)의 손상 방지 및 층간절연막(30) 내의 붕소(B)와 인(P)의 확산 방지를 위한 최소한의 두께(70Å, 130Å) 이상으로 형성되어야 하므로, 상기 게이트(16) 간의 간격을 확보할 수 없어 상기 랜딩플러그 콘택홀(32) 형성시 낫 오픈(not open)되는 현상(C)이 유발되는 문제점이 있다. Referring to FIG. 2, the first and second nitride films 20 and 28 are at least for preventing damage to the active region 12 and diffusion of boron (B) and phosphorus (P) in the interlayer insulating film 30, respectively. Since the thickness must be formed to be greater than (70Å, 130,) of the gap, the gap between the gate 16 can not be secured when the landing plug contact hole 32 is not open (not open) phenomenon that is a problem that is caused There is this.

또한, 상기 게이트(16) 간의 간격이 좁아 콘택 저항(Rc)이 높아져 tWR(time of Writing Recovery) 불량이 유발되는 문제점이 있다. In addition, since the gap between the gates 16 is narrow, the contact resistance Rc becomes high, which causes a problem of time of writing recovery (tWR).

본 발명은 상기와 같은 문제점을 해결하기 위하여 창출된 것으로, 랜딩플러그 콘택홀 형성 이전에 게이트 간의 간격을 확보할 수 있는 반도체 소자의 제조방법을 제공하는데 그 목적이 있다. SUMMARY OF THE INVENTION The present invention has been made to solve the above problems, and an object thereof is to provide a method of manufacturing a semiconductor device capable of securing a gap between gates before forming a landing plug contact hole.

상기한 목적을 달성하기 위한 본 발명에 따른 반도체 소자의 제조방법은, Method for manufacturing a semiconductor device according to the present invention for achieving the above object,

셀 영역과 주변회로 영역의 반도체 기판 상부에 게이트를 형성하는 단계와,Forming a gate over the semiconductor substrate in the cell region and the peripheral circuit region;

상기 게이트 상부에 버퍼 산화막, 제 1 질화막을 형성하는 단계와,Forming a buffer oxide film and a first nitride film on the gate;

등방성 식각공정으로 상기 셀 영역의 상기 제 1 질화막을 선택적으로 제거하는 단계와,Selectively removing the first nitride film of the cell region by an isotropic etching process;

상기 제 1 질화막 상부에 제 2 질화막을 형성하여 상기 게이트 측벽에 스페이서를 완성하는 단계와,Forming a second nitride film on the first nitride film and completing spacers on the sidewalls of the gate;

전체 표면 상부에 평탄화된 층간절연막을 형성하는 단계와,Forming a planarized interlayer insulating film over the entire surface;

랜딩플러그 콘택 마스크를 이용하여 상기 셀 영역의 반도체 기판을 노출시키는 랜딩플러그 콘택홀을 형성하는 단계Forming a landing plug contact hole exposing a semiconductor substrate in the cell region using a landing plug contact mask

를 포함하는 것을 특징으로 한다.Characterized in that it comprises a.

그리고, 본 발명에 따른 반도체 소자의 제조방법에 있어서,In the method for manufacturing a semiconductor device according to the present invention,

상기 제 1 질화막은 70Å~120Å의 두께로 형성하는 것과,The first nitride film is formed to a thickness of 70 ~ 120 Å,

상기 제 1 질화막을 형성하는 단계 이후에After forming the first nitride film

상기 제 1 질화막 상부에 희생산화막을 형성하는 단계와,Forming a sacrificial oxide film on the first nitride film;

전체 표면 상부에 감광막을 형성하는 단계와,Forming a photoresist film on the entire surface;

셀 오픈 마스크로 상기 감광막을 노광 및 현상하여 감광막 패턴을 형성하는 단계와,Exposing and developing the photoresist with a cell open mask to form a photoresist pattern;

습식식각 공정을 수행하여 상기 희생산화막을 제거하는 단계Removing the sacrificial oxide layer by performing a wet etching process

를 더 포함하는 것과,It further comprises a,

삭제delete

상기 등방성 식각공정은 화학적 건식 식각(CDE; Chemical Dry Etching) 공정으로 실시하는 것과,The isotropic etching process is performed by chemical dry etching (CDE; Chemical Dry Etching) process,

상기 등방성 식각공정은 CxHyFz : O₂: Ar = 1 : 10 : 100 인 식각가스로 사용하는 것과, (여기서, 1≤x≤10, 0≤y≤10, 1≤z≤10, x,y,z는 정수)The isotropic etching process is used as an etching gas of CxHyFz: O₂: Ar = 1: 10: 100, (where 1≤x≤10, 0≤y≤10, 1≤z≤10, x, y, z Is an integer)

상기 등방성 식각공정은 150~200℃의 온도에서 실시하는 것과,The isotropic etching process is carried out at a temperature of 150 ~ 200 ℃,

상기 제 1 질화막과 상기 버퍼 산화막의 식각 선택비가 10:1인 것과,Etch selectivity ratio of the first nitride film and the buffer oxide film is 10: 1,

상기 제 1 질화막은 10~30Å의 두께만큼 제거됨을 특징으로 한다.The first nitride film is characterized in that removed by a thickness of 10 ~ 30Å.

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대해 상세히 설명하고자 한다. Hereinafter, with reference to the accompanying drawings will be described in detail an embodiment of the present invention.

도 3a 내지 도 3e는 본 발명에 따른 반도체 소자의 제조방법을 도시한 단면도이다.3A to 3E are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention.

도 3a를 참조하면, 셀 영역(C)과 주변회로 영역(D)이 구분된 반도체 기판(100)에 활성영역(102)을 정의하는 소자분리막(104)을 형성한다.Referring to FIG. 3A, an isolation layer 104 defining an active region 102 is formed in a semiconductor substrate 100 in which a cell region C and a peripheral circuit region D are divided.

그 다음, 상기 반도체 기판(100) 상부에 제 1 감광막(미도시)을 형성하고, 리세스 게이트 영역을 정의하는 마스크로 상기 제 1 감광막을 노광 및 현상하여 제 1 감광막 패턴(미도시)을 형성한다.Next, a first photoresist layer (not shown) is formed on the semiconductor substrate 100, and the first photoresist layer is exposed and developed with a mask defining a recess gate region to form a first photoresist pattern (not shown). do.

그 다음, 상기 제 1 감광막 패턴을 마스크로 상기 반도체 기판(100)을 식각하여 리세스 게이트 영역(미도시)을 형성하고, 상기 제 1 감광막 패턴을 제거한다.Next, the semiconductor substrate 100 is etched using the first photoresist pattern as a mask to form a recess gate region (not shown), and the first photoresist pattern is removed.

그 다음, 상기 리세스 게이트 영역 내측에 게이트 산화막(미도시)을 형성하고, 상기 게이트 산화막 상부에 폴리실리콘막(미도시), 텅스텐막(미도시) 및 하드마스크막(미도시)을 순차적으로 형성한다.Next, a gate oxide film (not shown) is formed inside the recess gate region, and a polysilicon film (not shown), a tungsten film (not shown), and a hard mask film (not shown) are sequentially formed on the gate oxide film. Form.

그 다음, 게이트 마스크(미도시)를 이용한 사진 식각공정으로 상기 하드마스크막, 상기 텅스텐막, 상기 폴리실리콘막을 식각하여 하드마스크막 패턴(106a), 텅스텐막 패턴(106b) 및 폴리실리콘막 패턴(106c)으로 이루어진 게이트(106)를 형성한다.Next, the hard mask film, the tungsten film, and the polysilicon film are etched by a photolithography process using a gate mask (not shown) to form a hard mask film pattern 106a, a tungsten film pattern 106b, and a polysilicon film pattern ( A gate 106 composed of 106c is formed.

그 다음, 상기 게이트(106) 상부에 버퍼 산화막(108), 제 1 질화막(110) 및 희생 산화막(112)을 형성한다.Next, a buffer oxide film 108, a first nitride film 110, and a sacrificial oxide film 112 are formed on the gate 106.

이때, 상기 제 1 질화막(110)은 후속 셀 영역(C)에 대한 습식식각 공정시 상기 활성영역(102)의 손상을 방지하기 위해 70Å~120Å의 두께로 형성하는 것이 바람직하다.In this case, the first nitride film 110 may be formed to have a thickness of about 70 kPa to about 120 kPa in order to prevent damage to the active region 102 during the wet etching process on the subsequent cell region C.

그리고, 상기 희생 산화막(112)은 TEOS(Tetra Ethyle Ortho Silicate)막으로 형성하는 것이 바람직하다.In addition, the sacrificial oxide film 112 may be formed of a TEOS (Tetra Ethyle Ortho Silicate) film.

그 다음, 주변회로 영역(D)의 상기 희생 산화막(112), 상기 제 1 질화막(110) 및 상기 버퍼 산화막(108)을 식각하여 게이트(106) 측벽에 스페이서(114b)를 1차적으로 형성한다.Next, the sacrificial oxide film 112, the first nitride film 110, and the buffer oxide film 108 in the peripheral circuit region D are etched to form a spacer 114b on the sidewall of the gate 106. .

도 3b를 참조하면, 전체 표면 상부에 제 2 감광막(미도시)을 형성하고, 셀 오픈(Open) 마스크(미도시)로 상기 제 2 감광막을 노광 및 현상하여 제 2 감광막 패턴(116)을 형성한다.Referring to FIG. 3B, a second photoresist film (not shown) is formed over the entire surface, and the second photoresist film is exposed and developed with a cell open mask (not shown) to form a second photoresist pattern 116. do.

그 다음, 셀 영역(C)에 대한 습식식각 공정을 수행하여 상기 희생 산화막(112)을 제거한다.Next, the sacrificial oxide layer 112 is removed by performing a wet etching process on the cell region C.

도 3c를 참조하면, 등방성 식각공정으로 상기 셀 영역(C)의 상기 제 1 질화막(110)을 선택적으로 제거한다.Referring to FIG. 3C, the first nitride layer 110 of the cell region C is selectively removed by an isotropic etching process.

이때, 상기 등방성 식각공정은 상기 버퍼 산화막(108)의 물리적 손상을 최소화하기 위해 화학적 건식 식각(CDE; Chemical Dry Etching) 공정으로 실시하는 것이 바람직하다.In this case, the isotropic etching process is preferably carried out by a chemical dry etching (CDE) process in order to minimize the physical damage of the buffer oxide film (108).

여기서, 상기 화학적 건식 식각공정은 CxHyFz:O₂:Ar 의 비율을 1:10:100으로 혼합한 가스를 식각가스로 사용하는 것이 바람직하다. (여기서, 1≤x≤10, 0≤y≤10, 1≤z≤10, x,y,z는 정수)Here, in the chemical dry etching process, a gas in which the ratio of CxHyFz: O₂: Ar is mixed at 1: 10: 100 is preferably used as an etching gas. (Where 1 ≦ x ≦ 10, 0 ≦ y ≦ 10, 1 ≦ z ≦ 10, and x, y, z are integers)

그리고, 상기 화학적 건식 식각공정은 상기 제 1 질화막(110)에 대한 식각률을 감소시키고, 상기 버퍼 산화막(108)과의 식각 선택비를 10:1 이상으로 유지시켜 상기 버퍼 산화막(108)의 손상을 방지하기 위해 150~200℃의 온도에서 실시하는 것이 바람직하다.In addition, the chemical dry etching process may reduce the etching rate of the first nitride layer 110 and maintain the etching selectivity with the buffer oxide layer 108 at 10: 1 or more to damage the buffer oxide layer 108. In order to prevent it, it is preferable to carry out at the temperature of 150-200 degreeC.

그리고, 상기 제 1 질화막(110)은 10Å~30Å의 두께만큼 제거되는 것이 바람직하다. In addition, the first nitride film 110 may be removed by a thickness of 10 kPa to 30 kPa.

그 다음, 상기 제 2 감광막 패턴(116)을 제거한다.Next, the second photoresist pattern 116 is removed.

도 3d를 참조하면, 상기 반도체 기판(100) 전면에 제 2 질화막(118)을 형성하여 셀 영역(C)에서는 제 2 질화막(118), 제 1 질화막(110) 및 버퍼 산화막(108) 구조의 스페이서(114a)를 형성하고, 주변회로 영역(D)에서는 제 2 질화막(118), 희생 산화막(112), 제 1 질화막(110) 및 버퍼 산화막(108) 구조의 스페이서(114c)를 형성한다.Referring to FIG. 3D, a second nitride film 118 is formed on the entire surface of the semiconductor substrate 100 to form a structure of the second nitride film 118, the first nitride film 110, and the buffer oxide film 108 in the cell region C. Referring to FIG. The spacer 114a is formed, and in the peripheral circuit region D, a spacer 114c having a structure of the second nitride film 118, the sacrificial oxide film 112, the first nitride film 110, and the buffer oxide film 108 is formed.

이때, 상기 제 2 질화막(118)은 후속 층간절연막(120)에 대한 어닐(Anneal) 공정시 층간절연막(120) 내의 붕소(B)와 인(P)의 확산을 방지하기 위해 130Å~160Å의 두께로 형성하는 것이 바람직하다.In this case, the second nitride film 118 may have a thickness of about 130 μs to 160 μs to prevent diffusion of boron (B) and phosphorus (P) in the interlayer insulating film 120 during an annealing process on the subsequent interlayer insulating film 120. It is preferable to form.

그 다음, 전체 표면 상부에 층간절연막(120)을 형성한다.Next, an interlayer insulating film 120 is formed over the entire surface.

이때, 상기 층간절연막(120)은 붕소(B)와 인(P) 성분이 포함된 BPSG(Boro-Phospho-Silicate-Glass)막으로 형성하는 것이 바람직하다.In this case, the interlayer insulating film 120 may be formed of a BPSG (Boro-Phospho-Silicate-Glass) film containing boron (B) and phosphorus (P).

그 다음, 상기 층간절연막(120)에 대한 어닐(Anneal) 공정을 수행하여 막질 을 치밀화한다.Next, the film quality is densified by performing an annealing process on the interlayer insulating film 120.

그 다음, 상기 제 2 질화막(118)이 노출될 때까지 상기 층간절연막(120)에 대한 평탄화 공정을 수행한다.Next, the planarization process is performed on the interlayer insulating film 120 until the second nitride film 118 is exposed.

도 3e를 참조하면, 랜딩플러그 콘택 마스크(미도시)를 이용하여 상기 반도체 기판(100)을 노출시키는 랜딩플러그 콘택홀(122)을 형성한다.Referring to FIG. 3E, a landing plug contact hole 122 exposing the semiconductor substrate 100 is formed using a landing plug contact mask (not shown).

따라서, 본 발명에 따른 반도체 소자의 제조방법은, 셀 영역(C)에 대한 등방성 식각공정으로 상기 제 1 질화막(110)을 선택적으로 제거함으로써 상기 게이트(106) 간의 간격을 확보할 수 있다. 이로 인해, 상기 랜딩플러그 콘택홀(122) 형성시 낫 오픈(not open)되는 현상을 방지할 수 있고, 콘택 저항(Rc)을 감소시켜 tWR(time of Writing Recovery) 불량을 방지할 수 있다. Accordingly, in the method of manufacturing a semiconductor device according to the present invention, the gap between the gates 106 may be secured by selectively removing the first nitride film 110 by an isotropic etching process for the cell region C. As a result, when the landing plug contact hole 122 is formed, it may be prevented from being open, and the contact resistance Rc may be reduced to prevent a bad time of writing recovery (tWR).

또한, 상기 셀 영역(C)에서 상기 제 1 질화막(110)이 일부 제거되어 상기 게이트(106) 간의 간격이 확보되어 있기 때문에, 주변회로 영역(D)에서는 상기 제 2 질화막(118)에 대한 두께 마진을 향상시킬 수 있다. In addition, since the first nitride film 110 is partially removed from the cell region C and the gap between the gates 106 is secured, the thickness of the second nitride film 118 in the peripheral circuit region D is increased. Margins can be improved.

이상에서 살펴본 바와 같이, 본 발명에 따른 반도체 소자의 제조방법은 등방성 식각공정으로 셀 영역의 질화막을 선택적으로 제거하여 게이트 간의 간격을 확보함으로써 랜딩플러그 콘택홀 형성시 낫 오픈(not open)되는 현상을 방지할 수 있고, 콘택 저항(Rc)을 감소시켜 tWR 불량을 방지할 수 있는 효과를 제공한다.As described above, in the method of manufacturing a semiconductor device according to the present invention, the nitride film of the cell region is selectively removed by an isotropic etching process to secure the gap between gates, thereby preventing the opening of the landing plug contact hole. It is possible to prevent and reduce the contact resistance (Rc) to provide an effect that can prevent the tWR failure.

아울러 본 발명의 바람직한 실시예는 예시의 목적을 위한 것으로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다. In addition, a preferred embodiment of the present invention is for the purpose of illustration, those skilled in the art will be able to various modifications, changes, substitutions and additions through the spirit and scope of the appended claims, such modifications and changes are the following claims It should be seen as belonging to a range.

Claims (9)

셀 영역과 주변회로 영역의 반도체 기판 상부에 게이트를 형성하는 단계;Forming a gate over the semiconductor substrate in the cell region and the peripheral circuit region; 상기 게이트 상부에 버퍼 산화막 및 제 1 질화막을 순차적으로 형성하는 단계;Sequentially forming a buffer oxide film and a first nitride film over the gate; 등방성 식각공정으로 상기 셀 영역의 상기 제 1 질화막이 일정한 두께로 잔류되도록 제거하는 단계;Removing the first nitride film of the cell region to a predetermined thickness by an isotropic etching process; 상기 제 1 질화막 상부에 제 2 질화막을 형성하여 상기 게이트 측벽에 스페이서를 완성하는 단계;Forming a second nitride film on the first nitride film and completing spacers on the sidewalls of the gate; 전체 표면 상부에 평탄화된 층간절연막을 형성하는 단계; 및Forming a planarized interlayer insulating film over the entire surface; And 랜딩플러그 콘택 마스크를 이용하여 상기 셀 영역의 상기 반도체 기판을 노출시키는 랜딩플러그 콘택홀을 형성하는 단계Forming a landing plug contact hole exposing the semiconductor substrate in the cell region using a landing plug contact mask 를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.Method of manufacturing a semiconductor device comprising a. 제 1 항에 있어서, 상기 제 1 질화막은 70Å~120Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of manufacturing a semiconductor device according to claim 1, wherein the first nitride film is formed to a thickness of 70 kPa to 120 kPa. 제 1 항에 있어서, 상기 제 1 질화막을 형성하는 단계 이후에The method of claim 1, wherein after forming the first nitride film 상기 제 1 질화막 상부에 희생산화막을 형성하는 단계; Forming a sacrificial oxide film on the first nitride film; 전체 표면 상부에 감광막을 형성하는 단계;Forming a photoresist film on the entire surface; 셀 오픈 마스크로 상기 감광막을 노광 및 현상하여 감광막 패턴을 형성하는 단계; 및Exposing and developing the photoresist with a cell open mask to form a photoresist pattern; And 습식식각 공정을 수행하여 상기 희생산화막을 제거하는 단계Removing the sacrificial oxide layer by performing a wet etching process 를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.Method of manufacturing a semiconductor device further comprising. 삭제delete 제 1 항에 있어서, 상기 등방성 식각공정은 화학적 건식 식각(CDE; Chemical Dry Etching) 공정으로 실시하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the isotropic etching process is performed by a chemical dry etching (CDE) process. 제 1 항에 있어서, 상기 등방성 식각공정은 CxHyFz : O2 : Ar = 1 : 10 : 100 을 식각가스로 사용하는 것을 특징으로 하는 반도체 소자의 제조방법.(여기서, 1≤x≤10, 0≤y≤10, 1≤z≤10, x,y,z는 정수)The method of claim 1, wherein the isotropic etching process uses CxHyFz: O 2 : Ar = 1: 10: 100 as an etching gas. y≤10, 1≤z≤10, x, y, z are integers) 제 1 항에 있어서, 상기 등방성 식각공정은 150~200℃의 온도에서 실시하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the isotropic etching process is performed at a temperature of 150 ° C. to 200 ° C. 6. 제 1 항에 있어서, 상기 제 1 질화막 : 상기 버퍼 산화막은 10:1의 식각선택비를 갖는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the first nitride layer: the buffer oxide layer has an etching selectivity of 10: 1. 제 2 항에 있어서, 상기 제 1 질화막은 10~30Å의 두께만큼 제거됨을 특징으로 하는 반도체 소자의 제조방법.The method of claim 2, wherein the first nitride film is removed by a thickness of about 10 μm to about 30 μm.
KR1020060134072A 2006-12-26 2006-12-26 Method for manufacturing of semiconductor device KR100900141B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060134072A KR100900141B1 (en) 2006-12-26 2006-12-26 Method for manufacturing of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060134072A KR100900141B1 (en) 2006-12-26 2006-12-26 Method for manufacturing of semiconductor device

Publications (2)

Publication Number Publication Date
KR20080060016A KR20080060016A (en) 2008-07-01
KR100900141B1 true KR100900141B1 (en) 2009-06-01

Family

ID=39812714

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060134072A KR100900141B1 (en) 2006-12-26 2006-12-26 Method for manufacturing of semiconductor device

Country Status (1)

Country Link
KR (1) KR100900141B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050080315A (en) * 2004-02-09 2005-08-12 삼성전자주식회사 Fabrication methode of local ono type non-volatile memory device
KR20060004192A (en) * 2004-07-08 2006-01-12 주식회사 하이닉스반도체 Semiconductor device with gate spacer of uniform thickness and forming method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050080315A (en) * 2004-02-09 2005-08-12 삼성전자주식회사 Fabrication methode of local ono type non-volatile memory device
KR20060004192A (en) * 2004-07-08 2006-01-12 주식회사 하이닉스반도체 Semiconductor device with gate spacer of uniform thickness and forming method thereof

Also Published As

Publication number Publication date
KR20080060016A (en) 2008-07-01

Similar Documents

Publication Publication Date Title
KR100474546B1 (en) Fabricating method for semiconductor device
KR101004691B1 (en) Method for forming micropattern in semiconductor device
KR100955265B1 (en) Method for forming micropattern in semiconductor device
JP2007208224A (en) Micro pattern forming method of semiconductor device
KR100965775B1 (en) Method for forming micropattern in semiconductor device
US6528418B1 (en) Manufacturing method for semiconductor device
KR20010063759A (en) Fabricating method for semiconductor device
KR100994714B1 (en) Method for fabricating semicondoctor device
KR20080045960A (en) Method for fabricating landing plug in semiconductor device
KR100900141B1 (en) Method for manufacturing of semiconductor device
KR20070113604A (en) Method for forming micro pattern of semiconductor device
KR100672138B1 (en) Method of manufacturing a flash memory device
KR100420413B1 (en) Manufacturing method for semiconductor device
KR20030075745A (en) Method of Forming Metal Gate in Semiconductor Device
KR100670652B1 (en) Method of forming contact plug for semiconductor device
KR100672761B1 (en) The method for forming contact plug
KR20100026223A (en) Method for forming semiconductor device
KR101051949B1 (en) Pattern Forming Method of Semiconductor Device
KR20050067485A (en) Method for fabrication semiconductor device having triple gate-spacer
KR20060115136A (en) Method for fabricating flash memory device
KR100763112B1 (en) Method of forming contact plug in a flash memory device
KR100772077B1 (en) A method for forming contact hole of semiconductor device
KR20060002182A (en) A method for forming a semiconductor device
KR20040008600A (en) Method for forming a contact hole in semiconductor memory device
KR20050073043A (en) Method for forming bit line of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee